allocate BUFSIZ size instead of payload_size when creating packet