Upload Tizen:Base source
[external/binutils.git] / gas / testsuite / gas / i386 / x86-64-avx.d
1 #objdump: -dw
2 #name: x86-64 AVX
3
4 .*:     file format .*
5
6 Disassembly of section .text:
7
8 0+ <_start>:
9 [       ]*[a-f0-9]+:    c5 fc 77                vzeroall 
10 [       ]*[a-f0-9]+:    c5 f8 77                vzeroupper 
11 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%rcx\)
12 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%rcx\)
13 [       ]*[a-f0-9]+:    c4 e2 5d 2d 31          vmaskmovpd \(%rcx\),%ymm4,%ymm6
14 [       ]*[a-f0-9]+:    c4 e2 4d 2f 21          vmaskmovpd %ymm4,%ymm6,\(%rcx\)
15 [       ]*[a-f0-9]+:    c4 e2 5d 2c 31          vmaskmovps \(%rcx\),%ymm4,%ymm6
16 [       ]*[a-f0-9]+:    c4 e2 4d 2e 21          vmaskmovps %ymm4,%ymm6,\(%rcx\)
17 [       ]*[a-f0-9]+:    c4 e3 7d 05 d6 07       vpermilpd \$0x7,%ymm6,%ymm2
18 [       ]*[a-f0-9]+:    c4 e3 7d 05 31 07       vpermilpd \$0x7,\(%rcx\),%ymm6
19 [       ]*[a-f0-9]+:    c4 e3 7d 04 d6 07       vpermilps \$0x7,%ymm6,%ymm2
20 [       ]*[a-f0-9]+:    c4 e3 7d 04 31 07       vpermilps \$0x7,\(%rcx\),%ymm6
21 [       ]*[a-f0-9]+:    c4 e3 7d 09 d6 07       vroundpd \$0x7,%ymm6,%ymm2
22 [       ]*[a-f0-9]+:    c4 e3 7d 09 31 07       vroundpd \$0x7,\(%rcx\),%ymm6
23 [       ]*[a-f0-9]+:    c4 e3 7d 08 d6 07       vroundps \$0x7,%ymm6,%ymm2
24 [       ]*[a-f0-9]+:    c4 e3 7d 08 31 07       vroundps \$0x7,\(%rcx\),%ymm6
25 [       ]*[a-f0-9]+:    c5 cd 58 d4             vaddpd %ymm4,%ymm6,%ymm2
26 [       ]*[a-f0-9]+:    c5 cd 58 11             vaddpd \(%rcx\),%ymm6,%ymm2
27 [       ]*[a-f0-9]+:    c5 cc 58 d4             vaddps %ymm4,%ymm6,%ymm2
28 [       ]*[a-f0-9]+:    c5 cc 58 11             vaddps \(%rcx\),%ymm6,%ymm2
29 [       ]*[a-f0-9]+:    c5 cd d0 d4             vaddsubpd %ymm4,%ymm6,%ymm2
30 [       ]*[a-f0-9]+:    c5 cd d0 11             vaddsubpd \(%rcx\),%ymm6,%ymm2
31 [       ]*[a-f0-9]+:    c5 cf d0 d4             vaddsubps %ymm4,%ymm6,%ymm2
32 [       ]*[a-f0-9]+:    c5 cf d0 11             vaddsubps \(%rcx\),%ymm6,%ymm2
33 [       ]*[a-f0-9]+:    c5 cd 55 d4             vandnpd %ymm4,%ymm6,%ymm2
34 [       ]*[a-f0-9]+:    c5 cd 55 11             vandnpd \(%rcx\),%ymm6,%ymm2
35 [       ]*[a-f0-9]+:    c5 cc 55 d4             vandnps %ymm4,%ymm6,%ymm2
36 [       ]*[a-f0-9]+:    c5 cc 55 11             vandnps \(%rcx\),%ymm6,%ymm2
37 [       ]*[a-f0-9]+:    c5 cd 54 d4             vandpd %ymm4,%ymm6,%ymm2
38 [       ]*[a-f0-9]+:    c5 cd 54 11             vandpd \(%rcx\),%ymm6,%ymm2
39 [       ]*[a-f0-9]+:    c5 cc 54 d4             vandps %ymm4,%ymm6,%ymm2
40 [       ]*[a-f0-9]+:    c5 cc 54 11             vandps \(%rcx\),%ymm6,%ymm2
41 [       ]*[a-f0-9]+:    c5 cd 5e d4             vdivpd %ymm4,%ymm6,%ymm2
42 [       ]*[a-f0-9]+:    c5 cd 5e 11             vdivpd \(%rcx\),%ymm6,%ymm2
43 [       ]*[a-f0-9]+:    c5 cc 5e d4             vdivps %ymm4,%ymm6,%ymm2
44 [       ]*[a-f0-9]+:    c5 cc 5e 11             vdivps \(%rcx\),%ymm6,%ymm2
45 [       ]*[a-f0-9]+:    c5 cd 7c d4             vhaddpd %ymm4,%ymm6,%ymm2
46 [       ]*[a-f0-9]+:    c5 cd 7c 11             vhaddpd \(%rcx\),%ymm6,%ymm2
47 [       ]*[a-f0-9]+:    c5 cf 7c d4             vhaddps %ymm4,%ymm6,%ymm2
48 [       ]*[a-f0-9]+:    c5 cf 7c 11             vhaddps \(%rcx\),%ymm6,%ymm2
49 [       ]*[a-f0-9]+:    c5 cd 7d d4             vhsubpd %ymm4,%ymm6,%ymm2
50 [       ]*[a-f0-9]+:    c5 cd 7d 11             vhsubpd \(%rcx\),%ymm6,%ymm2
51 [       ]*[a-f0-9]+:    c5 cf 7d d4             vhsubps %ymm4,%ymm6,%ymm2
52 [       ]*[a-f0-9]+:    c5 cf 7d 11             vhsubps \(%rcx\),%ymm6,%ymm2
53 [       ]*[a-f0-9]+:    c5 cd 5f d4             vmaxpd %ymm4,%ymm6,%ymm2
54 [       ]*[a-f0-9]+:    c5 cd 5f 11             vmaxpd \(%rcx\),%ymm6,%ymm2
55 [       ]*[a-f0-9]+:    c5 cc 5f d4             vmaxps %ymm4,%ymm6,%ymm2
56 [       ]*[a-f0-9]+:    c5 cc 5f 11             vmaxps \(%rcx\),%ymm6,%ymm2
57 [       ]*[a-f0-9]+:    c5 cd 5d d4             vminpd %ymm4,%ymm6,%ymm2
58 [       ]*[a-f0-9]+:    c5 cd 5d 11             vminpd \(%rcx\),%ymm6,%ymm2
59 [       ]*[a-f0-9]+:    c5 cc 5d d4             vminps %ymm4,%ymm6,%ymm2
60 [       ]*[a-f0-9]+:    c5 cc 5d 11             vminps \(%rcx\),%ymm6,%ymm2
61 [       ]*[a-f0-9]+:    c5 cd 59 d4             vmulpd %ymm4,%ymm6,%ymm2
62 [       ]*[a-f0-9]+:    c5 cd 59 11             vmulpd \(%rcx\),%ymm6,%ymm2
63 [       ]*[a-f0-9]+:    c5 cc 59 d4             vmulps %ymm4,%ymm6,%ymm2
64 [       ]*[a-f0-9]+:    c5 cc 59 11             vmulps \(%rcx\),%ymm6,%ymm2
65 [       ]*[a-f0-9]+:    c5 cd 56 d4             vorpd  %ymm4,%ymm6,%ymm2
66 [       ]*[a-f0-9]+:    c5 cd 56 11             vorpd  \(%rcx\),%ymm6,%ymm2
67 [       ]*[a-f0-9]+:    c5 cc 56 d4             vorps  %ymm4,%ymm6,%ymm2
68 [       ]*[a-f0-9]+:    c5 cc 56 11             vorps  \(%rcx\),%ymm6,%ymm2
69 [       ]*[a-f0-9]+:    c4 e2 4d 0d d4          vpermilpd %ymm4,%ymm6,%ymm2
70 [       ]*[a-f0-9]+:    c4 e2 4d 0d 11          vpermilpd \(%rcx\),%ymm6,%ymm2
71 [       ]*[a-f0-9]+:    c4 e2 4d 0c d4          vpermilps %ymm4,%ymm6,%ymm2
72 [       ]*[a-f0-9]+:    c4 e2 4d 0c 11          vpermilps \(%rcx\),%ymm6,%ymm2
73 [       ]*[a-f0-9]+:    c5 cd 5c d4             vsubpd %ymm4,%ymm6,%ymm2
74 [       ]*[a-f0-9]+:    c5 cd 5c 11             vsubpd \(%rcx\),%ymm6,%ymm2
75 [       ]*[a-f0-9]+:    c5 cc 5c d4             vsubps %ymm4,%ymm6,%ymm2
76 [       ]*[a-f0-9]+:    c5 cc 5c 11             vsubps \(%rcx\),%ymm6,%ymm2
77 [       ]*[a-f0-9]+:    c5 cd 15 d4             vunpckhpd %ymm4,%ymm6,%ymm2
78 [       ]*[a-f0-9]+:    c5 cd 15 11             vunpckhpd \(%rcx\),%ymm6,%ymm2
79 [       ]*[a-f0-9]+:    c5 cc 15 d4             vunpckhps %ymm4,%ymm6,%ymm2
80 [       ]*[a-f0-9]+:    c5 cc 15 11             vunpckhps \(%rcx\),%ymm6,%ymm2
81 [       ]*[a-f0-9]+:    c5 cd 14 d4             vunpcklpd %ymm4,%ymm6,%ymm2
82 [       ]*[a-f0-9]+:    c5 cd 14 11             vunpcklpd \(%rcx\),%ymm6,%ymm2
83 [       ]*[a-f0-9]+:    c5 cc 14 d4             vunpcklps %ymm4,%ymm6,%ymm2
84 [       ]*[a-f0-9]+:    c5 cc 14 11             vunpcklps \(%rcx\),%ymm6,%ymm2
85 [       ]*[a-f0-9]+:    c5 cd 57 d4             vxorpd %ymm4,%ymm6,%ymm2
86 [       ]*[a-f0-9]+:    c5 cd 57 11             vxorpd \(%rcx\),%ymm6,%ymm2
87 [       ]*[a-f0-9]+:    c5 cc 57 d4             vxorps %ymm4,%ymm6,%ymm2
88 [       ]*[a-f0-9]+:    c5 cc 57 11             vxorps \(%rcx\),%ymm6,%ymm2
89 [       ]*[a-f0-9]+:    c5 cd c2 d4 00          vcmpeqpd %ymm4,%ymm6,%ymm2
90 [       ]*[a-f0-9]+:    c5 cd c2 11 00          vcmpeqpd \(%rcx\),%ymm6,%ymm2
91 [       ]*[a-f0-9]+:    c5 cd c2 d4 01          vcmpltpd %ymm4,%ymm6,%ymm2
92 [       ]*[a-f0-9]+:    c5 cd c2 11 01          vcmpltpd \(%rcx\),%ymm6,%ymm2
93 [       ]*[a-f0-9]+:    c5 cd c2 d4 02          vcmplepd %ymm4,%ymm6,%ymm2
94 [       ]*[a-f0-9]+:    c5 cd c2 11 02          vcmplepd \(%rcx\),%ymm6,%ymm2
95 [       ]*[a-f0-9]+:    c5 cd c2 d4 03          vcmpunordpd %ymm4,%ymm6,%ymm2
96 [       ]*[a-f0-9]+:    c5 cd c2 11 03          vcmpunordpd \(%rcx\),%ymm6,%ymm2
97 [       ]*[a-f0-9]+:    c5 cd c2 d4 04          vcmpneqpd %ymm4,%ymm6,%ymm2
98 [       ]*[a-f0-9]+:    c5 cd c2 11 04          vcmpneqpd \(%rcx\),%ymm6,%ymm2
99 [       ]*[a-f0-9]+:    c5 cd c2 d4 05          vcmpnltpd %ymm4,%ymm6,%ymm2
100 [       ]*[a-f0-9]+:    c5 cd c2 11 05          vcmpnltpd \(%rcx\),%ymm6,%ymm2
101 [       ]*[a-f0-9]+:    c5 cd c2 d4 06          vcmpnlepd %ymm4,%ymm6,%ymm2
102 [       ]*[a-f0-9]+:    c5 cd c2 11 06          vcmpnlepd \(%rcx\),%ymm6,%ymm2
103 [       ]*[a-f0-9]+:    c5 cd c2 d4 07          vcmpordpd %ymm4,%ymm6,%ymm2
104 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%rcx\),%ymm6,%ymm2
105 [       ]*[a-f0-9]+:    c5 cd c2 d4 08          vcmpeq_uqpd %ymm4,%ymm6,%ymm2
106 [       ]*[a-f0-9]+:    c5 cd c2 11 08          vcmpeq_uqpd \(%rcx\),%ymm6,%ymm2
107 [       ]*[a-f0-9]+:    c5 cd c2 d4 09          vcmpngepd %ymm4,%ymm6,%ymm2
108 [       ]*[a-f0-9]+:    c5 cd c2 11 09          vcmpngepd \(%rcx\),%ymm6,%ymm2
109 [       ]*[a-f0-9]+:    c5 cd c2 d4 0a          vcmpngtpd %ymm4,%ymm6,%ymm2
110 [       ]*[a-f0-9]+:    c5 cd c2 11 0a          vcmpngtpd \(%rcx\),%ymm6,%ymm2
111 [       ]*[a-f0-9]+:    c5 cd c2 d4 0b          vcmpfalsepd %ymm4,%ymm6,%ymm2
112 [       ]*[a-f0-9]+:    c5 cd c2 11 0b          vcmpfalsepd \(%rcx\),%ymm6,%ymm2
113 [       ]*[a-f0-9]+:    c5 cd c2 d4 0c          vcmpneq_oqpd %ymm4,%ymm6,%ymm2
114 [       ]*[a-f0-9]+:    c5 cd c2 11 0c          vcmpneq_oqpd \(%rcx\),%ymm6,%ymm2
115 [       ]*[a-f0-9]+:    c5 cd c2 d4 0d          vcmpgepd %ymm4,%ymm6,%ymm2
116 [       ]*[a-f0-9]+:    c5 cd c2 11 0d          vcmpgepd \(%rcx\),%ymm6,%ymm2
117 [       ]*[a-f0-9]+:    c5 cd c2 d4 0e          vcmpgtpd %ymm4,%ymm6,%ymm2
118 [       ]*[a-f0-9]+:    c5 cd c2 11 0e          vcmpgtpd \(%rcx\),%ymm6,%ymm2
119 [       ]*[a-f0-9]+:    c5 cd c2 d4 0f          vcmptruepd %ymm4,%ymm6,%ymm2
120 [       ]*[a-f0-9]+:    c5 cd c2 11 0f          vcmptruepd \(%rcx\),%ymm6,%ymm2
121 [       ]*[a-f0-9]+:    c5 cd c2 d4 10          vcmpeq_ospd %ymm4,%ymm6,%ymm2
122 [       ]*[a-f0-9]+:    c5 cd c2 11 10          vcmpeq_ospd \(%rcx\),%ymm6,%ymm2
123 [       ]*[a-f0-9]+:    c5 cd c2 d4 11          vcmplt_oqpd %ymm4,%ymm6,%ymm2
124 [       ]*[a-f0-9]+:    c5 cd c2 11 11          vcmplt_oqpd \(%rcx\),%ymm6,%ymm2
125 [       ]*[a-f0-9]+:    c5 cd c2 d4 12          vcmple_oqpd %ymm4,%ymm6,%ymm2
126 [       ]*[a-f0-9]+:    c5 cd c2 11 12          vcmple_oqpd \(%rcx\),%ymm6,%ymm2
127 [       ]*[a-f0-9]+:    c5 cd c2 d4 13          vcmpunord_spd %ymm4,%ymm6,%ymm2
128 [       ]*[a-f0-9]+:    c5 cd c2 11 13          vcmpunord_spd \(%rcx\),%ymm6,%ymm2
129 [       ]*[a-f0-9]+:    c5 cd c2 d4 14          vcmpneq_uspd %ymm4,%ymm6,%ymm2
130 [       ]*[a-f0-9]+:    c5 cd c2 11 14          vcmpneq_uspd \(%rcx\),%ymm6,%ymm2
131 [       ]*[a-f0-9]+:    c5 cd c2 d4 15          vcmpnlt_uqpd %ymm4,%ymm6,%ymm2
132 [       ]*[a-f0-9]+:    c5 cd c2 11 15          vcmpnlt_uqpd \(%rcx\),%ymm6,%ymm2
133 [       ]*[a-f0-9]+:    c5 cd c2 d4 16          vcmpnle_uqpd %ymm4,%ymm6,%ymm2
134 [       ]*[a-f0-9]+:    c5 cd c2 11 16          vcmpnle_uqpd \(%rcx\),%ymm6,%ymm2
135 [       ]*[a-f0-9]+:    c5 cd c2 d4 17          vcmpord_spd %ymm4,%ymm6,%ymm2
136 [       ]*[a-f0-9]+:    c5 cd c2 11 17          vcmpord_spd \(%rcx\),%ymm6,%ymm2
137 [       ]*[a-f0-9]+:    c5 cd c2 d4 18          vcmpeq_uspd %ymm4,%ymm6,%ymm2
138 [       ]*[a-f0-9]+:    c5 cd c2 11 18          vcmpeq_uspd \(%rcx\),%ymm6,%ymm2
139 [       ]*[a-f0-9]+:    c5 cd c2 d4 19          vcmpnge_uqpd %ymm4,%ymm6,%ymm2
140 [       ]*[a-f0-9]+:    c5 cd c2 11 19          vcmpnge_uqpd \(%rcx\),%ymm6,%ymm2
141 [       ]*[a-f0-9]+:    c5 cd c2 d4 1a          vcmpngt_uqpd %ymm4,%ymm6,%ymm2
142 [       ]*[a-f0-9]+:    c5 cd c2 11 1a          vcmpngt_uqpd \(%rcx\),%ymm6,%ymm2
143 [       ]*[a-f0-9]+:    c5 cd c2 d4 1b          vcmpfalse_ospd %ymm4,%ymm6,%ymm2
144 [       ]*[a-f0-9]+:    c5 cd c2 11 1b          vcmpfalse_ospd \(%rcx\),%ymm6,%ymm2
145 [       ]*[a-f0-9]+:    c5 cd c2 d4 1c          vcmpneq_ospd %ymm4,%ymm6,%ymm2
146 [       ]*[a-f0-9]+:    c5 cd c2 11 1c          vcmpneq_ospd \(%rcx\),%ymm6,%ymm2
147 [       ]*[a-f0-9]+:    c5 cd c2 d4 1d          vcmpge_oqpd %ymm4,%ymm6,%ymm2
148 [       ]*[a-f0-9]+:    c5 cd c2 11 1d          vcmpge_oqpd \(%rcx\),%ymm6,%ymm2
149 [       ]*[a-f0-9]+:    c5 cd c2 d4 1e          vcmpgt_oqpd %ymm4,%ymm6,%ymm2
150 [       ]*[a-f0-9]+:    c5 cd c2 11 1e          vcmpgt_oqpd \(%rcx\),%ymm6,%ymm2
151 [       ]*[a-f0-9]+:    c5 cd c2 d4 1f          vcmptrue_uspd %ymm4,%ymm6,%ymm2
152 [       ]*[a-f0-9]+:    c5 cd c2 11 1f          vcmptrue_uspd \(%rcx\),%ymm6,%ymm2
153 [       ]*[a-f0-9]+:    c5 cc c2 d4 00          vcmpeqps %ymm4,%ymm6,%ymm2
154 [       ]*[a-f0-9]+:    c5 cc c2 11 00          vcmpeqps \(%rcx\),%ymm6,%ymm2
155 [       ]*[a-f0-9]+:    c5 cc c2 d4 01          vcmpltps %ymm4,%ymm6,%ymm2
156 [       ]*[a-f0-9]+:    c5 cc c2 11 01          vcmpltps \(%rcx\),%ymm6,%ymm2
157 [       ]*[a-f0-9]+:    c5 cc c2 d4 02          vcmpleps %ymm4,%ymm6,%ymm2
158 [       ]*[a-f0-9]+:    c5 cc c2 11 02          vcmpleps \(%rcx\),%ymm6,%ymm2
159 [       ]*[a-f0-9]+:    c5 cc c2 d4 03          vcmpunordps %ymm4,%ymm6,%ymm2
160 [       ]*[a-f0-9]+:    c5 cc c2 11 03          vcmpunordps \(%rcx\),%ymm6,%ymm2
161 [       ]*[a-f0-9]+:    c5 cc c2 d4 04          vcmpneqps %ymm4,%ymm6,%ymm2
162 [       ]*[a-f0-9]+:    c5 cc c2 11 04          vcmpneqps \(%rcx\),%ymm6,%ymm2
163 [       ]*[a-f0-9]+:    c5 cc c2 d4 05          vcmpnltps %ymm4,%ymm6,%ymm2
164 [       ]*[a-f0-9]+:    c5 cc c2 11 05          vcmpnltps \(%rcx\),%ymm6,%ymm2
165 [       ]*[a-f0-9]+:    c5 cc c2 d4 06          vcmpnleps %ymm4,%ymm6,%ymm2
166 [       ]*[a-f0-9]+:    c5 cc c2 11 06          vcmpnleps \(%rcx\),%ymm6,%ymm2
167 [       ]*[a-f0-9]+:    c5 cc c2 d4 07          vcmpordps %ymm4,%ymm6,%ymm2
168 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%rcx\),%ymm6,%ymm2
169 [       ]*[a-f0-9]+:    c5 cc c2 d4 08          vcmpeq_uqps %ymm4,%ymm6,%ymm2
170 [       ]*[a-f0-9]+:    c5 cc c2 11 08          vcmpeq_uqps \(%rcx\),%ymm6,%ymm2
171 [       ]*[a-f0-9]+:    c5 cc c2 d4 09          vcmpngeps %ymm4,%ymm6,%ymm2
172 [       ]*[a-f0-9]+:    c5 cc c2 11 09          vcmpngeps \(%rcx\),%ymm6,%ymm2
173 [       ]*[a-f0-9]+:    c5 cc c2 d4 0a          vcmpngtps %ymm4,%ymm6,%ymm2
174 [       ]*[a-f0-9]+:    c5 cc c2 11 0a          vcmpngtps \(%rcx\),%ymm6,%ymm2
175 [       ]*[a-f0-9]+:    c5 cc c2 d4 0b          vcmpfalseps %ymm4,%ymm6,%ymm2
176 [       ]*[a-f0-9]+:    c5 cc c2 11 0b          vcmpfalseps \(%rcx\),%ymm6,%ymm2
177 [       ]*[a-f0-9]+:    c5 cc c2 d4 0c          vcmpneq_oqps %ymm4,%ymm6,%ymm2
178 [       ]*[a-f0-9]+:    c5 cc c2 11 0c          vcmpneq_oqps \(%rcx\),%ymm6,%ymm2
179 [       ]*[a-f0-9]+:    c5 cc c2 d4 0d          vcmpgeps %ymm4,%ymm6,%ymm2
180 [       ]*[a-f0-9]+:    c5 cc c2 11 0d          vcmpgeps \(%rcx\),%ymm6,%ymm2
181 [       ]*[a-f0-9]+:    c5 cc c2 d4 0e          vcmpgtps %ymm4,%ymm6,%ymm2
182 [       ]*[a-f0-9]+:    c5 cc c2 11 0e          vcmpgtps \(%rcx\),%ymm6,%ymm2
183 [       ]*[a-f0-9]+:    c5 cc c2 d4 0f          vcmptrueps %ymm4,%ymm6,%ymm2
184 [       ]*[a-f0-9]+:    c5 cc c2 11 0f          vcmptrueps \(%rcx\),%ymm6,%ymm2
185 [       ]*[a-f0-9]+:    c5 cc c2 d4 10          vcmpeq_osps %ymm4,%ymm6,%ymm2
186 [       ]*[a-f0-9]+:    c5 cc c2 11 10          vcmpeq_osps \(%rcx\),%ymm6,%ymm2
187 [       ]*[a-f0-9]+:    c5 cc c2 d4 11          vcmplt_oqps %ymm4,%ymm6,%ymm2
188 [       ]*[a-f0-9]+:    c5 cc c2 11 11          vcmplt_oqps \(%rcx\),%ymm6,%ymm2
189 [       ]*[a-f0-9]+:    c5 cc c2 d4 12          vcmple_oqps %ymm4,%ymm6,%ymm2
190 [       ]*[a-f0-9]+:    c5 cc c2 11 12          vcmple_oqps \(%rcx\),%ymm6,%ymm2
191 [       ]*[a-f0-9]+:    c5 cc c2 d4 13          vcmpunord_sps %ymm4,%ymm6,%ymm2
192 [       ]*[a-f0-9]+:    c5 cc c2 11 13          vcmpunord_sps \(%rcx\),%ymm6,%ymm2
193 [       ]*[a-f0-9]+:    c5 cc c2 d4 14          vcmpneq_usps %ymm4,%ymm6,%ymm2
194 [       ]*[a-f0-9]+:    c5 cc c2 11 14          vcmpneq_usps \(%rcx\),%ymm6,%ymm2
195 [       ]*[a-f0-9]+:    c5 cc c2 d4 15          vcmpnlt_uqps %ymm4,%ymm6,%ymm2
196 [       ]*[a-f0-9]+:    c5 cc c2 11 15          vcmpnlt_uqps \(%rcx\),%ymm6,%ymm2
197 [       ]*[a-f0-9]+:    c5 cc c2 d4 16          vcmpnle_uqps %ymm4,%ymm6,%ymm2
198 [       ]*[a-f0-9]+:    c5 cc c2 11 16          vcmpnle_uqps \(%rcx\),%ymm6,%ymm2
199 [       ]*[a-f0-9]+:    c5 cc c2 d4 17          vcmpord_sps %ymm4,%ymm6,%ymm2
200 [       ]*[a-f0-9]+:    c5 cc c2 11 17          vcmpord_sps \(%rcx\),%ymm6,%ymm2
201 [       ]*[a-f0-9]+:    c5 cc c2 d4 18          vcmpeq_usps %ymm4,%ymm6,%ymm2
202 [       ]*[a-f0-9]+:    c5 cc c2 11 18          vcmpeq_usps \(%rcx\),%ymm6,%ymm2
203 [       ]*[a-f0-9]+:    c5 cc c2 d4 19          vcmpnge_uqps %ymm4,%ymm6,%ymm2
204 [       ]*[a-f0-9]+:    c5 cc c2 11 19          vcmpnge_uqps \(%rcx\),%ymm6,%ymm2
205 [       ]*[a-f0-9]+:    c5 cc c2 d4 1a          vcmpngt_uqps %ymm4,%ymm6,%ymm2
206 [       ]*[a-f0-9]+:    c5 cc c2 11 1a          vcmpngt_uqps \(%rcx\),%ymm6,%ymm2
207 [       ]*[a-f0-9]+:    c5 cc c2 d4 1b          vcmpfalse_osps %ymm4,%ymm6,%ymm2
208 [       ]*[a-f0-9]+:    c5 cc c2 11 1b          vcmpfalse_osps \(%rcx\),%ymm6,%ymm2
209 [       ]*[a-f0-9]+:    c5 cc c2 d4 1c          vcmpneq_osps %ymm4,%ymm6,%ymm2
210 [       ]*[a-f0-9]+:    c5 cc c2 11 1c          vcmpneq_osps \(%rcx\),%ymm6,%ymm2
211 [       ]*[a-f0-9]+:    c5 cc c2 d4 1d          vcmpge_oqps %ymm4,%ymm6,%ymm2
212 [       ]*[a-f0-9]+:    c5 cc c2 11 1d          vcmpge_oqps \(%rcx\),%ymm6,%ymm2
213 [       ]*[a-f0-9]+:    c5 cc c2 d4 1e          vcmpgt_oqps %ymm4,%ymm6,%ymm2
214 [       ]*[a-f0-9]+:    c5 cc c2 11 1e          vcmpgt_oqps \(%rcx\),%ymm6,%ymm2
215 [       ]*[a-f0-9]+:    c5 cc c2 d4 1f          vcmptrue_usps %ymm4,%ymm6,%ymm2
216 [       ]*[a-f0-9]+:    c5 cc c2 11 1f          vcmptrue_usps \(%rcx\),%ymm6,%ymm2
217 [       ]*[a-f0-9]+:    c5 ff e6 e4             vcvtpd2dq %ymm4,%xmm4
218 [       ]*[a-f0-9]+:    c5 ff e6 21             vcvtpd2dqy \(%rcx\),%xmm4
219 [       ]*[a-f0-9]+:    c5 fd 5a e4             vcvtpd2ps %ymm4,%xmm4
220 [       ]*[a-f0-9]+:    c5 fd 5a 21             vcvtpd2psy \(%rcx\),%xmm4
221 [       ]*[a-f0-9]+:    c5 fd e6 e4             vcvttpd2dq %ymm4,%xmm4
222 [       ]*[a-f0-9]+:    c5 fd e6 21             vcvttpd2dqy \(%rcx\),%xmm4
223 [       ]*[a-f0-9]+:    c5 fc 5b f4             vcvtdq2ps %ymm4,%ymm6
224 [       ]*[a-f0-9]+:    c5 fc 5b 21             vcvtdq2ps \(%rcx\),%ymm4
225 [       ]*[a-f0-9]+:    c5 fd 5b f4             vcvtps2dq %ymm4,%ymm6
226 [       ]*[a-f0-9]+:    c5 fd 5b 21             vcvtps2dq \(%rcx\),%ymm4
227 [       ]*[a-f0-9]+:    c5 fe 5b f4             vcvttps2dq %ymm4,%ymm6
228 [       ]*[a-f0-9]+:    c5 fe 5b 21             vcvttps2dq \(%rcx\),%ymm4
229 [       ]*[a-f0-9]+:    c5 fd 28 f4             vmovapd %ymm4,%ymm6
230 [       ]*[a-f0-9]+:    c5 fd 28 21             vmovapd \(%rcx\),%ymm4
231 [       ]*[a-f0-9]+:    c5 fc 28 f4             vmovaps %ymm4,%ymm6
232 [       ]*[a-f0-9]+:    c5 fc 28 21             vmovaps \(%rcx\),%ymm4
233 [       ]*[a-f0-9]+:    c5 fd 6f f4             vmovdqa %ymm4,%ymm6
234 [       ]*[a-f0-9]+:    c5 fd 6f 21             vmovdqa \(%rcx\),%ymm4
235 [       ]*[a-f0-9]+:    c5 fe 6f f4             vmovdqu %ymm4,%ymm6
236 [       ]*[a-f0-9]+:    c5 fe 6f 21             vmovdqu \(%rcx\),%ymm4
237 [       ]*[a-f0-9]+:    c5 ff 12 f4             vmovddup %ymm4,%ymm6
238 [       ]*[a-f0-9]+:    c5 ff 12 21             vmovddup \(%rcx\),%ymm4
239 [       ]*[a-f0-9]+:    c5 fe 16 f4             vmovshdup %ymm4,%ymm6
240 [       ]*[a-f0-9]+:    c5 fe 16 21             vmovshdup \(%rcx\),%ymm4
241 [       ]*[a-f0-9]+:    c5 fe 12 f4             vmovsldup %ymm4,%ymm6
242 [       ]*[a-f0-9]+:    c5 fe 12 21             vmovsldup \(%rcx\),%ymm4
243 [       ]*[a-f0-9]+:    c5 fd 10 f4             vmovupd %ymm4,%ymm6
244 [       ]*[a-f0-9]+:    c5 fd 10 21             vmovupd \(%rcx\),%ymm4
245 [       ]*[a-f0-9]+:    c5 fc 10 f4             vmovups %ymm4,%ymm6
246 [       ]*[a-f0-9]+:    c5 fc 10 21             vmovups \(%rcx\),%ymm4
247 [       ]*[a-f0-9]+:    c4 e2 7d 17 f4          vptest %ymm4,%ymm6
248 [       ]*[a-f0-9]+:    c4 e2 7d 17 21          vptest \(%rcx\),%ymm4
249 [       ]*[a-f0-9]+:    c5 fc 53 f4             vrcpps %ymm4,%ymm6
250 [       ]*[a-f0-9]+:    c5 fc 53 21             vrcpps \(%rcx\),%ymm4
251 [       ]*[a-f0-9]+:    c5 fc 52 f4             vrsqrtps %ymm4,%ymm6
252 [       ]*[a-f0-9]+:    c5 fc 52 21             vrsqrtps \(%rcx\),%ymm4
253 [       ]*[a-f0-9]+:    c5 fd 51 f4             vsqrtpd %ymm4,%ymm6
254 [       ]*[a-f0-9]+:    c5 fd 51 21             vsqrtpd \(%rcx\),%ymm4
255 [       ]*[a-f0-9]+:    c5 fc 51 f4             vsqrtps %ymm4,%ymm6
256 [       ]*[a-f0-9]+:    c5 fc 51 21             vsqrtps \(%rcx\),%ymm4
257 [       ]*[a-f0-9]+:    c4 e2 7d 0f f4          vtestpd %ymm4,%ymm6
258 [       ]*[a-f0-9]+:    c4 e2 7d 0f 21          vtestpd \(%rcx\),%ymm4
259 [       ]*[a-f0-9]+:    c4 e2 7d 0e f4          vtestps %ymm4,%ymm6
260 [       ]*[a-f0-9]+:    c4 e2 7d 0e 21          vtestps \(%rcx\),%ymm4
261 [       ]*[a-f0-9]+:    c5 fd 28 f4             vmovapd %ymm4,%ymm6
262 [       ]*[a-f0-9]+:    c5 fd 29 21             vmovapd %ymm4,\(%rcx\)
263 [       ]*[a-f0-9]+:    c5 fc 28 f4             vmovaps %ymm4,%ymm6
264 [       ]*[a-f0-9]+:    c5 fc 29 21             vmovaps %ymm4,\(%rcx\)
265 [       ]*[a-f0-9]+:    c5 fd 6f f4             vmovdqa %ymm4,%ymm6
266 [       ]*[a-f0-9]+:    c5 fd 7f 21             vmovdqa %ymm4,\(%rcx\)
267 [       ]*[a-f0-9]+:    c5 fe 6f f4             vmovdqu %ymm4,%ymm6
268 [       ]*[a-f0-9]+:    c5 fe 7f 21             vmovdqu %ymm4,\(%rcx\)
269 [       ]*[a-f0-9]+:    c5 fd 10 f4             vmovupd %ymm4,%ymm6
270 [       ]*[a-f0-9]+:    c5 fd 11 21             vmovupd %ymm4,\(%rcx\)
271 [       ]*[a-f0-9]+:    c5 fc 10 f4             vmovups %ymm4,%ymm6
272 [       ]*[a-f0-9]+:    c5 fc 11 21             vmovups %ymm4,\(%rcx\)
273 [       ]*[a-f0-9]+:    c5 ff f0 21             vlddqu \(%rcx\),%ymm4
274 [       ]*[a-f0-9]+:    c5 fd e7 21             vmovntdq %ymm4,\(%rcx\)
275 [       ]*[a-f0-9]+:    c5 fd 2b 21             vmovntpd %ymm4,\(%rcx\)
276 [       ]*[a-f0-9]+:    c5 fc 2b 21             vmovntps %ymm4,\(%rcx\)
277 [       ]*[a-f0-9]+:    c4 e3 4d 0d d4 07       vblendpd \$0x7,%ymm4,%ymm6,%ymm2
278 [       ]*[a-f0-9]+:    c4 e3 4d 0d 11 07       vblendpd \$0x7,\(%rcx\),%ymm6,%ymm2
279 [       ]*[a-f0-9]+:    c4 e3 4d 0c d4 07       vblendps \$0x7,%ymm4,%ymm6,%ymm2
280 [       ]*[a-f0-9]+:    c4 e3 4d 0c 11 07       vblendps \$0x7,\(%rcx\),%ymm6,%ymm2
281 [       ]*[a-f0-9]+:    c5 cd c2 d4 07          vcmpordpd %ymm4,%ymm6,%ymm2
282 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%rcx\),%ymm6,%ymm2
283 [       ]*[a-f0-9]+:    c5 cc c2 d4 07          vcmpordps %ymm4,%ymm6,%ymm2
284 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%rcx\),%ymm6,%ymm2
285 [       ]*[a-f0-9]+:    c4 e3 4d 40 d4 07       vdpps  \$0x7,%ymm4,%ymm6,%ymm2
286 [       ]*[a-f0-9]+:    c4 e3 4d 40 11 07       vdpps  \$0x7,\(%rcx\),%ymm6,%ymm2
287 [       ]*[a-f0-9]+:    c4 e3 4d 06 d4 07       vperm2f128 \$0x7,%ymm4,%ymm6,%ymm2
288 [       ]*[a-f0-9]+:    c4 e3 4d 06 11 07       vperm2f128 \$0x7,\(%rcx\),%ymm6,%ymm2
289 [       ]*[a-f0-9]+:    c5 cd c6 d4 07          vshufpd \$0x7,%ymm4,%ymm6,%ymm2
290 [       ]*[a-f0-9]+:    c5 cd c6 11 07          vshufpd \$0x7,\(%rcx\),%ymm6,%ymm2
291 [       ]*[a-f0-9]+:    c5 cc c6 d4 07          vshufps \$0x7,%ymm4,%ymm6,%ymm2
292 [       ]*[a-f0-9]+:    c5 cc c6 11 07          vshufps \$0x7,\(%rcx\),%ymm6,%ymm2
293 [       ]*[a-f0-9]+:    c4 e3 6d 4b fe 40       vblendvpd %ymm4,%ymm6,%ymm2,%ymm7
294 [       ]*[a-f0-9]+:    c4 e3 6d 4b 39 40       vblendvpd %ymm4,\(%rcx\),%ymm2,%ymm7
295 [       ]*[a-f0-9]+:    c4 e3 6d 4a fe 40       vblendvps %ymm4,%ymm6,%ymm2,%ymm7
296 [       ]*[a-f0-9]+:    c4 e3 6d 4a 39 40       vblendvps %ymm4,\(%rcx\),%ymm2,%ymm7
297 [       ]*[a-f0-9]+:    c4 e3 5d 18 f4 07       vinsertf128 \$0x7,%xmm4,%ymm4,%ymm6
298 [       ]*[a-f0-9]+:    c4 e3 5d 18 31 07       vinsertf128 \$0x7,\(%rcx\),%ymm4,%ymm6
299 [       ]*[a-f0-9]+:    c4 e3 7d 19 e4 07       vextractf128 \$0x7,%ymm4,%xmm4
300 [       ]*[a-f0-9]+:    c4 e3 7d 19 21 07       vextractf128 \$0x7,%ymm4,\(%rcx\)
301 [       ]*[a-f0-9]+:    c4 e2 7d 1a 21          vbroadcastf128 \(%rcx\),%ymm4
302 [       ]*[a-f0-9]+:    c5 f8 5b f4             vcvtdq2ps %xmm4,%xmm6
303 [       ]*[a-f0-9]+:    c5 f8 5b 21             vcvtdq2ps \(%rcx\),%xmm4
304 [       ]*[a-f0-9]+:    c5 fb e6 f4             vcvtpd2dq %xmm4,%xmm6
305 [       ]*[a-f0-9]+:    c5 fb e6 21             vcvtpd2dqx \(%rcx\),%xmm4
306 [       ]*[a-f0-9]+:    c5 f9 5a f4             vcvtpd2ps %xmm4,%xmm6
307 [       ]*[a-f0-9]+:    c5 f9 5a 21             vcvtpd2psx \(%rcx\),%xmm4
308 [       ]*[a-f0-9]+:    c5 f9 5b f4             vcvtps2dq %xmm4,%xmm6
309 [       ]*[a-f0-9]+:    c5 f9 5b 21             vcvtps2dq \(%rcx\),%xmm4
310 [       ]*[a-f0-9]+:    c5 f9 e6 f4             vcvttpd2dq %xmm4,%xmm6
311 [       ]*[a-f0-9]+:    c5 f9 e6 21             vcvttpd2dqx \(%rcx\),%xmm4
312 [       ]*[a-f0-9]+:    c5 fa 5b f4             vcvttps2dq %xmm4,%xmm6
313 [       ]*[a-f0-9]+:    c5 fa 5b 21             vcvttps2dq \(%rcx\),%xmm4
314 [       ]*[a-f0-9]+:    c5 f9 28 f4             vmovapd %xmm4,%xmm6
315 [       ]*[a-f0-9]+:    c5 f9 28 21             vmovapd \(%rcx\),%xmm4
316 [       ]*[a-f0-9]+:    c5 f8 28 f4             vmovaps %xmm4,%xmm6
317 [       ]*[a-f0-9]+:    c5 f8 28 21             vmovaps \(%rcx\),%xmm4
318 [       ]*[a-f0-9]+:    c5 f9 6f f4             vmovdqa %xmm4,%xmm6
319 [       ]*[a-f0-9]+:    c5 f9 6f 21             vmovdqa \(%rcx\),%xmm4
320 [       ]*[a-f0-9]+:    c5 fa 6f f4             vmovdqu %xmm4,%xmm6
321 [       ]*[a-f0-9]+:    c5 fa 6f 21             vmovdqu \(%rcx\),%xmm4
322 [       ]*[a-f0-9]+:    c5 fa 16 f4             vmovshdup %xmm4,%xmm6
323 [       ]*[a-f0-9]+:    c5 fa 16 21             vmovshdup \(%rcx\),%xmm4
324 [       ]*[a-f0-9]+:    c5 fa 12 f4             vmovsldup %xmm4,%xmm6
325 [       ]*[a-f0-9]+:    c5 fa 12 21             vmovsldup \(%rcx\),%xmm4
326 [       ]*[a-f0-9]+:    c5 f9 10 f4             vmovupd %xmm4,%xmm6
327 [       ]*[a-f0-9]+:    c5 f9 10 21             vmovupd \(%rcx\),%xmm4
328 [       ]*[a-f0-9]+:    c5 f8 10 f4             vmovups %xmm4,%xmm6
329 [       ]*[a-f0-9]+:    c5 f8 10 21             vmovups \(%rcx\),%xmm4
330 [       ]*[a-f0-9]+:    c4 e2 79 1c f4          vpabsb %xmm4,%xmm6
331 [       ]*[a-f0-9]+:    c4 e2 79 1c 21          vpabsb \(%rcx\),%xmm4
332 [       ]*[a-f0-9]+:    c4 e2 79 1d f4          vpabsw %xmm4,%xmm6
333 [       ]*[a-f0-9]+:    c4 e2 79 1d 21          vpabsw \(%rcx\),%xmm4
334 [       ]*[a-f0-9]+:    c4 e2 79 1e f4          vpabsd %xmm4,%xmm6
335 [       ]*[a-f0-9]+:    c4 e2 79 1e 21          vpabsd \(%rcx\),%xmm4
336 [       ]*[a-f0-9]+:    c4 e2 79 41 f4          vphminposuw %xmm4,%xmm6
337 [       ]*[a-f0-9]+:    c4 e2 79 41 21          vphminposuw \(%rcx\),%xmm4
338 [       ]*[a-f0-9]+:    c4 e2 79 17 f4          vptest %xmm4,%xmm6
339 [       ]*[a-f0-9]+:    c4 e2 79 17 21          vptest \(%rcx\),%xmm4
340 [       ]*[a-f0-9]+:    c4 e2 79 0e f4          vtestps %xmm4,%xmm6
341 [       ]*[a-f0-9]+:    c4 e2 79 0e 21          vtestps \(%rcx\),%xmm4
342 [       ]*[a-f0-9]+:    c4 e2 79 0f f4          vtestpd %xmm4,%xmm6
343 [       ]*[a-f0-9]+:    c4 e2 79 0f 21          vtestpd \(%rcx\),%xmm4
344 [       ]*[a-f0-9]+:    c5 f8 53 f4             vrcpps %xmm4,%xmm6
345 [       ]*[a-f0-9]+:    c5 f8 53 21             vrcpps \(%rcx\),%xmm4
346 [       ]*[a-f0-9]+:    c5 f8 52 f4             vrsqrtps %xmm4,%xmm6
347 [       ]*[a-f0-9]+:    c5 f8 52 21             vrsqrtps \(%rcx\),%xmm4
348 [       ]*[a-f0-9]+:    c5 f9 51 f4             vsqrtpd %xmm4,%xmm6
349 [       ]*[a-f0-9]+:    c5 f9 51 21             vsqrtpd \(%rcx\),%xmm4
350 [       ]*[a-f0-9]+:    c5 f8 51 f4             vsqrtps %xmm4,%xmm6
351 [       ]*[a-f0-9]+:    c5 f8 51 21             vsqrtps \(%rcx\),%xmm4
352 [       ]*[a-f0-9]+:    c4 e2 79 db f4          vaesimc %xmm4,%xmm6
353 [       ]*[a-f0-9]+:    c4 e2 79 db 21          vaesimc \(%rcx\),%xmm4
354 [       ]*[a-f0-9]+:    c5 f9 28 f4             vmovapd %xmm4,%xmm6
355 [       ]*[a-f0-9]+:    c5 f9 29 21             vmovapd %xmm4,\(%rcx\)
356 [       ]*[a-f0-9]+:    c5 f8 28 f4             vmovaps %xmm4,%xmm6
357 [       ]*[a-f0-9]+:    c5 f8 29 21             vmovaps %xmm4,\(%rcx\)
358 [       ]*[a-f0-9]+:    c5 f9 6f f4             vmovdqa %xmm4,%xmm6
359 [       ]*[a-f0-9]+:    c5 f9 7f 21             vmovdqa %xmm4,\(%rcx\)
360 [       ]*[a-f0-9]+:    c5 fa 6f f4             vmovdqu %xmm4,%xmm6
361 [       ]*[a-f0-9]+:    c5 fa 7f 21             vmovdqu %xmm4,\(%rcx\)
362 [       ]*[a-f0-9]+:    c5 f9 10 f4             vmovupd %xmm4,%xmm6
363 [       ]*[a-f0-9]+:    c5 f9 11 21             vmovupd %xmm4,\(%rcx\)
364 [       ]*[a-f0-9]+:    c5 f8 10 f4             vmovups %xmm4,%xmm6
365 [       ]*[a-f0-9]+:    c5 f8 11 21             vmovups %xmm4,\(%rcx\)
366 [       ]*[a-f0-9]+:    c5 fb f0 21             vlddqu \(%rcx\),%xmm4
367 [       ]*[a-f0-9]+:    c4 e2 79 2a 21          vmovntdqa \(%rcx\),%xmm4
368 [       ]*[a-f0-9]+:    c5 f9 e7 21             vmovntdq %xmm4,\(%rcx\)
369 [       ]*[a-f0-9]+:    c5 f9 2b 21             vmovntpd %xmm4,\(%rcx\)
370 [       ]*[a-f0-9]+:    c5 f8 2b 21             vmovntps %xmm4,\(%rcx\)
371 [       ]*[a-f0-9]+:    c5 fe e6 e4             vcvtdq2pd %xmm4,%ymm4
372 [       ]*[a-f0-9]+:    c5 fe e6 21             vcvtdq2pd \(%rcx\),%ymm4
373 [       ]*[a-f0-9]+:    c5 fc 5a e4             vcvtps2pd %xmm4,%ymm4
374 [       ]*[a-f0-9]+:    c5 fc 5a 21             vcvtps2pd \(%rcx\),%ymm4
375 [       ]*[a-f0-9]+:    c5 c9 58 d4             vaddpd %xmm4,%xmm6,%xmm2
376 [       ]*[a-f0-9]+:    c5 c9 58 39             vaddpd \(%rcx\),%xmm6,%xmm7
377 [       ]*[a-f0-9]+:    c5 c8 58 d4             vaddps %xmm4,%xmm6,%xmm2
378 [       ]*[a-f0-9]+:    c5 c8 58 39             vaddps \(%rcx\),%xmm6,%xmm7
379 [       ]*[a-f0-9]+:    c5 c9 d0 d4             vaddsubpd %xmm4,%xmm6,%xmm2
380 [       ]*[a-f0-9]+:    c5 c9 d0 39             vaddsubpd \(%rcx\),%xmm6,%xmm7
381 [       ]*[a-f0-9]+:    c5 cb d0 d4             vaddsubps %xmm4,%xmm6,%xmm2
382 [       ]*[a-f0-9]+:    c5 cb d0 39             vaddsubps \(%rcx\),%xmm6,%xmm7
383 [       ]*[a-f0-9]+:    c5 c9 55 d4             vandnpd %xmm4,%xmm6,%xmm2
384 [       ]*[a-f0-9]+:    c5 c9 55 39             vandnpd \(%rcx\),%xmm6,%xmm7
385 [       ]*[a-f0-9]+:    c5 c8 55 d4             vandnps %xmm4,%xmm6,%xmm2
386 [       ]*[a-f0-9]+:    c5 c8 55 39             vandnps \(%rcx\),%xmm6,%xmm7
387 [       ]*[a-f0-9]+:    c5 c9 54 d4             vandpd %xmm4,%xmm6,%xmm2
388 [       ]*[a-f0-9]+:    c5 c9 54 39             vandpd \(%rcx\),%xmm6,%xmm7
389 [       ]*[a-f0-9]+:    c5 c8 54 d4             vandps %xmm4,%xmm6,%xmm2
390 [       ]*[a-f0-9]+:    c5 c8 54 39             vandps \(%rcx\),%xmm6,%xmm7
391 [       ]*[a-f0-9]+:    c5 c9 5e d4             vdivpd %xmm4,%xmm6,%xmm2
392 [       ]*[a-f0-9]+:    c5 c9 5e 39             vdivpd \(%rcx\),%xmm6,%xmm7
393 [       ]*[a-f0-9]+:    c5 c8 5e d4             vdivps %xmm4,%xmm6,%xmm2
394 [       ]*[a-f0-9]+:    c5 c8 5e 39             vdivps \(%rcx\),%xmm6,%xmm7
395 [       ]*[a-f0-9]+:    c5 c9 7c d4             vhaddpd %xmm4,%xmm6,%xmm2
396 [       ]*[a-f0-9]+:    c5 c9 7c 39             vhaddpd \(%rcx\),%xmm6,%xmm7
397 [       ]*[a-f0-9]+:    c5 cb 7c d4             vhaddps %xmm4,%xmm6,%xmm2
398 [       ]*[a-f0-9]+:    c5 cb 7c 39             vhaddps \(%rcx\),%xmm6,%xmm7
399 [       ]*[a-f0-9]+:    c5 c9 7d d4             vhsubpd %xmm4,%xmm6,%xmm2
400 [       ]*[a-f0-9]+:    c5 c9 7d 39             vhsubpd \(%rcx\),%xmm6,%xmm7
401 [       ]*[a-f0-9]+:    c5 cb 7d d4             vhsubps %xmm4,%xmm6,%xmm2
402 [       ]*[a-f0-9]+:    c5 cb 7d 39             vhsubps \(%rcx\),%xmm6,%xmm7
403 [       ]*[a-f0-9]+:    c5 c9 5f d4             vmaxpd %xmm4,%xmm6,%xmm2
404 [       ]*[a-f0-9]+:    c5 c9 5f 39             vmaxpd \(%rcx\),%xmm6,%xmm7
405 [       ]*[a-f0-9]+:    c5 c8 5f d4             vmaxps %xmm4,%xmm6,%xmm2
406 [       ]*[a-f0-9]+:    c5 c8 5f 39             vmaxps \(%rcx\),%xmm6,%xmm7
407 [       ]*[a-f0-9]+:    c5 c9 5d d4             vminpd %xmm4,%xmm6,%xmm2
408 [       ]*[a-f0-9]+:    c5 c9 5d 39             vminpd \(%rcx\),%xmm6,%xmm7
409 [       ]*[a-f0-9]+:    c5 c8 5d d4             vminps %xmm4,%xmm6,%xmm2
410 [       ]*[a-f0-9]+:    c5 c8 5d 39             vminps \(%rcx\),%xmm6,%xmm7
411 [       ]*[a-f0-9]+:    c5 c9 59 d4             vmulpd %xmm4,%xmm6,%xmm2
412 [       ]*[a-f0-9]+:    c5 c9 59 39             vmulpd \(%rcx\),%xmm6,%xmm7
413 [       ]*[a-f0-9]+:    c5 c8 59 d4             vmulps %xmm4,%xmm6,%xmm2
414 [       ]*[a-f0-9]+:    c5 c8 59 39             vmulps \(%rcx\),%xmm6,%xmm7
415 [       ]*[a-f0-9]+:    c5 c9 56 d4             vorpd  %xmm4,%xmm6,%xmm2
416 [       ]*[a-f0-9]+:    c5 c9 56 39             vorpd  \(%rcx\),%xmm6,%xmm7
417 [       ]*[a-f0-9]+:    c5 c8 56 d4             vorps  %xmm4,%xmm6,%xmm2
418 [       ]*[a-f0-9]+:    c5 c8 56 39             vorps  \(%rcx\),%xmm6,%xmm7
419 [       ]*[a-f0-9]+:    c5 c9 63 d4             vpacksswb %xmm4,%xmm6,%xmm2
420 [       ]*[a-f0-9]+:    c5 c9 63 39             vpacksswb \(%rcx\),%xmm6,%xmm7
421 [       ]*[a-f0-9]+:    c5 c9 6b d4             vpackssdw %xmm4,%xmm6,%xmm2
422 [       ]*[a-f0-9]+:    c5 c9 6b 39             vpackssdw \(%rcx\),%xmm6,%xmm7
423 [       ]*[a-f0-9]+:    c5 c9 67 d4             vpackuswb %xmm4,%xmm6,%xmm2
424 [       ]*[a-f0-9]+:    c5 c9 67 39             vpackuswb \(%rcx\),%xmm6,%xmm7
425 [       ]*[a-f0-9]+:    c4 e2 49 2b d4          vpackusdw %xmm4,%xmm6,%xmm2
426 [       ]*[a-f0-9]+:    c4 e2 49 2b 39          vpackusdw \(%rcx\),%xmm6,%xmm7
427 [       ]*[a-f0-9]+:    c5 c9 fc d4             vpaddb %xmm4,%xmm6,%xmm2
428 [       ]*[a-f0-9]+:    c5 c9 fc 39             vpaddb \(%rcx\),%xmm6,%xmm7
429 [       ]*[a-f0-9]+:    c5 c9 fd d4             vpaddw %xmm4,%xmm6,%xmm2
430 [       ]*[a-f0-9]+:    c5 c9 fd 39             vpaddw \(%rcx\),%xmm6,%xmm7
431 [       ]*[a-f0-9]+:    c5 c9 fe d4             vpaddd %xmm4,%xmm6,%xmm2
432 [       ]*[a-f0-9]+:    c5 c9 fe 39             vpaddd \(%rcx\),%xmm6,%xmm7
433 [       ]*[a-f0-9]+:    c5 c9 d4 d4             vpaddq %xmm4,%xmm6,%xmm2
434 [       ]*[a-f0-9]+:    c5 c9 d4 39             vpaddq \(%rcx\),%xmm6,%xmm7
435 [       ]*[a-f0-9]+:    c5 c9 ec d4             vpaddsb %xmm4,%xmm6,%xmm2
436 [       ]*[a-f0-9]+:    c5 c9 ec 39             vpaddsb \(%rcx\),%xmm6,%xmm7
437 [       ]*[a-f0-9]+:    c5 c9 ed d4             vpaddsw %xmm4,%xmm6,%xmm2
438 [       ]*[a-f0-9]+:    c5 c9 ed 39             vpaddsw \(%rcx\),%xmm6,%xmm7
439 [       ]*[a-f0-9]+:    c5 c9 dc d4             vpaddusb %xmm4,%xmm6,%xmm2
440 [       ]*[a-f0-9]+:    c5 c9 dc 39             vpaddusb \(%rcx\),%xmm6,%xmm7
441 [       ]*[a-f0-9]+:    c5 c9 dd d4             vpaddusw %xmm4,%xmm6,%xmm2
442 [       ]*[a-f0-9]+:    c5 c9 dd 39             vpaddusw \(%rcx\),%xmm6,%xmm7
443 [       ]*[a-f0-9]+:    c5 c9 db d4             vpand  %xmm4,%xmm6,%xmm2
444 [       ]*[a-f0-9]+:    c5 c9 db 39             vpand  \(%rcx\),%xmm6,%xmm7
445 [       ]*[a-f0-9]+:    c5 c9 df d4             vpandn %xmm4,%xmm6,%xmm2
446 [       ]*[a-f0-9]+:    c5 c9 df 39             vpandn \(%rcx\),%xmm6,%xmm7
447 [       ]*[a-f0-9]+:    c5 c9 e0 d4             vpavgb %xmm4,%xmm6,%xmm2
448 [       ]*[a-f0-9]+:    c5 c9 e0 39             vpavgb \(%rcx\),%xmm6,%xmm7
449 [       ]*[a-f0-9]+:    c5 c9 e3 d4             vpavgw %xmm4,%xmm6,%xmm2
450 [       ]*[a-f0-9]+:    c5 c9 e3 39             vpavgw \(%rcx\),%xmm6,%xmm7
451 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 00       vpclmullqlqdq %xmm4,%xmm6,%xmm2
452 [       ]*[a-f0-9]+:    c4 e3 49 44 39 00       vpclmullqlqdq \(%rcx\),%xmm6,%xmm7
453 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 01       vpclmulhqlqdq %xmm4,%xmm6,%xmm2
454 [       ]*[a-f0-9]+:    c4 e3 49 44 39 01       vpclmulhqlqdq \(%rcx\),%xmm6,%xmm7
455 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 10       vpclmullqhqdq %xmm4,%xmm6,%xmm2
456 [       ]*[a-f0-9]+:    c4 e3 49 44 39 10       vpclmullqhqdq \(%rcx\),%xmm6,%xmm7
457 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 11       vpclmulhqhqdq %xmm4,%xmm6,%xmm2
458 [       ]*[a-f0-9]+:    c4 e3 49 44 39 11       vpclmulhqhqdq \(%rcx\),%xmm6,%xmm7
459 [       ]*[a-f0-9]+:    c5 c9 74 d4             vpcmpeqb %xmm4,%xmm6,%xmm2
460 [       ]*[a-f0-9]+:    c5 c9 74 39             vpcmpeqb \(%rcx\),%xmm6,%xmm7
461 [       ]*[a-f0-9]+:    c5 c9 75 d4             vpcmpeqw %xmm4,%xmm6,%xmm2
462 [       ]*[a-f0-9]+:    c5 c9 75 39             vpcmpeqw \(%rcx\),%xmm6,%xmm7
463 [       ]*[a-f0-9]+:    c5 c9 76 d4             vpcmpeqd %xmm4,%xmm6,%xmm2
464 [       ]*[a-f0-9]+:    c5 c9 76 39             vpcmpeqd \(%rcx\),%xmm6,%xmm7
465 [       ]*[a-f0-9]+:    c4 e2 49 29 d4          vpcmpeqq %xmm4,%xmm6,%xmm2
466 [       ]*[a-f0-9]+:    c4 e2 49 29 39          vpcmpeqq \(%rcx\),%xmm6,%xmm7
467 [       ]*[a-f0-9]+:    c5 c9 64 d4             vpcmpgtb %xmm4,%xmm6,%xmm2
468 [       ]*[a-f0-9]+:    c5 c9 64 39             vpcmpgtb \(%rcx\),%xmm6,%xmm7
469 [       ]*[a-f0-9]+:    c5 c9 65 d4             vpcmpgtw %xmm4,%xmm6,%xmm2
470 [       ]*[a-f0-9]+:    c5 c9 65 39             vpcmpgtw \(%rcx\),%xmm6,%xmm7
471 [       ]*[a-f0-9]+:    c5 c9 66 d4             vpcmpgtd %xmm4,%xmm6,%xmm2
472 [       ]*[a-f0-9]+:    c5 c9 66 39             vpcmpgtd \(%rcx\),%xmm6,%xmm7
473 [       ]*[a-f0-9]+:    c4 e2 49 37 d4          vpcmpgtq %xmm4,%xmm6,%xmm2
474 [       ]*[a-f0-9]+:    c4 e2 49 37 39          vpcmpgtq \(%rcx\),%xmm6,%xmm7
475 [       ]*[a-f0-9]+:    c4 e2 49 0d d4          vpermilpd %xmm4,%xmm6,%xmm2
476 [       ]*[a-f0-9]+:    c4 e2 49 0d 39          vpermilpd \(%rcx\),%xmm6,%xmm7
477 [       ]*[a-f0-9]+:    c4 e2 49 0c d4          vpermilps %xmm4,%xmm6,%xmm2
478 [       ]*[a-f0-9]+:    c4 e2 49 0c 39          vpermilps \(%rcx\),%xmm6,%xmm7
479 [       ]*[a-f0-9]+:    c4 e2 49 01 d4          vphaddw %xmm4,%xmm6,%xmm2
480 [       ]*[a-f0-9]+:    c4 e2 49 01 39          vphaddw \(%rcx\),%xmm6,%xmm7
481 [       ]*[a-f0-9]+:    c4 e2 49 02 d4          vphaddd %xmm4,%xmm6,%xmm2
482 [       ]*[a-f0-9]+:    c4 e2 49 02 39          vphaddd \(%rcx\),%xmm6,%xmm7
483 [       ]*[a-f0-9]+:    c4 e2 49 03 d4          vphaddsw %xmm4,%xmm6,%xmm2
484 [       ]*[a-f0-9]+:    c4 e2 49 03 39          vphaddsw \(%rcx\),%xmm6,%xmm7
485 [       ]*[a-f0-9]+:    c4 e2 49 05 d4          vphsubw %xmm4,%xmm6,%xmm2
486 [       ]*[a-f0-9]+:    c4 e2 49 05 39          vphsubw \(%rcx\),%xmm6,%xmm7
487 [       ]*[a-f0-9]+:    c4 e2 49 06 d4          vphsubd %xmm4,%xmm6,%xmm2
488 [       ]*[a-f0-9]+:    c4 e2 49 06 39          vphsubd \(%rcx\),%xmm6,%xmm7
489 [       ]*[a-f0-9]+:    c4 e2 49 07 d4          vphsubsw %xmm4,%xmm6,%xmm2
490 [       ]*[a-f0-9]+:    c4 e2 49 07 39          vphsubsw \(%rcx\),%xmm6,%xmm7
491 [       ]*[a-f0-9]+:    c5 c9 f5 d4             vpmaddwd %xmm4,%xmm6,%xmm2
492 [       ]*[a-f0-9]+:    c5 c9 f5 39             vpmaddwd \(%rcx\),%xmm6,%xmm7
493 [       ]*[a-f0-9]+:    c4 e2 49 04 d4          vpmaddubsw %xmm4,%xmm6,%xmm2
494 [       ]*[a-f0-9]+:    c4 e2 49 04 39          vpmaddubsw \(%rcx\),%xmm6,%xmm7
495 [       ]*[a-f0-9]+:    c4 e2 49 3c d4          vpmaxsb %xmm4,%xmm6,%xmm2
496 [       ]*[a-f0-9]+:    c4 e2 49 3c 39          vpmaxsb \(%rcx\),%xmm6,%xmm7
497 [       ]*[a-f0-9]+:    c5 c9 ee d4             vpmaxsw %xmm4,%xmm6,%xmm2
498 [       ]*[a-f0-9]+:    c5 c9 ee 39             vpmaxsw \(%rcx\),%xmm6,%xmm7
499 [       ]*[a-f0-9]+:    c4 e2 49 3d d4          vpmaxsd %xmm4,%xmm6,%xmm2
500 [       ]*[a-f0-9]+:    c4 e2 49 3d 39          vpmaxsd \(%rcx\),%xmm6,%xmm7
501 [       ]*[a-f0-9]+:    c5 c9 de d4             vpmaxub %xmm4,%xmm6,%xmm2
502 [       ]*[a-f0-9]+:    c5 c9 de 39             vpmaxub \(%rcx\),%xmm6,%xmm7
503 [       ]*[a-f0-9]+:    c4 e2 49 3e d4          vpmaxuw %xmm4,%xmm6,%xmm2
504 [       ]*[a-f0-9]+:    c4 e2 49 3e 39          vpmaxuw \(%rcx\),%xmm6,%xmm7
505 [       ]*[a-f0-9]+:    c4 e2 49 3f d4          vpmaxud %xmm4,%xmm6,%xmm2
506 [       ]*[a-f0-9]+:    c4 e2 49 3f 39          vpmaxud \(%rcx\),%xmm6,%xmm7
507 [       ]*[a-f0-9]+:    c4 e2 49 38 d4          vpminsb %xmm4,%xmm6,%xmm2
508 [       ]*[a-f0-9]+:    c4 e2 49 38 39          vpminsb \(%rcx\),%xmm6,%xmm7
509 [       ]*[a-f0-9]+:    c5 c9 ea d4             vpminsw %xmm4,%xmm6,%xmm2
510 [       ]*[a-f0-9]+:    c5 c9 ea 39             vpminsw \(%rcx\),%xmm6,%xmm7
511 [       ]*[a-f0-9]+:    c4 e2 49 39 d4          vpminsd %xmm4,%xmm6,%xmm2
512 [       ]*[a-f0-9]+:    c4 e2 49 39 39          vpminsd \(%rcx\),%xmm6,%xmm7
513 [       ]*[a-f0-9]+:    c5 c9 da d4             vpminub %xmm4,%xmm6,%xmm2
514 [       ]*[a-f0-9]+:    c5 c9 da 39             vpminub \(%rcx\),%xmm6,%xmm7
515 [       ]*[a-f0-9]+:    c4 e2 49 3a d4          vpminuw %xmm4,%xmm6,%xmm2
516 [       ]*[a-f0-9]+:    c4 e2 49 3a 39          vpminuw \(%rcx\),%xmm6,%xmm7
517 [       ]*[a-f0-9]+:    c4 e2 49 3b d4          vpminud %xmm4,%xmm6,%xmm2
518 [       ]*[a-f0-9]+:    c4 e2 49 3b 39          vpminud \(%rcx\),%xmm6,%xmm7
519 [       ]*[a-f0-9]+:    c5 c9 e4 d4             vpmulhuw %xmm4,%xmm6,%xmm2
520 [       ]*[a-f0-9]+:    c5 c9 e4 39             vpmulhuw \(%rcx\),%xmm6,%xmm7
521 [       ]*[a-f0-9]+:    c4 e2 49 0b d4          vpmulhrsw %xmm4,%xmm6,%xmm2
522 [       ]*[a-f0-9]+:    c4 e2 49 0b 39          vpmulhrsw \(%rcx\),%xmm6,%xmm7
523 [       ]*[a-f0-9]+:    c5 c9 e5 d4             vpmulhw %xmm4,%xmm6,%xmm2
524 [       ]*[a-f0-9]+:    c5 c9 e5 39             vpmulhw \(%rcx\),%xmm6,%xmm7
525 [       ]*[a-f0-9]+:    c5 c9 d5 d4             vpmullw %xmm4,%xmm6,%xmm2
526 [       ]*[a-f0-9]+:    c5 c9 d5 39             vpmullw \(%rcx\),%xmm6,%xmm7
527 [       ]*[a-f0-9]+:    c4 e2 49 40 d4          vpmulld %xmm4,%xmm6,%xmm2
528 [       ]*[a-f0-9]+:    c4 e2 49 40 39          vpmulld \(%rcx\),%xmm6,%xmm7
529 [       ]*[a-f0-9]+:    c5 c9 f4 d4             vpmuludq %xmm4,%xmm6,%xmm2
530 [       ]*[a-f0-9]+:    c5 c9 f4 39             vpmuludq \(%rcx\),%xmm6,%xmm7
531 [       ]*[a-f0-9]+:    c4 e2 49 28 d4          vpmuldq %xmm4,%xmm6,%xmm2
532 [       ]*[a-f0-9]+:    c4 e2 49 28 39          vpmuldq \(%rcx\),%xmm6,%xmm7
533 [       ]*[a-f0-9]+:    c5 c9 eb d4             vpor   %xmm4,%xmm6,%xmm2
534 [       ]*[a-f0-9]+:    c5 c9 eb 39             vpor   \(%rcx\),%xmm6,%xmm7
535 [       ]*[a-f0-9]+:    c5 c9 f6 d4             vpsadbw %xmm4,%xmm6,%xmm2
536 [       ]*[a-f0-9]+:    c5 c9 f6 39             vpsadbw \(%rcx\),%xmm6,%xmm7
537 [       ]*[a-f0-9]+:    c4 e2 49 00 d4          vpshufb %xmm4,%xmm6,%xmm2
538 [       ]*[a-f0-9]+:    c4 e2 49 00 39          vpshufb \(%rcx\),%xmm6,%xmm7
539 [       ]*[a-f0-9]+:    c4 e2 49 08 d4          vpsignb %xmm4,%xmm6,%xmm2
540 [       ]*[a-f0-9]+:    c4 e2 49 08 39          vpsignb \(%rcx\),%xmm6,%xmm7
541 [       ]*[a-f0-9]+:    c4 e2 49 09 d4          vpsignw %xmm4,%xmm6,%xmm2
542 [       ]*[a-f0-9]+:    c4 e2 49 09 39          vpsignw \(%rcx\),%xmm6,%xmm7
543 [       ]*[a-f0-9]+:    c4 e2 49 0a d4          vpsignd %xmm4,%xmm6,%xmm2
544 [       ]*[a-f0-9]+:    c4 e2 49 0a 39          vpsignd \(%rcx\),%xmm6,%xmm7
545 [       ]*[a-f0-9]+:    c5 c9 f1 d4             vpsllw %xmm4,%xmm6,%xmm2
546 [       ]*[a-f0-9]+:    c5 c9 f1 39             vpsllw \(%rcx\),%xmm6,%xmm7
547 [       ]*[a-f0-9]+:    c5 c9 f2 d4             vpslld %xmm4,%xmm6,%xmm2
548 [       ]*[a-f0-9]+:    c5 c9 f2 39             vpslld \(%rcx\),%xmm6,%xmm7
549 [       ]*[a-f0-9]+:    c5 c9 f3 d4             vpsllq %xmm4,%xmm6,%xmm2
550 [       ]*[a-f0-9]+:    c5 c9 f3 39             vpsllq \(%rcx\),%xmm6,%xmm7
551 [       ]*[a-f0-9]+:    c5 c9 e1 d4             vpsraw %xmm4,%xmm6,%xmm2
552 [       ]*[a-f0-9]+:    c5 c9 e1 39             vpsraw \(%rcx\),%xmm6,%xmm7
553 [       ]*[a-f0-9]+:    c5 c9 e2 d4             vpsrad %xmm4,%xmm6,%xmm2
554 [       ]*[a-f0-9]+:    c5 c9 e2 39             vpsrad \(%rcx\),%xmm6,%xmm7
555 [       ]*[a-f0-9]+:    c5 c9 d1 d4             vpsrlw %xmm4,%xmm6,%xmm2
556 [       ]*[a-f0-9]+:    c5 c9 d1 39             vpsrlw \(%rcx\),%xmm6,%xmm7
557 [       ]*[a-f0-9]+:    c5 c9 d2 d4             vpsrld %xmm4,%xmm6,%xmm2
558 [       ]*[a-f0-9]+:    c5 c9 d2 39             vpsrld \(%rcx\),%xmm6,%xmm7
559 [       ]*[a-f0-9]+:    c5 c9 d3 d4             vpsrlq %xmm4,%xmm6,%xmm2
560 [       ]*[a-f0-9]+:    c5 c9 d3 39             vpsrlq \(%rcx\),%xmm6,%xmm7
561 [       ]*[a-f0-9]+:    c5 c9 f8 d4             vpsubb %xmm4,%xmm6,%xmm2
562 [       ]*[a-f0-9]+:    c5 c9 f8 39             vpsubb \(%rcx\),%xmm6,%xmm7
563 [       ]*[a-f0-9]+:    c5 c9 f9 d4             vpsubw %xmm4,%xmm6,%xmm2
564 [       ]*[a-f0-9]+:    c5 c9 f9 39             vpsubw \(%rcx\),%xmm6,%xmm7
565 [       ]*[a-f0-9]+:    c5 c9 fa d4             vpsubd %xmm4,%xmm6,%xmm2
566 [       ]*[a-f0-9]+:    c5 c9 fa 39             vpsubd \(%rcx\),%xmm6,%xmm7
567 [       ]*[a-f0-9]+:    c5 c9 fb d4             vpsubq %xmm4,%xmm6,%xmm2
568 [       ]*[a-f0-9]+:    c5 c9 fb 39             vpsubq \(%rcx\),%xmm6,%xmm7
569 [       ]*[a-f0-9]+:    c5 c9 e8 d4             vpsubsb %xmm4,%xmm6,%xmm2
570 [       ]*[a-f0-9]+:    c5 c9 e8 39             vpsubsb \(%rcx\),%xmm6,%xmm7
571 [       ]*[a-f0-9]+:    c5 c9 e9 d4             vpsubsw %xmm4,%xmm6,%xmm2
572 [       ]*[a-f0-9]+:    c5 c9 e9 39             vpsubsw \(%rcx\),%xmm6,%xmm7
573 [       ]*[a-f0-9]+:    c5 c9 d8 d4             vpsubusb %xmm4,%xmm6,%xmm2
574 [       ]*[a-f0-9]+:    c5 c9 d8 39             vpsubusb \(%rcx\),%xmm6,%xmm7
575 [       ]*[a-f0-9]+:    c5 c9 d9 d4             vpsubusw %xmm4,%xmm6,%xmm2
576 [       ]*[a-f0-9]+:    c5 c9 d9 39             vpsubusw \(%rcx\),%xmm6,%xmm7
577 [       ]*[a-f0-9]+:    c5 c9 68 d4             vpunpckhbw %xmm4,%xmm6,%xmm2
578 [       ]*[a-f0-9]+:    c5 c9 68 39             vpunpckhbw \(%rcx\),%xmm6,%xmm7
579 [       ]*[a-f0-9]+:    c5 c9 69 d4             vpunpckhwd %xmm4,%xmm6,%xmm2
580 [       ]*[a-f0-9]+:    c5 c9 69 39             vpunpckhwd \(%rcx\),%xmm6,%xmm7
581 [       ]*[a-f0-9]+:    c5 c9 6a d4             vpunpckhdq %xmm4,%xmm6,%xmm2
582 [       ]*[a-f0-9]+:    c5 c9 6a 39             vpunpckhdq \(%rcx\),%xmm6,%xmm7
583 [       ]*[a-f0-9]+:    c5 c9 6d d4             vpunpckhqdq %xmm4,%xmm6,%xmm2
584 [       ]*[a-f0-9]+:    c5 c9 6d 39             vpunpckhqdq \(%rcx\),%xmm6,%xmm7
585 [       ]*[a-f0-9]+:    c5 c9 60 d4             vpunpcklbw %xmm4,%xmm6,%xmm2
586 [       ]*[a-f0-9]+:    c5 c9 60 39             vpunpcklbw \(%rcx\),%xmm6,%xmm7
587 [       ]*[a-f0-9]+:    c5 c9 61 d4             vpunpcklwd %xmm4,%xmm6,%xmm2
588 [       ]*[a-f0-9]+:    c5 c9 61 39             vpunpcklwd \(%rcx\),%xmm6,%xmm7
589 [       ]*[a-f0-9]+:    c5 c9 62 d4             vpunpckldq %xmm4,%xmm6,%xmm2
590 [       ]*[a-f0-9]+:    c5 c9 62 39             vpunpckldq \(%rcx\),%xmm6,%xmm7
591 [       ]*[a-f0-9]+:    c5 c9 6c d4             vpunpcklqdq %xmm4,%xmm6,%xmm2
592 [       ]*[a-f0-9]+:    c5 c9 6c 39             vpunpcklqdq \(%rcx\),%xmm6,%xmm7
593 [       ]*[a-f0-9]+:    c5 c9 ef d4             vpxor  %xmm4,%xmm6,%xmm2
594 [       ]*[a-f0-9]+:    c5 c9 ef 39             vpxor  \(%rcx\),%xmm6,%xmm7
595 [       ]*[a-f0-9]+:    c5 c9 5c d4             vsubpd %xmm4,%xmm6,%xmm2
596 [       ]*[a-f0-9]+:    c5 c9 5c 39             vsubpd \(%rcx\),%xmm6,%xmm7
597 [       ]*[a-f0-9]+:    c5 c8 5c d4             vsubps %xmm4,%xmm6,%xmm2
598 [       ]*[a-f0-9]+:    c5 c8 5c 39             vsubps \(%rcx\),%xmm6,%xmm7
599 [       ]*[a-f0-9]+:    c5 c9 15 d4             vunpckhpd %xmm4,%xmm6,%xmm2
600 [       ]*[a-f0-9]+:    c5 c9 15 39             vunpckhpd \(%rcx\),%xmm6,%xmm7
601 [       ]*[a-f0-9]+:    c5 c8 15 d4             vunpckhps %xmm4,%xmm6,%xmm2
602 [       ]*[a-f0-9]+:    c5 c8 15 39             vunpckhps \(%rcx\),%xmm6,%xmm7
603 [       ]*[a-f0-9]+:    c5 c9 14 d4             vunpcklpd %xmm4,%xmm6,%xmm2
604 [       ]*[a-f0-9]+:    c5 c9 14 39             vunpcklpd \(%rcx\),%xmm6,%xmm7
605 [       ]*[a-f0-9]+:    c5 c8 14 d4             vunpcklps %xmm4,%xmm6,%xmm2
606 [       ]*[a-f0-9]+:    c5 c8 14 39             vunpcklps \(%rcx\),%xmm6,%xmm7
607 [       ]*[a-f0-9]+:    c5 c9 57 d4             vxorpd %xmm4,%xmm6,%xmm2
608 [       ]*[a-f0-9]+:    c5 c9 57 39             vxorpd \(%rcx\),%xmm6,%xmm7
609 [       ]*[a-f0-9]+:    c5 c8 57 d4             vxorps %xmm4,%xmm6,%xmm2
610 [       ]*[a-f0-9]+:    c5 c8 57 39             vxorps \(%rcx\),%xmm6,%xmm7
611 [       ]*[a-f0-9]+:    c4 e2 49 dc d4          vaesenc %xmm4,%xmm6,%xmm2
612 [       ]*[a-f0-9]+:    c4 e2 49 dc 39          vaesenc \(%rcx\),%xmm6,%xmm7
613 [       ]*[a-f0-9]+:    c4 e2 49 dd d4          vaesenclast %xmm4,%xmm6,%xmm2
614 [       ]*[a-f0-9]+:    c4 e2 49 dd 39          vaesenclast \(%rcx\),%xmm6,%xmm7
615 [       ]*[a-f0-9]+:    c4 e2 49 de d4          vaesdec %xmm4,%xmm6,%xmm2
616 [       ]*[a-f0-9]+:    c4 e2 49 de 39          vaesdec \(%rcx\),%xmm6,%xmm7
617 [       ]*[a-f0-9]+:    c4 e2 49 df d4          vaesdeclast %xmm4,%xmm6,%xmm2
618 [       ]*[a-f0-9]+:    c4 e2 49 df 39          vaesdeclast \(%rcx\),%xmm6,%xmm7
619 [       ]*[a-f0-9]+:    c5 c9 c2 d4 00          vcmpeqpd %xmm4,%xmm6,%xmm2
620 [       ]*[a-f0-9]+:    c5 c9 c2 39 00          vcmpeqpd \(%rcx\),%xmm6,%xmm7
621 [       ]*[a-f0-9]+:    c5 c9 c2 d4 01          vcmpltpd %xmm4,%xmm6,%xmm2
622 [       ]*[a-f0-9]+:    c5 c9 c2 39 01          vcmpltpd \(%rcx\),%xmm6,%xmm7
623 [       ]*[a-f0-9]+:    c5 c9 c2 d4 02          vcmplepd %xmm4,%xmm6,%xmm2
624 [       ]*[a-f0-9]+:    c5 c9 c2 39 02          vcmplepd \(%rcx\),%xmm6,%xmm7
625 [       ]*[a-f0-9]+:    c5 c9 c2 d4 03          vcmpunordpd %xmm4,%xmm6,%xmm2
626 [       ]*[a-f0-9]+:    c5 c9 c2 39 03          vcmpunordpd \(%rcx\),%xmm6,%xmm7
627 [       ]*[a-f0-9]+:    c5 c9 c2 d4 04          vcmpneqpd %xmm4,%xmm6,%xmm2
628 [       ]*[a-f0-9]+:    c5 c9 c2 39 04          vcmpneqpd \(%rcx\),%xmm6,%xmm7
629 [       ]*[a-f0-9]+:    c5 c9 c2 d4 05          vcmpnltpd %xmm4,%xmm6,%xmm2
630 [       ]*[a-f0-9]+:    c5 c9 c2 39 05          vcmpnltpd \(%rcx\),%xmm6,%xmm7
631 [       ]*[a-f0-9]+:    c5 c9 c2 d4 06          vcmpnlepd %xmm4,%xmm6,%xmm2
632 [       ]*[a-f0-9]+:    c5 c9 c2 39 06          vcmpnlepd \(%rcx\),%xmm6,%xmm7
633 [       ]*[a-f0-9]+:    c5 c9 c2 d4 07          vcmpordpd %xmm4,%xmm6,%xmm2
634 [       ]*[a-f0-9]+:    c5 c9 c2 39 07          vcmpordpd \(%rcx\),%xmm6,%xmm7
635 [       ]*[a-f0-9]+:    c5 c9 c2 d4 08          vcmpeq_uqpd %xmm4,%xmm6,%xmm2
636 [       ]*[a-f0-9]+:    c5 c9 c2 39 08          vcmpeq_uqpd \(%rcx\),%xmm6,%xmm7
637 [       ]*[a-f0-9]+:    c5 c9 c2 d4 09          vcmpngepd %xmm4,%xmm6,%xmm2
638 [       ]*[a-f0-9]+:    c5 c9 c2 39 09          vcmpngepd \(%rcx\),%xmm6,%xmm7
639 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0a          vcmpngtpd %xmm4,%xmm6,%xmm2
640 [       ]*[a-f0-9]+:    c5 c9 c2 39 0a          vcmpngtpd \(%rcx\),%xmm6,%xmm7
641 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0b          vcmpfalsepd %xmm4,%xmm6,%xmm2
642 [       ]*[a-f0-9]+:    c5 c9 c2 39 0b          vcmpfalsepd \(%rcx\),%xmm6,%xmm7
643 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0c          vcmpneq_oqpd %xmm4,%xmm6,%xmm2
644 [       ]*[a-f0-9]+:    c5 c9 c2 39 0c          vcmpneq_oqpd \(%rcx\),%xmm6,%xmm7
645 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0d          vcmpgepd %xmm4,%xmm6,%xmm2
646 [       ]*[a-f0-9]+:    c5 c9 c2 39 0d          vcmpgepd \(%rcx\),%xmm6,%xmm7
647 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0e          vcmpgtpd %xmm4,%xmm6,%xmm2
648 [       ]*[a-f0-9]+:    c5 c9 c2 39 0e          vcmpgtpd \(%rcx\),%xmm6,%xmm7
649 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0f          vcmptruepd %xmm4,%xmm6,%xmm2
650 [       ]*[a-f0-9]+:    c5 c9 c2 39 0f          vcmptruepd \(%rcx\),%xmm6,%xmm7
651 [       ]*[a-f0-9]+:    c5 c9 c2 d4 10          vcmpeq_ospd %xmm4,%xmm6,%xmm2
652 [       ]*[a-f0-9]+:    c5 c9 c2 39 10          vcmpeq_ospd \(%rcx\),%xmm6,%xmm7
653 [       ]*[a-f0-9]+:    c5 c9 c2 d4 11          vcmplt_oqpd %xmm4,%xmm6,%xmm2
654 [       ]*[a-f0-9]+:    c5 c9 c2 39 11          vcmplt_oqpd \(%rcx\),%xmm6,%xmm7
655 [       ]*[a-f0-9]+:    c5 c9 c2 d4 12          vcmple_oqpd %xmm4,%xmm6,%xmm2
656 [       ]*[a-f0-9]+:    c5 c9 c2 39 12          vcmple_oqpd \(%rcx\),%xmm6,%xmm7
657 [       ]*[a-f0-9]+:    c5 c9 c2 d4 13          vcmpunord_spd %xmm4,%xmm6,%xmm2
658 [       ]*[a-f0-9]+:    c5 c9 c2 39 13          vcmpunord_spd \(%rcx\),%xmm6,%xmm7
659 [       ]*[a-f0-9]+:    c5 c9 c2 d4 14          vcmpneq_uspd %xmm4,%xmm6,%xmm2
660 [       ]*[a-f0-9]+:    c5 c9 c2 39 14          vcmpneq_uspd \(%rcx\),%xmm6,%xmm7
661 [       ]*[a-f0-9]+:    c5 c9 c2 d4 15          vcmpnlt_uqpd %xmm4,%xmm6,%xmm2
662 [       ]*[a-f0-9]+:    c5 c9 c2 39 15          vcmpnlt_uqpd \(%rcx\),%xmm6,%xmm7
663 [       ]*[a-f0-9]+:    c5 c9 c2 d4 16          vcmpnle_uqpd %xmm4,%xmm6,%xmm2
664 [       ]*[a-f0-9]+:    c5 c9 c2 39 16          vcmpnle_uqpd \(%rcx\),%xmm6,%xmm7
665 [       ]*[a-f0-9]+:    c5 c9 c2 d4 17          vcmpord_spd %xmm4,%xmm6,%xmm2
666 [       ]*[a-f0-9]+:    c5 c9 c2 39 17          vcmpord_spd \(%rcx\),%xmm6,%xmm7
667 [       ]*[a-f0-9]+:    c5 c9 c2 d4 18          vcmpeq_uspd %xmm4,%xmm6,%xmm2
668 [       ]*[a-f0-9]+:    c5 c9 c2 39 18          vcmpeq_uspd \(%rcx\),%xmm6,%xmm7
669 [       ]*[a-f0-9]+:    c5 c9 c2 d4 19          vcmpnge_uqpd %xmm4,%xmm6,%xmm2
670 [       ]*[a-f0-9]+:    c5 c9 c2 39 19          vcmpnge_uqpd \(%rcx\),%xmm6,%xmm7
671 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1a          vcmpngt_uqpd %xmm4,%xmm6,%xmm2
672 [       ]*[a-f0-9]+:    c5 c9 c2 39 1a          vcmpngt_uqpd \(%rcx\),%xmm6,%xmm7
673 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1b          vcmpfalse_ospd %xmm4,%xmm6,%xmm2
674 [       ]*[a-f0-9]+:    c5 c9 c2 39 1b          vcmpfalse_ospd \(%rcx\),%xmm6,%xmm7
675 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1c          vcmpneq_ospd %xmm4,%xmm6,%xmm2
676 [       ]*[a-f0-9]+:    c5 c9 c2 39 1c          vcmpneq_ospd \(%rcx\),%xmm6,%xmm7
677 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1d          vcmpge_oqpd %xmm4,%xmm6,%xmm2
678 [       ]*[a-f0-9]+:    c5 c9 c2 39 1d          vcmpge_oqpd \(%rcx\),%xmm6,%xmm7
679 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1e          vcmpgt_oqpd %xmm4,%xmm6,%xmm2
680 [       ]*[a-f0-9]+:    c5 c9 c2 39 1e          vcmpgt_oqpd \(%rcx\),%xmm6,%xmm7
681 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1f          vcmptrue_uspd %xmm4,%xmm6,%xmm2
682 [       ]*[a-f0-9]+:    c5 c9 c2 39 1f          vcmptrue_uspd \(%rcx\),%xmm6,%xmm7
683 [       ]*[a-f0-9]+:    c5 c8 c2 d4 00          vcmpeqps %xmm4,%xmm6,%xmm2
684 [       ]*[a-f0-9]+:    c5 c8 c2 39 00          vcmpeqps \(%rcx\),%xmm6,%xmm7
685 [       ]*[a-f0-9]+:    c5 c8 c2 d4 01          vcmpltps %xmm4,%xmm6,%xmm2
686 [       ]*[a-f0-9]+:    c5 c8 c2 39 01          vcmpltps \(%rcx\),%xmm6,%xmm7
687 [       ]*[a-f0-9]+:    c5 c8 c2 d4 02          vcmpleps %xmm4,%xmm6,%xmm2
688 [       ]*[a-f0-9]+:    c5 c8 c2 39 02          vcmpleps \(%rcx\),%xmm6,%xmm7
689 [       ]*[a-f0-9]+:    c5 c8 c2 d4 03          vcmpunordps %xmm4,%xmm6,%xmm2
690 [       ]*[a-f0-9]+:    c5 c8 c2 39 03          vcmpunordps \(%rcx\),%xmm6,%xmm7
691 [       ]*[a-f0-9]+:    c5 c8 c2 d4 04          vcmpneqps %xmm4,%xmm6,%xmm2
692 [       ]*[a-f0-9]+:    c5 c8 c2 39 04          vcmpneqps \(%rcx\),%xmm6,%xmm7
693 [       ]*[a-f0-9]+:    c5 c8 c2 d4 05          vcmpnltps %xmm4,%xmm6,%xmm2
694 [       ]*[a-f0-9]+:    c5 c8 c2 39 05          vcmpnltps \(%rcx\),%xmm6,%xmm7
695 [       ]*[a-f0-9]+:    c5 c8 c2 d4 06          vcmpnleps %xmm4,%xmm6,%xmm2
696 [       ]*[a-f0-9]+:    c5 c8 c2 39 06          vcmpnleps \(%rcx\),%xmm6,%xmm7
697 [       ]*[a-f0-9]+:    c5 c8 c2 d4 07          vcmpordps %xmm4,%xmm6,%xmm2
698 [       ]*[a-f0-9]+:    c5 c8 c2 39 07          vcmpordps \(%rcx\),%xmm6,%xmm7
699 [       ]*[a-f0-9]+:    c5 c8 c2 d4 08          vcmpeq_uqps %xmm4,%xmm6,%xmm2
700 [       ]*[a-f0-9]+:    c5 c8 c2 39 08          vcmpeq_uqps \(%rcx\),%xmm6,%xmm7
701 [       ]*[a-f0-9]+:    c5 c8 c2 d4 09          vcmpngeps %xmm4,%xmm6,%xmm2
702 [       ]*[a-f0-9]+:    c5 c8 c2 39 09          vcmpngeps \(%rcx\),%xmm6,%xmm7
703 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0a          vcmpngtps %xmm4,%xmm6,%xmm2
704 [       ]*[a-f0-9]+:    c5 c8 c2 39 0a          vcmpngtps \(%rcx\),%xmm6,%xmm7
705 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0b          vcmpfalseps %xmm4,%xmm6,%xmm2
706 [       ]*[a-f0-9]+:    c5 c8 c2 39 0b          vcmpfalseps \(%rcx\),%xmm6,%xmm7
707 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0c          vcmpneq_oqps %xmm4,%xmm6,%xmm2
708 [       ]*[a-f0-9]+:    c5 c8 c2 39 0c          vcmpneq_oqps \(%rcx\),%xmm6,%xmm7
709 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0d          vcmpgeps %xmm4,%xmm6,%xmm2
710 [       ]*[a-f0-9]+:    c5 c8 c2 39 0d          vcmpgeps \(%rcx\),%xmm6,%xmm7
711 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0e          vcmpgtps %xmm4,%xmm6,%xmm2
712 [       ]*[a-f0-9]+:    c5 c8 c2 39 0e          vcmpgtps \(%rcx\),%xmm6,%xmm7
713 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0f          vcmptrueps %xmm4,%xmm6,%xmm2
714 [       ]*[a-f0-9]+:    c5 c8 c2 39 0f          vcmptrueps \(%rcx\),%xmm6,%xmm7
715 [       ]*[a-f0-9]+:    c5 c8 c2 d4 10          vcmpeq_osps %xmm4,%xmm6,%xmm2
716 [       ]*[a-f0-9]+:    c5 c8 c2 39 10          vcmpeq_osps \(%rcx\),%xmm6,%xmm7
717 [       ]*[a-f0-9]+:    c5 c8 c2 d4 11          vcmplt_oqps %xmm4,%xmm6,%xmm2
718 [       ]*[a-f0-9]+:    c5 c8 c2 39 11          vcmplt_oqps \(%rcx\),%xmm6,%xmm7
719 [       ]*[a-f0-9]+:    c5 c8 c2 d4 12          vcmple_oqps %xmm4,%xmm6,%xmm2
720 [       ]*[a-f0-9]+:    c5 c8 c2 39 12          vcmple_oqps \(%rcx\),%xmm6,%xmm7
721 [       ]*[a-f0-9]+:    c5 c8 c2 d4 13          vcmpunord_sps %xmm4,%xmm6,%xmm2
722 [       ]*[a-f0-9]+:    c5 c8 c2 39 13          vcmpunord_sps \(%rcx\),%xmm6,%xmm7
723 [       ]*[a-f0-9]+:    c5 c8 c2 d4 14          vcmpneq_usps %xmm4,%xmm6,%xmm2
724 [       ]*[a-f0-9]+:    c5 c8 c2 39 14          vcmpneq_usps \(%rcx\),%xmm6,%xmm7
725 [       ]*[a-f0-9]+:    c5 c8 c2 d4 15          vcmpnlt_uqps %xmm4,%xmm6,%xmm2
726 [       ]*[a-f0-9]+:    c5 c8 c2 39 15          vcmpnlt_uqps \(%rcx\),%xmm6,%xmm7
727 [       ]*[a-f0-9]+:    c5 c8 c2 d4 16          vcmpnle_uqps %xmm4,%xmm6,%xmm2
728 [       ]*[a-f0-9]+:    c5 c8 c2 39 16          vcmpnle_uqps \(%rcx\),%xmm6,%xmm7
729 [       ]*[a-f0-9]+:    c5 c8 c2 d4 17          vcmpord_sps %xmm4,%xmm6,%xmm2
730 [       ]*[a-f0-9]+:    c5 c8 c2 39 17          vcmpord_sps \(%rcx\),%xmm6,%xmm7
731 [       ]*[a-f0-9]+:    c5 c8 c2 d4 18          vcmpeq_usps %xmm4,%xmm6,%xmm2
732 [       ]*[a-f0-9]+:    c5 c8 c2 39 18          vcmpeq_usps \(%rcx\),%xmm6,%xmm7
733 [       ]*[a-f0-9]+:    c5 c8 c2 d4 19          vcmpnge_uqps %xmm4,%xmm6,%xmm2
734 [       ]*[a-f0-9]+:    c5 c8 c2 39 19          vcmpnge_uqps \(%rcx\),%xmm6,%xmm7
735 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1a          vcmpngt_uqps %xmm4,%xmm6,%xmm2
736 [       ]*[a-f0-9]+:    c5 c8 c2 39 1a          vcmpngt_uqps \(%rcx\),%xmm6,%xmm7
737 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1b          vcmpfalse_osps %xmm4,%xmm6,%xmm2
738 [       ]*[a-f0-9]+:    c5 c8 c2 39 1b          vcmpfalse_osps \(%rcx\),%xmm6,%xmm7
739 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1c          vcmpneq_osps %xmm4,%xmm6,%xmm2
740 [       ]*[a-f0-9]+:    c5 c8 c2 39 1c          vcmpneq_osps \(%rcx\),%xmm6,%xmm7
741 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1d          vcmpge_oqps %xmm4,%xmm6,%xmm2
742 [       ]*[a-f0-9]+:    c5 c8 c2 39 1d          vcmpge_oqps \(%rcx\),%xmm6,%xmm7
743 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1e          vcmpgt_oqps %xmm4,%xmm6,%xmm2
744 [       ]*[a-f0-9]+:    c5 c8 c2 39 1e          vcmpgt_oqps \(%rcx\),%xmm6,%xmm7
745 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1f          vcmptrue_usps %xmm4,%xmm6,%xmm2
746 [       ]*[a-f0-9]+:    c5 c8 c2 39 1f          vcmptrue_usps \(%rcx\),%xmm6,%xmm7
747 [       ]*[a-f0-9]+:    c4 e2 59 2c 31          vmaskmovps \(%rcx\),%xmm4,%xmm6
748 [       ]*[a-f0-9]+:    c4 e2 59 2d 31          vmaskmovpd \(%rcx\),%xmm4,%xmm6
749 [       ]*[a-f0-9]+:    c4 e3 79 df f4 07       vaeskeygenassist \$0x7,%xmm4,%xmm6
750 [       ]*[a-f0-9]+:    c4 e3 79 df 31 07       vaeskeygenassist \$0x7,\(%rcx\),%xmm6
751 [       ]*[a-f0-9]+:    c4 e3 79 61 f4 07       vpcmpestri \$0x7,%xmm4,%xmm6
752 [       ]*[a-f0-9]+:    c4 e3 79 61 31 07       vpcmpestri \$0x7,\(%rcx\),%xmm6
753 [       ]*[a-f0-9]+:    c4 e3 79 60 f4 07       vpcmpestrm \$0x7,%xmm4,%xmm6
754 [       ]*[a-f0-9]+:    c4 e3 79 60 31 07       vpcmpestrm \$0x7,\(%rcx\),%xmm6
755 [       ]*[a-f0-9]+:    c4 e3 79 63 f4 07       vpcmpistri \$0x7,%xmm4,%xmm6
756 [       ]*[a-f0-9]+:    c4 e3 79 63 31 07       vpcmpistri \$0x7,\(%rcx\),%xmm6
757 [       ]*[a-f0-9]+:    c4 e3 79 62 f4 07       vpcmpistrm \$0x7,%xmm4,%xmm6
758 [       ]*[a-f0-9]+:    c4 e3 79 62 31 07       vpcmpistrm \$0x7,\(%rcx\),%xmm6
759 [       ]*[a-f0-9]+:    c4 e3 79 05 f4 07       vpermilpd \$0x7,%xmm4,%xmm6
760 [       ]*[a-f0-9]+:    c4 e3 79 05 31 07       vpermilpd \$0x7,\(%rcx\),%xmm6
761 [       ]*[a-f0-9]+:    c4 e3 79 04 f4 07       vpermilps \$0x7,%xmm4,%xmm6
762 [       ]*[a-f0-9]+:    c4 e3 79 04 31 07       vpermilps \$0x7,\(%rcx\),%xmm6
763 [       ]*[a-f0-9]+:    c5 f9 70 f4 07          vpshufd \$0x7,%xmm4,%xmm6
764 [       ]*[a-f0-9]+:    c5 f9 70 31 07          vpshufd \$0x7,\(%rcx\),%xmm6
765 [       ]*[a-f0-9]+:    c5 fa 70 f4 07          vpshufhw \$0x7,%xmm4,%xmm6
766 [       ]*[a-f0-9]+:    c5 fa 70 31 07          vpshufhw \$0x7,\(%rcx\),%xmm6
767 [       ]*[a-f0-9]+:    c5 fb 70 f4 07          vpshuflw \$0x7,%xmm4,%xmm6
768 [       ]*[a-f0-9]+:    c5 fb 70 31 07          vpshuflw \$0x7,\(%rcx\),%xmm6
769 [       ]*[a-f0-9]+:    c4 e3 79 09 f4 07       vroundpd \$0x7,%xmm4,%xmm6
770 [       ]*[a-f0-9]+:    c4 e3 79 09 31 07       vroundpd \$0x7,\(%rcx\),%xmm6
771 [       ]*[a-f0-9]+:    c4 e3 79 08 f4 07       vroundps \$0x7,%xmm4,%xmm6
772 [       ]*[a-f0-9]+:    c4 e3 79 08 31 07       vroundps \$0x7,\(%rcx\),%xmm6
773 [       ]*[a-f0-9]+:    c4 e2 49 2e 21          vmaskmovps %xmm4,%xmm6,\(%rcx\)
774 [       ]*[a-f0-9]+:    c4 e2 49 2f 21          vmaskmovpd %xmm4,%xmm6,\(%rcx\)
775 [       ]*[a-f0-9]+:    c4 e3 49 0d d4 07       vblendpd \$0x7,%xmm4,%xmm6,%xmm2
776 [       ]*[a-f0-9]+:    c4 e3 49 0d 11 07       vblendpd \$0x7,\(%rcx\),%xmm6,%xmm2
777 [       ]*[a-f0-9]+:    c4 e3 49 0c d4 07       vblendps \$0x7,%xmm4,%xmm6,%xmm2
778 [       ]*[a-f0-9]+:    c4 e3 49 0c 11 07       vblendps \$0x7,\(%rcx\),%xmm6,%xmm2
779 [       ]*[a-f0-9]+:    c5 c9 c2 d4 07          vcmpordpd %xmm4,%xmm6,%xmm2
780 [       ]*[a-f0-9]+:    c5 c9 c2 11 07          vcmpordpd \(%rcx\),%xmm6,%xmm2
781 [       ]*[a-f0-9]+:    c5 c8 c2 d4 07          vcmpordps %xmm4,%xmm6,%xmm2
782 [       ]*[a-f0-9]+:    c5 c8 c2 11 07          vcmpordps \(%rcx\),%xmm6,%xmm2
783 [       ]*[a-f0-9]+:    c4 e3 49 41 d4 07       vdppd  \$0x7,%xmm4,%xmm6,%xmm2
784 [       ]*[a-f0-9]+:    c4 e3 49 41 11 07       vdppd  \$0x7,\(%rcx\),%xmm6,%xmm2
785 [       ]*[a-f0-9]+:    c4 e3 49 40 d4 07       vdpps  \$0x7,%xmm4,%xmm6,%xmm2
786 [       ]*[a-f0-9]+:    c4 e3 49 40 11 07       vdpps  \$0x7,\(%rcx\),%xmm6,%xmm2
787 [       ]*[a-f0-9]+:    c4 e3 49 42 d4 07       vmpsadbw \$0x7,%xmm4,%xmm6,%xmm2
788 [       ]*[a-f0-9]+:    c4 e3 49 42 11 07       vmpsadbw \$0x7,\(%rcx\),%xmm6,%xmm2
789 [       ]*[a-f0-9]+:    c4 e3 49 0f d4 07       vpalignr \$0x7,%xmm4,%xmm6,%xmm2
790 [       ]*[a-f0-9]+:    c4 e3 49 0f 11 07       vpalignr \$0x7,\(%rcx\),%xmm6,%xmm2
791 [       ]*[a-f0-9]+:    c4 e3 49 0e d4 07       vpblendw \$0x7,%xmm4,%xmm6,%xmm2
792 [       ]*[a-f0-9]+:    c4 e3 49 0e 11 07       vpblendw \$0x7,\(%rcx\),%xmm6,%xmm2
793 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 07       vpclmulqdq \$0x7,%xmm4,%xmm6,%xmm2
794 [       ]*[a-f0-9]+:    c4 e3 49 44 11 07       vpclmulqdq \$0x7,\(%rcx\),%xmm6,%xmm2
795 [       ]*[a-f0-9]+:    c5 c9 c6 d4 07          vshufpd \$0x7,%xmm4,%xmm6,%xmm2
796 [       ]*[a-f0-9]+:    c5 c9 c6 11 07          vshufpd \$0x7,\(%rcx\),%xmm6,%xmm2
797 [       ]*[a-f0-9]+:    c5 c8 c6 d4 07          vshufps \$0x7,%xmm4,%xmm6,%xmm2
798 [       ]*[a-f0-9]+:    c5 c8 c6 11 07          vshufps \$0x7,\(%rcx\),%xmm6,%xmm2
799 [       ]*[a-f0-9]+:    c4 e3 69 4b fe 40       vblendvpd %xmm4,%xmm6,%xmm2,%xmm7
800 [       ]*[a-f0-9]+:    c4 e3 69 4b 39 40       vblendvpd %xmm4,\(%rcx\),%xmm2,%xmm7
801 [       ]*[a-f0-9]+:    c4 e3 69 4a fe 40       vblendvps %xmm4,%xmm6,%xmm2,%xmm7
802 [       ]*[a-f0-9]+:    c4 e3 69 4a 39 40       vblendvps %xmm4,\(%rcx\),%xmm2,%xmm7
803 [       ]*[a-f0-9]+:    c4 e3 69 4c fe 40       vpblendvb %xmm4,%xmm6,%xmm2,%xmm7
804 [       ]*[a-f0-9]+:    c4 e3 69 4c 39 40       vpblendvb %xmm4,\(%rcx\),%xmm2,%xmm7
805 [       ]*[a-f0-9]+:    c4 e2 7d 19 21          vbroadcastsd \(%rcx\),%ymm4
806 [       ]*[a-f0-9]+:    c5 f9 2f f4             vcomisd %xmm4,%xmm6
807 [       ]*[a-f0-9]+:    c5 f9 2f 21             vcomisd \(%rcx\),%xmm4
808 [       ]*[a-f0-9]+:    c5 fa e6 f4             vcvtdq2pd %xmm4,%xmm6
809 [       ]*[a-f0-9]+:    c5 fa e6 21             vcvtdq2pd \(%rcx\),%xmm4
810 [       ]*[a-f0-9]+:    c5 f8 5a f4             vcvtps2pd %xmm4,%xmm6
811 [       ]*[a-f0-9]+:    c5 f8 5a 21             vcvtps2pd \(%rcx\),%xmm4
812 [       ]*[a-f0-9]+:    c5 fb 12 f4             vmovddup %xmm4,%xmm6
813 [       ]*[a-f0-9]+:    c5 fb 12 21             vmovddup \(%rcx\),%xmm4
814 [       ]*[a-f0-9]+:    c4 e2 79 20 f4          vpmovsxbw %xmm4,%xmm6
815 [       ]*[a-f0-9]+:    c4 e2 79 20 21          vpmovsxbw \(%rcx\),%xmm4
816 [       ]*[a-f0-9]+:    c4 e2 79 23 f4          vpmovsxwd %xmm4,%xmm6
817 [       ]*[a-f0-9]+:    c4 e2 79 23 21          vpmovsxwd \(%rcx\),%xmm4
818 [       ]*[a-f0-9]+:    c4 e2 79 25 f4          vpmovsxdq %xmm4,%xmm6
819 [       ]*[a-f0-9]+:    c4 e2 79 25 21          vpmovsxdq \(%rcx\),%xmm4
820 [       ]*[a-f0-9]+:    c4 e2 79 30 f4          vpmovzxbw %xmm4,%xmm6
821 [       ]*[a-f0-9]+:    c4 e2 79 30 21          vpmovzxbw \(%rcx\),%xmm4
822 [       ]*[a-f0-9]+:    c4 e2 79 33 f4          vpmovzxwd %xmm4,%xmm6
823 [       ]*[a-f0-9]+:    c4 e2 79 33 21          vpmovzxwd \(%rcx\),%xmm4
824 [       ]*[a-f0-9]+:    c4 e2 79 35 f4          vpmovzxdq %xmm4,%xmm6
825 [       ]*[a-f0-9]+:    c4 e2 79 35 21          vpmovzxdq \(%rcx\),%xmm4
826 [       ]*[a-f0-9]+:    c5 f9 2e f4             vucomisd %xmm4,%xmm6
827 [       ]*[a-f0-9]+:    c5 f9 2e 21             vucomisd \(%rcx\),%xmm4
828 [       ]*[a-f0-9]+:    c5 fb 10 21             vmovsd \(%rcx\),%xmm4
829 [       ]*[a-f0-9]+:    c5 f9 13 21             vmovlpd %xmm4,\(%rcx\)
830 [       ]*[a-f0-9]+:    c5 f8 13 21             vmovlps %xmm4,\(%rcx\)
831 [       ]*[a-f0-9]+:    c5 f9 17 21             vmovhpd %xmm4,\(%rcx\)
832 [       ]*[a-f0-9]+:    c5 f8 17 21             vmovhps %xmm4,\(%rcx\)
833 [       ]*[a-f0-9]+:    c5 fb 11 21             vmovsd %xmm4,\(%rcx\)
834 [       ]*[a-f0-9]+:    c4 e1 f9 7e e1          vmovq  %xmm4,%rcx
835 [       ]*[a-f0-9]+:    c4 e1 f9 6e e1          vmovq  %rcx,%xmm4
836 [       ]*[a-f0-9]+:    c4 e1 f9 7e e1          vmovq  %xmm4,%rcx
837 [       ]*[a-f0-9]+:    c4 e1 f9 6e e1          vmovq  %rcx,%xmm4
838 [       ]*[a-f0-9]+:    c5 f9 d6 21             vmovq  %xmm4,\(%rcx\)
839 [       ]*[a-f0-9]+:    c5 fa 7e 21             vmovq  \(%rcx\),%xmm4
840 [       ]*[a-f0-9]+:    c5 fb 2d cc             vcvtsd2si %xmm4,%ecx
841 [       ]*[a-f0-9]+:    c5 fb 2d 09             vcvtsd2si \(%rcx\),%ecx
842 [       ]*[a-f0-9]+:    c5 fb 2c cc             vcvttsd2si %xmm4,%ecx
843 [       ]*[a-f0-9]+:    c5 fb 2c 09             vcvttsd2si \(%rcx\),%ecx
844 [       ]*[a-f0-9]+:    c4 e1 fb 2d cc          vcvtsd2si %xmm4,%rcx
845 [       ]*[a-f0-9]+:    c4 e1 fb 2d 09          vcvtsd2si \(%rcx\),%rcx
846 [       ]*[a-f0-9]+:    c4 e1 fb 2c cc          vcvttsd2si %xmm4,%rcx
847 [       ]*[a-f0-9]+:    c4 e1 fb 2c 09          vcvttsd2si \(%rcx\),%rcx
848 [       ]*[a-f0-9]+:    c4 e1 db 2a f1          vcvtsi2sd %rcx,%xmm4,%xmm6
849 [       ]*[a-f0-9]+:    c4 e1 db 2a 31          vcvtsi2sdq \(%rcx\),%xmm4,%xmm6
850 [       ]*[a-f0-9]+:    c4 e1 da 2a f1          vcvtsi2ss %rcx,%xmm4,%xmm6
851 [       ]*[a-f0-9]+:    c4 e1 da 2a 31          vcvtsi2ssq \(%rcx\),%xmm4,%xmm6
852 [       ]*[a-f0-9]+:    c4 e3 d9 22 f1 07       vpinsrq \$0x7,%rcx,%xmm4,%xmm6
853 [       ]*[a-f0-9]+:    c4 e3 d9 22 31 07       vpinsrq \$0x7,\(%rcx\),%xmm4,%xmm6
854 [       ]*[a-f0-9]+:    c4 e3 f9 16 e1 07       vpextrq \$0x7,%xmm4,%rcx
855 [       ]*[a-f0-9]+:    c4 e3 f9 16 21 07       vpextrq \$0x7,%xmm4,\(%rcx\)
856 [       ]*[a-f0-9]+:    c5 d9 12 31             vmovlpd \(%rcx\),%xmm4,%xmm6
857 [       ]*[a-f0-9]+:    c5 d8 12 31             vmovlps \(%rcx\),%xmm4,%xmm6
858 [       ]*[a-f0-9]+:    c5 d9 16 31             vmovhpd \(%rcx\),%xmm4,%xmm6
859 [       ]*[a-f0-9]+:    c5 d8 16 31             vmovhps \(%rcx\),%xmm4,%xmm6
860 [       ]*[a-f0-9]+:    c5 cb c2 d4 07          vcmpordsd %xmm4,%xmm6,%xmm2
861 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%rcx\),%xmm6,%xmm2
862 [       ]*[a-f0-9]+:    c4 e3 49 0b d4 07       vroundsd \$0x7,%xmm4,%xmm6,%xmm2
863 [       ]*[a-f0-9]+:    c4 e3 49 0b 11 07       vroundsd \$0x7,\(%rcx\),%xmm6,%xmm2
864 [       ]*[a-f0-9]+:    c5 cb 58 d4             vaddsd %xmm4,%xmm6,%xmm2
865 [       ]*[a-f0-9]+:    c5 cb 58 11             vaddsd \(%rcx\),%xmm6,%xmm2
866 [       ]*[a-f0-9]+:    c5 cb 5a d4             vcvtsd2ss %xmm4,%xmm6,%xmm2
867 [       ]*[a-f0-9]+:    c5 cb 5a 11             vcvtsd2ss \(%rcx\),%xmm6,%xmm2
868 [       ]*[a-f0-9]+:    c5 cb 5e d4             vdivsd %xmm4,%xmm6,%xmm2
869 [       ]*[a-f0-9]+:    c5 cb 5e 11             vdivsd \(%rcx\),%xmm6,%xmm2
870 [       ]*[a-f0-9]+:    c5 cb 5f d4             vmaxsd %xmm4,%xmm6,%xmm2
871 [       ]*[a-f0-9]+:    c5 cb 5f 11             vmaxsd \(%rcx\),%xmm6,%xmm2
872 [       ]*[a-f0-9]+:    c5 cb 5d d4             vminsd %xmm4,%xmm6,%xmm2
873 [       ]*[a-f0-9]+:    c5 cb 5d 11             vminsd \(%rcx\),%xmm6,%xmm2
874 [       ]*[a-f0-9]+:    c5 cb 59 d4             vmulsd %xmm4,%xmm6,%xmm2
875 [       ]*[a-f0-9]+:    c5 cb 59 11             vmulsd \(%rcx\),%xmm6,%xmm2
876 [       ]*[a-f0-9]+:    c5 cb 51 d4             vsqrtsd %xmm4,%xmm6,%xmm2
877 [       ]*[a-f0-9]+:    c5 cb 51 11             vsqrtsd \(%rcx\),%xmm6,%xmm2
878 [       ]*[a-f0-9]+:    c5 cb 5c d4             vsubsd %xmm4,%xmm6,%xmm2
879 [       ]*[a-f0-9]+:    c5 cb 5c 11             vsubsd \(%rcx\),%xmm6,%xmm2
880 [       ]*[a-f0-9]+:    c5 cb c2 d4 00          vcmpeqsd %xmm4,%xmm6,%xmm2
881 [       ]*[a-f0-9]+:    c5 cb c2 11 00          vcmpeqsd \(%rcx\),%xmm6,%xmm2
882 [       ]*[a-f0-9]+:    c5 cb c2 d4 01          vcmpltsd %xmm4,%xmm6,%xmm2
883 [       ]*[a-f0-9]+:    c5 cb c2 11 01          vcmpltsd \(%rcx\),%xmm6,%xmm2
884 [       ]*[a-f0-9]+:    c5 cb c2 d4 02          vcmplesd %xmm4,%xmm6,%xmm2
885 [       ]*[a-f0-9]+:    c5 cb c2 11 02          vcmplesd \(%rcx\),%xmm6,%xmm2
886 [       ]*[a-f0-9]+:    c5 cb c2 d4 03          vcmpunordsd %xmm4,%xmm6,%xmm2
887 [       ]*[a-f0-9]+:    c5 cb c2 11 03          vcmpunordsd \(%rcx\),%xmm6,%xmm2
888 [       ]*[a-f0-9]+:    c5 cb c2 d4 04          vcmpneqsd %xmm4,%xmm6,%xmm2
889 [       ]*[a-f0-9]+:    c5 cb c2 11 04          vcmpneqsd \(%rcx\),%xmm6,%xmm2
890 [       ]*[a-f0-9]+:    c5 cb c2 d4 05          vcmpnltsd %xmm4,%xmm6,%xmm2
891 [       ]*[a-f0-9]+:    c5 cb c2 11 05          vcmpnltsd \(%rcx\),%xmm6,%xmm2
892 [       ]*[a-f0-9]+:    c5 cb c2 d4 06          vcmpnlesd %xmm4,%xmm6,%xmm2
893 [       ]*[a-f0-9]+:    c5 cb c2 11 06          vcmpnlesd \(%rcx\),%xmm6,%xmm2
894 [       ]*[a-f0-9]+:    c5 cb c2 d4 07          vcmpordsd %xmm4,%xmm6,%xmm2
895 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%rcx\),%xmm6,%xmm2
896 [       ]*[a-f0-9]+:    c5 cb c2 d4 08          vcmpeq_uqsd %xmm4,%xmm6,%xmm2
897 [       ]*[a-f0-9]+:    c5 cb c2 11 08          vcmpeq_uqsd \(%rcx\),%xmm6,%xmm2
898 [       ]*[a-f0-9]+:    c5 cb c2 d4 09          vcmpngesd %xmm4,%xmm6,%xmm2
899 [       ]*[a-f0-9]+:    c5 cb c2 11 09          vcmpngesd \(%rcx\),%xmm6,%xmm2
900 [       ]*[a-f0-9]+:    c5 cb c2 d4 0a          vcmpngtsd %xmm4,%xmm6,%xmm2
901 [       ]*[a-f0-9]+:    c5 cb c2 11 0a          vcmpngtsd \(%rcx\),%xmm6,%xmm2
902 [       ]*[a-f0-9]+:    c5 cb c2 d4 0b          vcmpfalsesd %xmm4,%xmm6,%xmm2
903 [       ]*[a-f0-9]+:    c5 cb c2 11 0b          vcmpfalsesd \(%rcx\),%xmm6,%xmm2
904 [       ]*[a-f0-9]+:    c5 cb c2 d4 0c          vcmpneq_oqsd %xmm4,%xmm6,%xmm2
905 [       ]*[a-f0-9]+:    c5 cb c2 11 0c          vcmpneq_oqsd \(%rcx\),%xmm6,%xmm2
906 [       ]*[a-f0-9]+:    c5 cb c2 d4 0d          vcmpgesd %xmm4,%xmm6,%xmm2
907 [       ]*[a-f0-9]+:    c5 cb c2 11 0d          vcmpgesd \(%rcx\),%xmm6,%xmm2
908 [       ]*[a-f0-9]+:    c5 cb c2 d4 0e          vcmpgtsd %xmm4,%xmm6,%xmm2
909 [       ]*[a-f0-9]+:    c5 cb c2 11 0e          vcmpgtsd \(%rcx\),%xmm6,%xmm2
910 [       ]*[a-f0-9]+:    c5 cb c2 d4 0f          vcmptruesd %xmm4,%xmm6,%xmm2
911 [       ]*[a-f0-9]+:    c5 cb c2 11 0f          vcmptruesd \(%rcx\),%xmm6,%xmm2
912 [       ]*[a-f0-9]+:    c5 cb c2 d4 10          vcmpeq_ossd %xmm4,%xmm6,%xmm2
913 [       ]*[a-f0-9]+:    c5 cb c2 11 10          vcmpeq_ossd \(%rcx\),%xmm6,%xmm2
914 [       ]*[a-f0-9]+:    c5 cb c2 d4 11          vcmplt_oqsd %xmm4,%xmm6,%xmm2
915 [       ]*[a-f0-9]+:    c5 cb c2 11 11          vcmplt_oqsd \(%rcx\),%xmm6,%xmm2
916 [       ]*[a-f0-9]+:    c5 cb c2 d4 12          vcmple_oqsd %xmm4,%xmm6,%xmm2
917 [       ]*[a-f0-9]+:    c5 cb c2 11 12          vcmple_oqsd \(%rcx\),%xmm6,%xmm2
918 [       ]*[a-f0-9]+:    c5 cb c2 d4 13          vcmpunord_ssd %xmm4,%xmm6,%xmm2
919 [       ]*[a-f0-9]+:    c5 cb c2 11 13          vcmpunord_ssd \(%rcx\),%xmm6,%xmm2
920 [       ]*[a-f0-9]+:    c5 cb c2 d4 14          vcmpneq_ussd %xmm4,%xmm6,%xmm2
921 [       ]*[a-f0-9]+:    c5 cb c2 11 14          vcmpneq_ussd \(%rcx\),%xmm6,%xmm2
922 [       ]*[a-f0-9]+:    c5 cb c2 d4 15          vcmpnlt_uqsd %xmm4,%xmm6,%xmm2
923 [       ]*[a-f0-9]+:    c5 cb c2 11 15          vcmpnlt_uqsd \(%rcx\),%xmm6,%xmm2
924 [       ]*[a-f0-9]+:    c5 cb c2 d4 16          vcmpnle_uqsd %xmm4,%xmm6,%xmm2
925 [       ]*[a-f0-9]+:    c5 cb c2 11 16          vcmpnle_uqsd \(%rcx\),%xmm6,%xmm2
926 [       ]*[a-f0-9]+:    c5 cb c2 d4 17          vcmpord_ssd %xmm4,%xmm6,%xmm2
927 [       ]*[a-f0-9]+:    c5 cb c2 11 17          vcmpord_ssd \(%rcx\),%xmm6,%xmm2
928 [       ]*[a-f0-9]+:    c5 cb c2 d4 18          vcmpeq_ussd %xmm4,%xmm6,%xmm2
929 [       ]*[a-f0-9]+:    c5 cb c2 11 18          vcmpeq_ussd \(%rcx\),%xmm6,%xmm2
930 [       ]*[a-f0-9]+:    c5 cb c2 d4 19          vcmpnge_uqsd %xmm4,%xmm6,%xmm2
931 [       ]*[a-f0-9]+:    c5 cb c2 11 19          vcmpnge_uqsd \(%rcx\),%xmm6,%xmm2
932 [       ]*[a-f0-9]+:    c5 cb c2 d4 1a          vcmpngt_uqsd %xmm4,%xmm6,%xmm2
933 [       ]*[a-f0-9]+:    c5 cb c2 11 1a          vcmpngt_uqsd \(%rcx\),%xmm6,%xmm2
934 [       ]*[a-f0-9]+:    c5 cb c2 d4 1b          vcmpfalse_ossd %xmm4,%xmm6,%xmm2
935 [       ]*[a-f0-9]+:    c5 cb c2 11 1b          vcmpfalse_ossd \(%rcx\),%xmm6,%xmm2
936 [       ]*[a-f0-9]+:    c5 cb c2 d4 1c          vcmpneq_ossd %xmm4,%xmm6,%xmm2
937 [       ]*[a-f0-9]+:    c5 cb c2 11 1c          vcmpneq_ossd \(%rcx\),%xmm6,%xmm2
938 [       ]*[a-f0-9]+:    c5 cb c2 d4 1d          vcmpge_oqsd %xmm4,%xmm6,%xmm2
939 [       ]*[a-f0-9]+:    c5 cb c2 11 1d          vcmpge_oqsd \(%rcx\),%xmm6,%xmm2
940 [       ]*[a-f0-9]+:    c5 cb c2 d4 1e          vcmpgt_oqsd %xmm4,%xmm6,%xmm2
941 [       ]*[a-f0-9]+:    c5 cb c2 11 1e          vcmpgt_oqsd \(%rcx\),%xmm6,%xmm2
942 [       ]*[a-f0-9]+:    c5 cb c2 d4 1f          vcmptrue_ussd %xmm4,%xmm6,%xmm2
943 [       ]*[a-f0-9]+:    c5 cb c2 11 1f          vcmptrue_ussd \(%rcx\),%xmm6,%xmm2
944 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%rcx\)
945 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%rcx\)
946 [       ]*[a-f0-9]+:    c5 ca 58 d4             vaddss %xmm4,%xmm6,%xmm2
947 [       ]*[a-f0-9]+:    c5 ca 58 11             vaddss \(%rcx\),%xmm6,%xmm2
948 [       ]*[a-f0-9]+:    c5 ca 5a d4             vcvtss2sd %xmm4,%xmm6,%xmm2
949 [       ]*[a-f0-9]+:    c5 ca 5a 11             vcvtss2sd \(%rcx\),%xmm6,%xmm2
950 [       ]*[a-f0-9]+:    c5 ca 5e d4             vdivss %xmm4,%xmm6,%xmm2
951 [       ]*[a-f0-9]+:    c5 ca 5e 11             vdivss \(%rcx\),%xmm6,%xmm2
952 [       ]*[a-f0-9]+:    c5 ca 5f d4             vmaxss %xmm4,%xmm6,%xmm2
953 [       ]*[a-f0-9]+:    c5 ca 5f 11             vmaxss \(%rcx\),%xmm6,%xmm2
954 [       ]*[a-f0-9]+:    c5 ca 5d d4             vminss %xmm4,%xmm6,%xmm2
955 [       ]*[a-f0-9]+:    c5 ca 5d 11             vminss \(%rcx\),%xmm6,%xmm2
956 [       ]*[a-f0-9]+:    c5 ca 59 d4             vmulss %xmm4,%xmm6,%xmm2
957 [       ]*[a-f0-9]+:    c5 ca 59 11             vmulss \(%rcx\),%xmm6,%xmm2
958 [       ]*[a-f0-9]+:    c5 ca 53 d4             vrcpss %xmm4,%xmm6,%xmm2
959 [       ]*[a-f0-9]+:    c5 ca 53 11             vrcpss \(%rcx\),%xmm6,%xmm2
960 [       ]*[a-f0-9]+:    c5 ca 52 d4             vrsqrtss %xmm4,%xmm6,%xmm2
961 [       ]*[a-f0-9]+:    c5 ca 52 11             vrsqrtss \(%rcx\),%xmm6,%xmm2
962 [       ]*[a-f0-9]+:    c5 ca 51 d4             vsqrtss %xmm4,%xmm6,%xmm2
963 [       ]*[a-f0-9]+:    c5 ca 51 11             vsqrtss \(%rcx\),%xmm6,%xmm2
964 [       ]*[a-f0-9]+:    c5 ca 5c d4             vsubss %xmm4,%xmm6,%xmm2
965 [       ]*[a-f0-9]+:    c5 ca 5c 11             vsubss \(%rcx\),%xmm6,%xmm2
966 [       ]*[a-f0-9]+:    c5 ca c2 d4 00          vcmpeqss %xmm4,%xmm6,%xmm2
967 [       ]*[a-f0-9]+:    c5 ca c2 11 00          vcmpeqss \(%rcx\),%xmm6,%xmm2
968 [       ]*[a-f0-9]+:    c5 ca c2 d4 01          vcmpltss %xmm4,%xmm6,%xmm2
969 [       ]*[a-f0-9]+:    c5 ca c2 11 01          vcmpltss \(%rcx\),%xmm6,%xmm2
970 [       ]*[a-f0-9]+:    c5 ca c2 d4 02          vcmpless %xmm4,%xmm6,%xmm2
971 [       ]*[a-f0-9]+:    c5 ca c2 11 02          vcmpless \(%rcx\),%xmm6,%xmm2
972 [       ]*[a-f0-9]+:    c5 ca c2 d4 03          vcmpunordss %xmm4,%xmm6,%xmm2
973 [       ]*[a-f0-9]+:    c5 ca c2 11 03          vcmpunordss \(%rcx\),%xmm6,%xmm2
974 [       ]*[a-f0-9]+:    c5 ca c2 d4 04          vcmpneqss %xmm4,%xmm6,%xmm2
975 [       ]*[a-f0-9]+:    c5 ca c2 11 04          vcmpneqss \(%rcx\),%xmm6,%xmm2
976 [       ]*[a-f0-9]+:    c5 ca c2 d4 05          vcmpnltss %xmm4,%xmm6,%xmm2
977 [       ]*[a-f0-9]+:    c5 ca c2 11 05          vcmpnltss \(%rcx\),%xmm6,%xmm2
978 [       ]*[a-f0-9]+:    c5 ca c2 d4 06          vcmpnless %xmm4,%xmm6,%xmm2
979 [       ]*[a-f0-9]+:    c5 ca c2 11 06          vcmpnless \(%rcx\),%xmm6,%xmm2
980 [       ]*[a-f0-9]+:    c5 ca c2 d4 07          vcmpordss %xmm4,%xmm6,%xmm2
981 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%rcx\),%xmm6,%xmm2
982 [       ]*[a-f0-9]+:    c5 ca c2 d4 08          vcmpeq_uqss %xmm4,%xmm6,%xmm2
983 [       ]*[a-f0-9]+:    c5 ca c2 11 08          vcmpeq_uqss \(%rcx\),%xmm6,%xmm2
984 [       ]*[a-f0-9]+:    c5 ca c2 d4 09          vcmpngess %xmm4,%xmm6,%xmm2
985 [       ]*[a-f0-9]+:    c5 ca c2 11 09          vcmpngess \(%rcx\),%xmm6,%xmm2
986 [       ]*[a-f0-9]+:    c5 ca c2 d4 0a          vcmpngtss %xmm4,%xmm6,%xmm2
987 [       ]*[a-f0-9]+:    c5 ca c2 11 0a          vcmpngtss \(%rcx\),%xmm6,%xmm2
988 [       ]*[a-f0-9]+:    c5 ca c2 d4 0b          vcmpfalsess %xmm4,%xmm6,%xmm2
989 [       ]*[a-f0-9]+:    c5 ca c2 11 0b          vcmpfalsess \(%rcx\),%xmm6,%xmm2
990 [       ]*[a-f0-9]+:    c5 ca c2 d4 0c          vcmpneq_oqss %xmm4,%xmm6,%xmm2
991 [       ]*[a-f0-9]+:    c5 ca c2 11 0c          vcmpneq_oqss \(%rcx\),%xmm6,%xmm2
992 [       ]*[a-f0-9]+:    c5 ca c2 d4 0d          vcmpgess %xmm4,%xmm6,%xmm2
993 [       ]*[a-f0-9]+:    c5 ca c2 11 0d          vcmpgess \(%rcx\),%xmm6,%xmm2
994 [       ]*[a-f0-9]+:    c5 ca c2 d4 0e          vcmpgtss %xmm4,%xmm6,%xmm2
995 [       ]*[a-f0-9]+:    c5 ca c2 11 0e          vcmpgtss \(%rcx\),%xmm6,%xmm2
996 [       ]*[a-f0-9]+:    c5 ca c2 d4 0f          vcmptruess %xmm4,%xmm6,%xmm2
997 [       ]*[a-f0-9]+:    c5 ca c2 11 0f          vcmptruess \(%rcx\),%xmm6,%xmm2
998 [       ]*[a-f0-9]+:    c5 ca c2 d4 10          vcmpeq_osss %xmm4,%xmm6,%xmm2
999 [       ]*[a-f0-9]+:    c5 ca c2 11 10          vcmpeq_osss \(%rcx\),%xmm6,%xmm2
1000 [       ]*[a-f0-9]+:    c5 ca c2 d4 11          vcmplt_oqss %xmm4,%xmm6,%xmm2
1001 [       ]*[a-f0-9]+:    c5 ca c2 11 11          vcmplt_oqss \(%rcx\),%xmm6,%xmm2
1002 [       ]*[a-f0-9]+:    c5 ca c2 d4 12          vcmple_oqss %xmm4,%xmm6,%xmm2
1003 [       ]*[a-f0-9]+:    c5 ca c2 11 12          vcmple_oqss \(%rcx\),%xmm6,%xmm2
1004 [       ]*[a-f0-9]+:    c5 ca c2 d4 13          vcmpunord_sss %xmm4,%xmm6,%xmm2
1005 [       ]*[a-f0-9]+:    c5 ca c2 11 13          vcmpunord_sss \(%rcx\),%xmm6,%xmm2
1006 [       ]*[a-f0-9]+:    c5 ca c2 d4 14          vcmpneq_usss %xmm4,%xmm6,%xmm2
1007 [       ]*[a-f0-9]+:    c5 ca c2 11 14          vcmpneq_usss \(%rcx\),%xmm6,%xmm2
1008 [       ]*[a-f0-9]+:    c5 ca c2 d4 15          vcmpnlt_uqss %xmm4,%xmm6,%xmm2
1009 [       ]*[a-f0-9]+:    c5 ca c2 11 15          vcmpnlt_uqss \(%rcx\),%xmm6,%xmm2
1010 [       ]*[a-f0-9]+:    c5 ca c2 d4 16          vcmpnle_uqss %xmm4,%xmm6,%xmm2
1011 [       ]*[a-f0-9]+:    c5 ca c2 11 16          vcmpnle_uqss \(%rcx\),%xmm6,%xmm2
1012 [       ]*[a-f0-9]+:    c5 ca c2 d4 17          vcmpord_sss %xmm4,%xmm6,%xmm2
1013 [       ]*[a-f0-9]+:    c5 ca c2 11 17          vcmpord_sss \(%rcx\),%xmm6,%xmm2
1014 [       ]*[a-f0-9]+:    c5 ca c2 d4 18          vcmpeq_usss %xmm4,%xmm6,%xmm2
1015 [       ]*[a-f0-9]+:    c5 ca c2 11 18          vcmpeq_usss \(%rcx\),%xmm6,%xmm2
1016 [       ]*[a-f0-9]+:    c5 ca c2 d4 19          vcmpnge_uqss %xmm4,%xmm6,%xmm2
1017 [       ]*[a-f0-9]+:    c5 ca c2 11 19          vcmpnge_uqss \(%rcx\),%xmm6,%xmm2
1018 [       ]*[a-f0-9]+:    c5 ca c2 d4 1a          vcmpngt_uqss %xmm4,%xmm6,%xmm2
1019 [       ]*[a-f0-9]+:    c5 ca c2 11 1a          vcmpngt_uqss \(%rcx\),%xmm6,%xmm2
1020 [       ]*[a-f0-9]+:    c5 ca c2 d4 1b          vcmpfalse_osss %xmm4,%xmm6,%xmm2
1021 [       ]*[a-f0-9]+:    c5 ca c2 11 1b          vcmpfalse_osss \(%rcx\),%xmm6,%xmm2
1022 [       ]*[a-f0-9]+:    c5 ca c2 d4 1c          vcmpneq_osss %xmm4,%xmm6,%xmm2
1023 [       ]*[a-f0-9]+:    c5 ca c2 11 1c          vcmpneq_osss \(%rcx\),%xmm6,%xmm2
1024 [       ]*[a-f0-9]+:    c5 ca c2 d4 1d          vcmpge_oqss %xmm4,%xmm6,%xmm2
1025 [       ]*[a-f0-9]+:    c5 ca c2 11 1d          vcmpge_oqss \(%rcx\),%xmm6,%xmm2
1026 [       ]*[a-f0-9]+:    c5 ca c2 d4 1e          vcmpgt_oqss %xmm4,%xmm6,%xmm2
1027 [       ]*[a-f0-9]+:    c5 ca c2 11 1e          vcmpgt_oqss \(%rcx\),%xmm6,%xmm2
1028 [       ]*[a-f0-9]+:    c5 ca c2 d4 1f          vcmptrue_usss %xmm4,%xmm6,%xmm2
1029 [       ]*[a-f0-9]+:    c5 ca c2 11 1f          vcmptrue_usss \(%rcx\),%xmm6,%xmm2
1030 [       ]*[a-f0-9]+:    c4 e2 7d 18 21          vbroadcastss \(%rcx\),%ymm4
1031 [       ]*[a-f0-9]+:    c5 f8 2f f4             vcomiss %xmm4,%xmm6
1032 [       ]*[a-f0-9]+:    c5 f8 2f 21             vcomiss \(%rcx\),%xmm4
1033 [       ]*[a-f0-9]+:    c4 e2 79 21 f4          vpmovsxbd %xmm4,%xmm6
1034 [       ]*[a-f0-9]+:    c4 e2 79 21 21          vpmovsxbd \(%rcx\),%xmm4
1035 [       ]*[a-f0-9]+:    c4 e2 79 24 f4          vpmovsxwq %xmm4,%xmm6
1036 [       ]*[a-f0-9]+:    c4 e2 79 24 21          vpmovsxwq \(%rcx\),%xmm4
1037 [       ]*[a-f0-9]+:    c4 e2 79 31 f4          vpmovzxbd %xmm4,%xmm6
1038 [       ]*[a-f0-9]+:    c4 e2 79 31 21          vpmovzxbd \(%rcx\),%xmm4
1039 [       ]*[a-f0-9]+:    c4 e2 79 34 f4          vpmovzxwq %xmm4,%xmm6
1040 [       ]*[a-f0-9]+:    c4 e2 79 34 21          vpmovzxwq \(%rcx\),%xmm4
1041 [       ]*[a-f0-9]+:    c5 f8 2e f4             vucomiss %xmm4,%xmm6
1042 [       ]*[a-f0-9]+:    c5 f8 2e 21             vucomiss \(%rcx\),%xmm4
1043 [       ]*[a-f0-9]+:    c4 e2 79 18 21          vbroadcastss \(%rcx\),%xmm4
1044 [       ]*[a-f0-9]+:    c5 fa 10 21             vmovss \(%rcx\),%xmm4
1045 [       ]*[a-f0-9]+:    c5 fa 11 21             vmovss %xmm4,\(%rcx\)
1046 [       ]*[a-f0-9]+:    c5 f9 7e e1             vmovd  %xmm4,%ecx
1047 [       ]*[a-f0-9]+:    c5 f9 7e 21             vmovd  %xmm4,\(%rcx\)
1048 [       ]*[a-f0-9]+:    c5 f9 6e e1             vmovd  %ecx,%xmm4
1049 [       ]*[a-f0-9]+:    c5 f9 6e 21             vmovd  \(%rcx\),%xmm4
1050 [       ]*[a-f0-9]+:    c5 fa 2d cc             vcvtss2si %xmm4,%ecx
1051 [       ]*[a-f0-9]+:    c5 fa 2d 09             vcvtss2si \(%rcx\),%ecx
1052 [       ]*[a-f0-9]+:    c5 fa 2c cc             vcvttss2si %xmm4,%ecx
1053 [       ]*[a-f0-9]+:    c5 fa 2c 09             vcvttss2si \(%rcx\),%ecx
1054 [       ]*[a-f0-9]+:    c4 e1 fa 2d cc          vcvtss2si %xmm4,%rcx
1055 [       ]*[a-f0-9]+:    c4 e1 fa 2d 09          vcvtss2si \(%rcx\),%rcx
1056 [       ]*[a-f0-9]+:    c4 e1 fa 2c cc          vcvttss2si %xmm4,%rcx
1057 [       ]*[a-f0-9]+:    c4 e1 fa 2c 09          vcvttss2si \(%rcx\),%rcx
1058 [       ]*[a-f0-9]+:    c5 f9 50 cc             vmovmskpd %xmm4,%ecx
1059 [       ]*[a-f0-9]+:    c5 f8 50 cc             vmovmskps %xmm4,%ecx
1060 [       ]*[a-f0-9]+:    c5 f9 d7 cc             vpmovmskb %xmm4,%ecx
1061 [       ]*[a-f0-9]+:    c4 e3 79 17 e1 07       vextractps \$0x7,%xmm4,%ecx
1062 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%rcx\)
1063 [       ]*[a-f0-9]+:    c4 e3 79 16 e1 07       vpextrd \$0x7,%xmm4,%ecx
1064 [       ]*[a-f0-9]+:    c4 e3 79 16 21 07       vpextrd \$0x7,%xmm4,\(%rcx\)
1065 [       ]*[a-f0-9]+:    c4 e3 79 17 e1 07       vextractps \$0x7,%xmm4,%ecx
1066 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%rcx\)
1067 [       ]*[a-f0-9]+:    c5 db 2a f1             vcvtsi2sd %ecx,%xmm4,%xmm6
1068 [       ]*[a-f0-9]+:    c5 db 2a 31             vcvtsi2sdl \(%rcx\),%xmm4,%xmm6
1069 [       ]*[a-f0-9]+:    c5 da 2a f1             vcvtsi2ss %ecx,%xmm4,%xmm6
1070 [       ]*[a-f0-9]+:    c5 da 2a 31             vcvtsi2ssl \(%rcx\),%xmm4,%xmm6
1071 [       ]*[a-f0-9]+:    c5 ca c2 d4 07          vcmpordss %xmm4,%xmm6,%xmm2
1072 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%rcx\),%xmm6,%xmm2
1073 [       ]*[a-f0-9]+:    c4 e3 49 21 d4 07       vinsertps \$0x7,%xmm4,%xmm6,%xmm2
1074 [       ]*[a-f0-9]+:    c4 e3 49 21 11 07       vinsertps \$0x7,\(%rcx\),%xmm6,%xmm2
1075 [       ]*[a-f0-9]+:    c4 e3 49 0a d4 07       vroundss \$0x7,%xmm4,%xmm6,%xmm2
1076 [       ]*[a-f0-9]+:    c4 e3 49 0a 11 07       vroundss \$0x7,\(%rcx\),%xmm6,%xmm2
1077 [       ]*[a-f0-9]+:    c4 e2 79 22 f4          vpmovsxbq %xmm4,%xmm6
1078 [       ]*[a-f0-9]+:    c4 e2 79 22 21          vpmovsxbq \(%rcx\),%xmm4
1079 [       ]*[a-f0-9]+:    c4 e2 79 32 f4          vpmovzxbq %xmm4,%xmm6
1080 [       ]*[a-f0-9]+:    c4 e2 79 32 21          vpmovzxbq \(%rcx\),%xmm4
1081 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
1082 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%rcx\)
1083 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
1084 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%rcx\)
1085 [       ]*[a-f0-9]+:    c5 d9 c4 f1 07          vpinsrw \$0x7,%ecx,%xmm4,%xmm6
1086 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%rcx\),%xmm4,%xmm6
1087 [       ]*[a-f0-9]+:    c5 d9 c4 f1 07          vpinsrw \$0x7,%ecx,%xmm4,%xmm6
1088 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%rcx\),%xmm4,%xmm6
1089 [       ]*[a-f0-9]+:    c4 e3 79 14 e1 07       vpextrb \$0x7,%xmm4,%ecx
1090 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%rcx\)
1091 [       ]*[a-f0-9]+:    c4 e3 59 20 f1 07       vpinsrb \$0x7,%ecx,%xmm4,%xmm6
1092 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%rcx\),%xmm4,%xmm6
1093 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
1094 [       ]*[a-f0-9]+:    c4 e3 79 14 e1 07       vpextrb \$0x7,%xmm4,%ecx
1095 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%rcx\)
1096 [       ]*[a-f0-9]+:    c4 e3 59 20 f1 07       vpinsrb \$0x7,%ecx,%xmm4,%xmm6
1097 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%rcx\),%xmm4,%xmm6
1098 [       ]*[a-f0-9]+:    c5 f9 f7 f4             vmaskmovdqu %xmm4,%xmm6
1099 [       ]*[a-f0-9]+:    c5 fa 7e f4             vmovq  %xmm4,%xmm6
1100 [       ]*[a-f0-9]+:    c5 f9 50 cc             vmovmskpd %xmm4,%ecx
1101 [       ]*[a-f0-9]+:    c5 f8 50 cc             vmovmskps %xmm4,%ecx
1102 [       ]*[a-f0-9]+:    c5 f9 d7 cc             vpmovmskb %xmm4,%ecx
1103 [       ]*[a-f0-9]+:    c5 c8 12 d4             vmovhlps %xmm4,%xmm6,%xmm2
1104 [       ]*[a-f0-9]+:    c5 c8 16 d4             vmovlhps %xmm4,%xmm6,%xmm2
1105 [       ]*[a-f0-9]+:    c5 cb 10 d4             vmovsd %xmm4,%xmm6,%xmm2
1106 [       ]*[a-f0-9]+:    c5 ca 10 d4             vmovss %xmm4,%xmm6,%xmm2
1107 [       ]*[a-f0-9]+:    c5 c9 72 f4 07          vpslld \$0x7,%xmm4,%xmm6
1108 [       ]*[a-f0-9]+:    c5 c9 73 fc 07          vpslldq \$0x7,%xmm4,%xmm6
1109 [       ]*[a-f0-9]+:    c5 c9 73 f4 07          vpsllq \$0x7,%xmm4,%xmm6
1110 [       ]*[a-f0-9]+:    c5 c9 71 f4 07          vpsllw \$0x7,%xmm4,%xmm6
1111 [       ]*[a-f0-9]+:    c5 c9 72 e4 07          vpsrad \$0x7,%xmm4,%xmm6
1112 [       ]*[a-f0-9]+:    c5 c9 71 e4 07          vpsraw \$0x7,%xmm4,%xmm6
1113 [       ]*[a-f0-9]+:    c5 c9 72 d4 07          vpsrld \$0x7,%xmm4,%xmm6
1114 [       ]*[a-f0-9]+:    c5 c9 73 dc 07          vpsrldq \$0x7,%xmm4,%xmm6
1115 [       ]*[a-f0-9]+:    c5 c9 73 d4 07          vpsrlq \$0x7,%xmm4,%xmm6
1116 [       ]*[a-f0-9]+:    c5 c9 71 d4 07          vpsrlw \$0x7,%xmm4,%xmm6
1117 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
1118 [       ]*[a-f0-9]+:    c5 fd 50 cc             vmovmskpd %ymm4,%ecx
1119 [       ]*[a-f0-9]+:    c5 fc 50 cc             vmovmskps %ymm4,%ecx
1120 [       ]*[a-f0-9]+:    c5 fd 50 cc             vmovmskpd %ymm4,%ecx
1121 [       ]*[a-f0-9]+:    c5 fc 50 cc             vmovmskps %ymm4,%ecx
1122 [       ]*[a-f0-9]+:    c5 fb e6 f4             vcvtpd2dq %xmm4,%xmm6
1123 [       ]*[a-f0-9]+:    c5 ff e6 f4             vcvtpd2dq %ymm4,%xmm6
1124 [       ]*[a-f0-9]+:    c5 f9 5a f4             vcvtpd2ps %xmm4,%xmm6
1125 [       ]*[a-f0-9]+:    c5 fd 5a f4             vcvtpd2ps %ymm4,%xmm6
1126 [       ]*[a-f0-9]+:    c5 f9 e6 f4             vcvttpd2dq %xmm4,%xmm6
1127 [       ]*[a-f0-9]+:    c5 fd e6 f4             vcvttpd2dq %ymm4,%xmm6
1128 [       ]*[a-f0-9]+:    c5 f8 ae 14 25 78 56 34 12      vldmxcsr 0x12345678
1129 [       ]*[a-f0-9]+:    c5 79 6f 04 25 78 56 34 12      vmovdqa 0x12345678,%xmm8
1130 [       ]*[a-f0-9]+:    c5 79 7f 04 25 78 56 34 12      vmovdqa %xmm8,0x12345678
1131 [       ]*[a-f0-9]+:    c5 79 7e 04 25 78 56 34 12      vmovd  %xmm8,0x12345678
1132 [       ]*[a-f0-9]+:    c5 7b 2d 04 25 78 56 34 12      vcvtsd2si 0x12345678,%r8d
1133 [       ]*[a-f0-9]+:    c5 7e e6 04 25 78 56 34 12      vcvtdq2pd 0x12345678,%ymm8
1134 [       ]*[a-f0-9]+:    c5 7d 5a 04 25 78 56 34 12      vcvtpd2psy 0x12345678,%xmm8
1135 [       ]*[a-f0-9]+:    c5 39 e0 3c 25 78 56 34 12      vpavgb 0x12345678,%xmm8,%xmm15
1136 [       ]*[a-f0-9]+:    c4 63 79 df 04 25 78 56 34 12 07        vaeskeygenassist \$0x7,0x12345678,%xmm8
1137 [       ]*[a-f0-9]+:    c4 63 79 14 04 25 78 56 34 12 07        vpextrb \$0x7,%xmm8,0x12345678
1138 [       ]*[a-f0-9]+:    c5 3b 2a 3c 25 78 56 34 12      vcvtsi2sdl 0x12345678,%xmm8,%xmm15
1139 [       ]*[a-f0-9]+:    c4 63 39 44 3c 25 78 56 34 12 07        vpclmulqdq \$0x7,0x12345678,%xmm8,%xmm15
1140 [       ]*[a-f0-9]+:    c4 63 19 4a 34 25 78 56 34 12 80        vblendvps %xmm8,0x12345678,%xmm12,%xmm14
1141 [       ]*[a-f0-9]+:    c4 63 39 20 3c 25 78 56 34 12 07        vpinsrb \$0x7,0x12345678,%xmm8,%xmm15
1142 [       ]*[a-f0-9]+:    c5 7d 6f 04 25 78 56 34 12      vmovdqa 0x12345678,%ymm8
1143 [       ]*[a-f0-9]+:    c5 7d 7f 04 25 78 56 34 12      vmovdqa %ymm8,0x12345678
1144 [       ]*[a-f0-9]+:    c4 62 3d 0d 3c 25 78 56 34 12   vpermilpd 0x12345678,%ymm8,%ymm15
1145 [       ]*[a-f0-9]+:    c4 63 7d 09 04 25 78 56 34 12 07        vroundpd \$0x7,0x12345678,%ymm8
1146 [       ]*[a-f0-9]+:    c4 63 7d 19 04 25 78 56 34 12 07        vextractf128 \$0x7,%ymm8,0x12345678
1147 [       ]*[a-f0-9]+:    c4 63 3d 06 3c 25 78 56 34 12 07        vperm2f128 \$0x7,0x12345678,%ymm8,%ymm15
1148 [       ]*[a-f0-9]+:    c4 63 1d 4b 34 25 78 56 34 12 80        vblendvpd %ymm8,0x12345678,%ymm12,%ymm14
1149 [       ]*[a-f0-9]+:    c5 f8 ae 55 00          vldmxcsr 0x0\(%rbp\)
1150 [       ]*[a-f0-9]+:    c5 79 6f 45 00          vmovdqa 0x0\(%rbp\),%xmm8
1151 [       ]*[a-f0-9]+:    c5 79 7f 45 00          vmovdqa %xmm8,0x0\(%rbp\)
1152 [       ]*[a-f0-9]+:    c5 79 7e 45 00          vmovd  %xmm8,0x0\(%rbp\)
1153 [       ]*[a-f0-9]+:    c5 7b 2d 45 00          vcvtsd2si 0x0\(%rbp\),%r8d
1154 [       ]*[a-f0-9]+:    c5 7e e6 45 00          vcvtdq2pd 0x0\(%rbp\),%ymm8
1155 [       ]*[a-f0-9]+:    c5 7d 5a 45 00          vcvtpd2psy 0x0\(%rbp\),%xmm8
1156 [       ]*[a-f0-9]+:    c5 39 e0 7d 00          vpavgb 0x0\(%rbp\),%xmm8,%xmm15
1157 [       ]*[a-f0-9]+:    c4 63 79 df 45 00 07    vaeskeygenassist \$0x7,0x0\(%rbp\),%xmm8
1158 [       ]*[a-f0-9]+:    c4 63 79 14 45 00 07    vpextrb \$0x7,%xmm8,0x0\(%rbp\)
1159 [       ]*[a-f0-9]+:    c5 3b 2a 7d 00          vcvtsi2sdl 0x0\(%rbp\),%xmm8,%xmm15
1160 [       ]*[a-f0-9]+:    c4 63 39 44 7d 00 07    vpclmulqdq \$0x7,0x0\(%rbp\),%xmm8,%xmm15
1161 [       ]*[a-f0-9]+:    c4 63 19 4a 75 00 80    vblendvps %xmm8,0x0\(%rbp\),%xmm12,%xmm14
1162 [       ]*[a-f0-9]+:    c4 63 39 20 7d 00 07    vpinsrb \$0x7,0x0\(%rbp\),%xmm8,%xmm15
1163 [       ]*[a-f0-9]+:    c5 7d 6f 45 00          vmovdqa 0x0\(%rbp\),%ymm8
1164 [       ]*[a-f0-9]+:    c5 7d 7f 45 00          vmovdqa %ymm8,0x0\(%rbp\)
1165 [       ]*[a-f0-9]+:    c4 62 3d 0d 7d 00       vpermilpd 0x0\(%rbp\),%ymm8,%ymm15
1166 [       ]*[a-f0-9]+:    c4 63 7d 09 45 00 07    vroundpd \$0x7,0x0\(%rbp\),%ymm8
1167 [       ]*[a-f0-9]+:    c4 63 7d 19 45 00 07    vextractf128 \$0x7,%ymm8,0x0\(%rbp\)
1168 [       ]*[a-f0-9]+:    c4 63 3d 06 7d 00 07    vperm2f128 \$0x7,0x0\(%rbp\),%ymm8,%ymm15
1169 [       ]*[a-f0-9]+:    c4 63 1d 4b 75 00 80    vblendvpd %ymm8,0x0\(%rbp\),%ymm12,%ymm14
1170 [       ]*[a-f0-9]+:    c5 f8 ae 14 24          vldmxcsr \(%rsp\)
1171 [       ]*[a-f0-9]+:    c5 79 6f 04 24          vmovdqa \(%rsp\),%xmm8
1172 [       ]*[a-f0-9]+:    c5 79 7f 04 24          vmovdqa %xmm8,\(%rsp\)
1173 [       ]*[a-f0-9]+:    c5 79 7e 04 24          vmovd  %xmm8,\(%rsp\)
1174 [       ]*[a-f0-9]+:    c5 7b 2d 04 24          vcvtsd2si \(%rsp\),%r8d
1175 [       ]*[a-f0-9]+:    c5 7e e6 04 24          vcvtdq2pd \(%rsp\),%ymm8
1176 [       ]*[a-f0-9]+:    c5 7d 5a 04 24          vcvtpd2psy \(%rsp\),%xmm8
1177 [       ]*[a-f0-9]+:    c5 39 e0 3c 24          vpavgb \(%rsp\),%xmm8,%xmm15
1178 [       ]*[a-f0-9]+:    c4 63 79 df 04 24 07    vaeskeygenassist \$0x7,\(%rsp\),%xmm8
1179 [       ]*[a-f0-9]+:    c4 63 79 14 04 24 07    vpextrb \$0x7,%xmm8,\(%rsp\)
1180 [       ]*[a-f0-9]+:    c5 3b 2a 3c 24          vcvtsi2sdl \(%rsp\),%xmm8,%xmm15
1181 [       ]*[a-f0-9]+:    c4 63 39 44 3c 24 07    vpclmulqdq \$0x7,\(%rsp\),%xmm8,%xmm15
1182 [       ]*[a-f0-9]+:    c4 63 19 4a 34 24 80    vblendvps %xmm8,\(%rsp\),%xmm12,%xmm14
1183 [       ]*[a-f0-9]+:    c4 63 39 20 3c 24 07    vpinsrb \$0x7,\(%rsp\),%xmm8,%xmm15
1184 [       ]*[a-f0-9]+:    c5 7d 6f 04 24          vmovdqa \(%rsp\),%ymm8
1185 [       ]*[a-f0-9]+:    c5 7d 7f 04 24          vmovdqa %ymm8,\(%rsp\)
1186 [       ]*[a-f0-9]+:    c4 62 3d 0d 3c 24       vpermilpd \(%rsp\),%ymm8,%ymm15
1187 [       ]*[a-f0-9]+:    c4 63 7d 09 04 24 07    vroundpd \$0x7,\(%rsp\),%ymm8
1188 [       ]*[a-f0-9]+:    c4 63 7d 19 04 24 07    vextractf128 \$0x7,%ymm8,\(%rsp\)
1189 [       ]*[a-f0-9]+:    c4 63 3d 06 3c 24 07    vperm2f128 \$0x7,\(%rsp\),%ymm8,%ymm15
1190 [       ]*[a-f0-9]+:    c4 63 1d 4b 34 24 80    vblendvpd %ymm8,\(%rsp\),%ymm12,%ymm14
1191 [       ]*[a-f0-9]+:    c5 f8 ae 95 99 00 00 00         vldmxcsr 0x99\(%rbp\)
1192 [       ]*[a-f0-9]+:    c5 79 6f 85 99 00 00 00         vmovdqa 0x99\(%rbp\),%xmm8
1193 [       ]*[a-f0-9]+:    c5 79 7f 85 99 00 00 00         vmovdqa %xmm8,0x99\(%rbp\)
1194 [       ]*[a-f0-9]+:    c5 79 7e 85 99 00 00 00         vmovd  %xmm8,0x99\(%rbp\)
1195 [       ]*[a-f0-9]+:    c5 7b 2d 85 99 00 00 00         vcvtsd2si 0x99\(%rbp\),%r8d
1196 [       ]*[a-f0-9]+:    c5 7e e6 85 99 00 00 00         vcvtdq2pd 0x99\(%rbp\),%ymm8
1197 [       ]*[a-f0-9]+:    c5 7d 5a 85 99 00 00 00         vcvtpd2psy 0x99\(%rbp\),%xmm8
1198 [       ]*[a-f0-9]+:    c5 39 e0 bd 99 00 00 00         vpavgb 0x99\(%rbp\),%xmm8,%xmm15
1199 [       ]*[a-f0-9]+:    c4 63 79 df 85 99 00 00 00 07   vaeskeygenassist \$0x7,0x99\(%rbp\),%xmm8
1200 [       ]*[a-f0-9]+:    c4 63 79 14 85 99 00 00 00 07   vpextrb \$0x7,%xmm8,0x99\(%rbp\)
1201 [       ]*[a-f0-9]+:    c5 3b 2a bd 99 00 00 00         vcvtsi2sdl 0x99\(%rbp\),%xmm8,%xmm15
1202 [       ]*[a-f0-9]+:    c4 63 39 44 bd 99 00 00 00 07   vpclmulqdq \$0x7,0x99\(%rbp\),%xmm8,%xmm15
1203 [       ]*[a-f0-9]+:    c4 63 19 4a b5 99 00 00 00 80   vblendvps %xmm8,0x99\(%rbp\),%xmm12,%xmm14
1204 [       ]*[a-f0-9]+:    c4 63 39 20 bd 99 00 00 00 07   vpinsrb \$0x7,0x99\(%rbp\),%xmm8,%xmm15
1205 [       ]*[a-f0-9]+:    c5 7d 6f 85 99 00 00 00         vmovdqa 0x99\(%rbp\),%ymm8
1206 [       ]*[a-f0-9]+:    c5 7d 7f 85 99 00 00 00         vmovdqa %ymm8,0x99\(%rbp\)
1207 [       ]*[a-f0-9]+:    c4 62 3d 0d bd 99 00 00 00      vpermilpd 0x99\(%rbp\),%ymm8,%ymm15
1208 [       ]*[a-f0-9]+:    c4 63 7d 09 85 99 00 00 00 07   vroundpd \$0x7,0x99\(%rbp\),%ymm8
1209 [       ]*[a-f0-9]+:    c4 63 7d 19 85 99 00 00 00 07   vextractf128 \$0x7,%ymm8,0x99\(%rbp\)
1210 [       ]*[a-f0-9]+:    c4 63 3d 06 bd 99 00 00 00 07   vperm2f128 \$0x7,0x99\(%rbp\),%ymm8,%ymm15
1211 [       ]*[a-f0-9]+:    c4 63 1d 4b b5 99 00 00 00 80   vblendvpd %ymm8,0x99\(%rbp\),%ymm12,%ymm14
1212 [       ]*[a-f0-9]+:    c4 c1 78 ae 97 99 00 00 00      vldmxcsr 0x99\(%r15\)
1213 [       ]*[a-f0-9]+:    c4 41 79 6f 87 99 00 00 00      vmovdqa 0x99\(%r15\),%xmm8
1214 [       ]*[a-f0-9]+:    c4 41 79 7f 87 99 00 00 00      vmovdqa %xmm8,0x99\(%r15\)
1215 [       ]*[a-f0-9]+:    c4 41 79 7e 87 99 00 00 00      vmovd  %xmm8,0x99\(%r15\)
1216 [       ]*[a-f0-9]+:    c4 41 7b 2d 87 99 00 00 00      vcvtsd2si 0x99\(%r15\),%r8d
1217 [       ]*[a-f0-9]+:    c4 41 7e e6 87 99 00 00 00      vcvtdq2pd 0x99\(%r15\),%ymm8
1218 [       ]*[a-f0-9]+:    c4 41 7d 5a 87 99 00 00 00      vcvtpd2psy 0x99\(%r15\),%xmm8
1219 [       ]*[a-f0-9]+:    c4 41 39 e0 bf 99 00 00 00      vpavgb 0x99\(%r15\),%xmm8,%xmm15
1220 [       ]*[a-f0-9]+:    c4 43 79 df 87 99 00 00 00 07   vaeskeygenassist \$0x7,0x99\(%r15\),%xmm8
1221 [       ]*[a-f0-9]+:    c4 43 79 14 87 99 00 00 00 07   vpextrb \$0x7,%xmm8,0x99\(%r15\)
1222 [       ]*[a-f0-9]+:    c4 41 3b 2a bf 99 00 00 00      vcvtsi2sdl 0x99\(%r15\),%xmm8,%xmm15
1223 [       ]*[a-f0-9]+:    c4 43 39 44 bf 99 00 00 00 07   vpclmulqdq \$0x7,0x99\(%r15\),%xmm8,%xmm15
1224 [       ]*[a-f0-9]+:    c4 43 19 4a b7 99 00 00 00 80   vblendvps %xmm8,0x99\(%r15\),%xmm12,%xmm14
1225 [       ]*[a-f0-9]+:    c4 43 39 20 bf 99 00 00 00 07   vpinsrb \$0x7,0x99\(%r15\),%xmm8,%xmm15
1226 [       ]*[a-f0-9]+:    c4 41 7d 6f 87 99 00 00 00      vmovdqa 0x99\(%r15\),%ymm8
1227 [       ]*[a-f0-9]+:    c4 41 7d 7f 87 99 00 00 00      vmovdqa %ymm8,0x99\(%r15\)
1228 [       ]*[a-f0-9]+:    c4 42 3d 0d bf 99 00 00 00      vpermilpd 0x99\(%r15\),%ymm8,%ymm15
1229 [       ]*[a-f0-9]+:    c4 43 7d 09 87 99 00 00 00 07   vroundpd \$0x7,0x99\(%r15\),%ymm8
1230 [       ]*[a-f0-9]+:    c4 43 7d 19 87 99 00 00 00 07   vextractf128 \$0x7,%ymm8,0x99\(%r15\)
1231 [       ]*[a-f0-9]+:    c4 43 3d 06 bf 99 00 00 00 07   vperm2f128 \$0x7,0x99\(%r15\),%ymm8,%ymm15
1232 [       ]*[a-f0-9]+:    c4 43 1d 4b b7 99 00 00 00 80   vblendvpd %ymm8,0x99\(%r15\),%ymm12,%ymm14
1233 [       ]*[a-f0-9]+:    c5 f8 ae 15 99 00 00 00         vldmxcsr 0x99\(%rip\)        # 1861 <_start\+0x1861>
1234 [       ]*[a-f0-9]+:    c5 79 6f 05 99 00 00 00         vmovdqa 0x99\(%rip\),%xmm8        # 1869 <_start\+0x1869>
1235 [       ]*[a-f0-9]+:    c5 79 7f 05 99 00 00 00         vmovdqa %xmm8,0x99\(%rip\)        # 1871 <_start\+0x1871>
1236 [       ]*[a-f0-9]+:    c5 79 7e 05 99 00 00 00         vmovd  %xmm8,0x99\(%rip\)        # 1879 <_start\+0x1879>
1237 [       ]*[a-f0-9]+:    c5 7b 2d 05 99 00 00 00         vcvtsd2si 0x99\(%rip\),%r8d        # 1881 <_start\+0x1881>
1238 [       ]*[a-f0-9]+:    c5 7e e6 05 99 00 00 00         vcvtdq2pd 0x99\(%rip\),%ymm8        # 1889 <_start\+0x1889>
1239 [       ]*[a-f0-9]+:    c5 7d 5a 05 99 00 00 00         vcvtpd2psy 0x99\(%rip\),%xmm8        # 1891 <_start\+0x1891>
1240 [       ]*[a-f0-9]+:    c5 39 e0 3d 99 00 00 00         vpavgb 0x99\(%rip\),%xmm8,%xmm15        # 1899 <_start\+0x1899>
1241 [       ]*[a-f0-9]+:    c4 63 79 df 05 99 00 00 00 07   vaeskeygenassist \$0x7,0x99\(%rip\),%xmm8        # 18a3 <_start\+0x18a3>
1242 [       ]*[a-f0-9]+:    c4 63 79 14 05 99 00 00 00 07   vpextrb \$0x7,%xmm8,0x99\(%rip\)        # 18ad <_start\+0x18ad>
1243 [       ]*[a-f0-9]+:    c5 3b 2a 3d 99 00 00 00         vcvtsi2sdl 0x99\(%rip\),%xmm8,%xmm15        # 18b5 <_start\+0x18b5>
1244 [       ]*[a-f0-9]+:    c4 63 39 44 3d 99 00 00 00 07   vpclmulqdq \$0x7,0x99\(%rip\),%xmm8,%xmm15        # 18bf <_start\+0x18bf>
1245 [       ]*[a-f0-9]+:    c4 63 19 4a 35 99 00 00 00 80   vblendvps %xmm8,0x99\(%rip\),%xmm12,%xmm14        # 18c9 <_start\+0x18c9>
1246 [       ]*[a-f0-9]+:    c4 63 39 20 3d 99 00 00 00 07   vpinsrb \$0x7,0x99\(%rip\),%xmm8,%xmm15        # 18d3 <_start\+0x18d3>
1247 [       ]*[a-f0-9]+:    c5 7d 6f 05 99 00 00 00         vmovdqa 0x99\(%rip\),%ymm8        # 18db <_start\+0x18db>
1248 [       ]*[a-f0-9]+:    c5 7d 7f 05 99 00 00 00         vmovdqa %ymm8,0x99\(%rip\)        # 18e3 <_start\+0x18e3>
1249 [       ]*[a-f0-9]+:    c4 62 3d 0d 3d 99 00 00 00      vpermilpd 0x99\(%rip\),%ymm8,%ymm15        # 18ec <_start\+0x18ec>
1250 [       ]*[a-f0-9]+:    c4 63 7d 09 05 99 00 00 00 07   vroundpd \$0x7,0x99\(%rip\),%ymm8        # 18f6 <_start\+0x18f6>
1251 [       ]*[a-f0-9]+:    c4 63 7d 19 05 99 00 00 00 07   vextractf128 \$0x7,%ymm8,0x99\(%rip\)        # 1900 <_start\+0x1900>
1252 [       ]*[a-f0-9]+:    c4 63 3d 06 3d 99 00 00 00 07   vperm2f128 \$0x7,0x99\(%rip\),%ymm8,%ymm15        # 190a <_start\+0x190a>
1253 [       ]*[a-f0-9]+:    c4 63 1d 4b 35 99 00 00 00 80   vblendvpd %ymm8,0x99\(%rip\),%ymm12,%ymm14        # 1914 <_start\+0x1914>
1254 [       ]*[a-f0-9]+:    c5 f8 ae 94 24 99 00 00 00      vldmxcsr 0x99\(%rsp\)
1255 [       ]*[a-f0-9]+:    c5 79 6f 84 24 99 00 00 00      vmovdqa 0x99\(%rsp\),%xmm8
1256 [       ]*[a-f0-9]+:    c5 79 7f 84 24 99 00 00 00      vmovdqa %xmm8,0x99\(%rsp\)
1257 [       ]*[a-f0-9]+:    c5 79 7e 84 24 99 00 00 00      vmovd  %xmm8,0x99\(%rsp\)
1258 [       ]*[a-f0-9]+:    c5 7b 2d 84 24 99 00 00 00      vcvtsd2si 0x99\(%rsp\),%r8d
1259 [       ]*[a-f0-9]+:    c5 7e e6 84 24 99 00 00 00      vcvtdq2pd 0x99\(%rsp\),%ymm8
1260 [       ]*[a-f0-9]+:    c5 7d 5a 84 24 99 00 00 00      vcvtpd2psy 0x99\(%rsp\),%xmm8
1261 [       ]*[a-f0-9]+:    c5 39 e0 bc 24 99 00 00 00      vpavgb 0x99\(%rsp\),%xmm8,%xmm15
1262 [       ]*[a-f0-9]+:    c4 63 79 df 84 24 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%rsp\),%xmm8
1263 [       ]*[a-f0-9]+:    c4 63 79 14 84 24 99 00 00 00 07        vpextrb \$0x7,%xmm8,0x99\(%rsp\)
1264 [       ]*[a-f0-9]+:    c5 3b 2a bc 24 99 00 00 00      vcvtsi2sdl 0x99\(%rsp\),%xmm8,%xmm15
1265 [       ]*[a-f0-9]+:    c4 63 39 44 bc 24 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%rsp\),%xmm8,%xmm15
1266 [       ]*[a-f0-9]+:    c4 63 19 4a b4 24 99 00 00 00 80        vblendvps %xmm8,0x99\(%rsp\),%xmm12,%xmm14
1267 [       ]*[a-f0-9]+:    c4 63 39 20 bc 24 99 00 00 00 07        vpinsrb \$0x7,0x99\(%rsp\),%xmm8,%xmm15
1268 [       ]*[a-f0-9]+:    c5 7d 6f 84 24 99 00 00 00      vmovdqa 0x99\(%rsp\),%ymm8
1269 [       ]*[a-f0-9]+:    c5 7d 7f 84 24 99 00 00 00      vmovdqa %ymm8,0x99\(%rsp\)
1270 [       ]*[a-f0-9]+:    c4 62 3d 0d bc 24 99 00 00 00   vpermilpd 0x99\(%rsp\),%ymm8,%ymm15
1271 [       ]*[a-f0-9]+:    c4 63 7d 09 84 24 99 00 00 00 07        vroundpd \$0x7,0x99\(%rsp\),%ymm8
1272 [       ]*[a-f0-9]+:    c4 63 7d 19 84 24 99 00 00 00 07        vextractf128 \$0x7,%ymm8,0x99\(%rsp\)
1273 [       ]*[a-f0-9]+:    c4 63 3d 06 bc 24 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%rsp\),%ymm8,%ymm15
1274 [       ]*[a-f0-9]+:    c4 63 1d 4b b4 24 99 00 00 00 80        vblendvpd %ymm8,0x99\(%rsp\),%ymm12,%ymm14
1275 [       ]*[a-f0-9]+:    c4 c1 78 ae 94 24 99 00 00 00   vldmxcsr 0x99\(%r12\)
1276 [       ]*[a-f0-9]+:    c4 41 79 6f 84 24 99 00 00 00   vmovdqa 0x99\(%r12\),%xmm8
1277 [       ]*[a-f0-9]+:    c4 41 79 7f 84 24 99 00 00 00   vmovdqa %xmm8,0x99\(%r12\)
1278 [       ]*[a-f0-9]+:    c4 41 79 7e 84 24 99 00 00 00   vmovd  %xmm8,0x99\(%r12\)
1279 [       ]*[a-f0-9]+:    c4 41 7b 2d 84 24 99 00 00 00   vcvtsd2si 0x99\(%r12\),%r8d
1280 [       ]*[a-f0-9]+:    c4 41 7e e6 84 24 99 00 00 00   vcvtdq2pd 0x99\(%r12\),%ymm8
1281 [       ]*[a-f0-9]+:    c4 41 7d 5a 84 24 99 00 00 00   vcvtpd2psy 0x99\(%r12\),%xmm8
1282 [       ]*[a-f0-9]+:    c4 41 39 e0 bc 24 99 00 00 00   vpavgb 0x99\(%r12\),%xmm8,%xmm15
1283 [       ]*[a-f0-9]+:    c4 43 79 df 84 24 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%r12\),%xmm8
1284 [       ]*[a-f0-9]+:    c4 43 79 14 84 24 99 00 00 00 07        vpextrb \$0x7,%xmm8,0x99\(%r12\)
1285 [       ]*[a-f0-9]+:    c4 41 3b 2a bc 24 99 00 00 00   vcvtsi2sdl 0x99\(%r12\),%xmm8,%xmm15
1286 [       ]*[a-f0-9]+:    c4 43 39 44 bc 24 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%r12\),%xmm8,%xmm15
1287 [       ]*[a-f0-9]+:    c4 43 19 4a b4 24 99 00 00 00 80        vblendvps %xmm8,0x99\(%r12\),%xmm12,%xmm14
1288 [       ]*[a-f0-9]+:    c4 43 39 20 bc 24 99 00 00 00 07        vpinsrb \$0x7,0x99\(%r12\),%xmm8,%xmm15
1289 [       ]*[a-f0-9]+:    c4 41 7d 6f 84 24 99 00 00 00   vmovdqa 0x99\(%r12\),%ymm8
1290 [       ]*[a-f0-9]+:    c4 41 7d 7f 84 24 99 00 00 00   vmovdqa %ymm8,0x99\(%r12\)
1291 [       ]*[a-f0-9]+:    c4 42 3d 0d bc 24 99 00 00 00   vpermilpd 0x99\(%r12\),%ymm8,%ymm15
1292 [       ]*[a-f0-9]+:    c4 43 7d 09 84 24 99 00 00 00 07        vroundpd \$0x7,0x99\(%r12\),%ymm8
1293 [       ]*[a-f0-9]+:    c4 43 7d 19 84 24 99 00 00 00 07        vextractf128 \$0x7,%ymm8,0x99\(%r12\)
1294 [       ]*[a-f0-9]+:    c4 43 3d 06 bc 24 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%r12\),%ymm8,%ymm15
1295 [       ]*[a-f0-9]+:    c4 43 1d 4b b4 24 99 00 00 00 80        vblendvpd %ymm8,0x99\(%r12\),%ymm12,%ymm14
1296 [       ]*[a-f0-9]+:    c5 f8 ae 14 25 67 ff ff ff      vldmxcsr 0xffffffffffffff67
1297 [       ]*[a-f0-9]+:    c5 79 6f 04 25 67 ff ff ff      vmovdqa 0xffffffffffffff67,%xmm8
1298 [       ]*[a-f0-9]+:    c5 79 7f 04 25 67 ff ff ff      vmovdqa %xmm8,0xffffffffffffff67
1299 [       ]*[a-f0-9]+:    c5 79 7e 04 25 67 ff ff ff      vmovd  %xmm8,0xffffffffffffff67
1300 [       ]*[a-f0-9]+:    c5 7b 2d 04 25 67 ff ff ff      vcvtsd2si 0xffffffffffffff67,%r8d
1301 [       ]*[a-f0-9]+:    c5 7e e6 04 25 67 ff ff ff      vcvtdq2pd 0xffffffffffffff67,%ymm8
1302 [       ]*[a-f0-9]+:    c5 7d 5a 04 25 67 ff ff ff      vcvtpd2psy 0xffffffffffffff67,%xmm8
1303 [       ]*[a-f0-9]+:    c5 39 e0 3c 25 67 ff ff ff      vpavgb 0xffffffffffffff67,%xmm8,%xmm15
1304 [       ]*[a-f0-9]+:    c4 63 79 df 04 25 67 ff ff ff 07        vaeskeygenassist \$0x7,0xffffffffffffff67,%xmm8
1305 [       ]*[a-f0-9]+:    c4 63 79 14 04 25 67 ff ff ff 07        vpextrb \$0x7,%xmm8,0xffffffffffffff67
1306 [       ]*[a-f0-9]+:    c5 3b 2a 3c 25 67 ff ff ff      vcvtsi2sdl 0xffffffffffffff67,%xmm8,%xmm15
1307 [       ]*[a-f0-9]+:    c4 63 39 44 3c 25 67 ff ff ff 07        vpclmulqdq \$0x7,0xffffffffffffff67,%xmm8,%xmm15
1308 [       ]*[a-f0-9]+:    c4 63 19 4a 34 25 67 ff ff ff 80        vblendvps %xmm8,0xffffffffffffff67,%xmm12,%xmm14
1309 [       ]*[a-f0-9]+:    c4 63 39 20 3c 25 67 ff ff ff 07        vpinsrb \$0x7,0xffffffffffffff67,%xmm8,%xmm15
1310 [       ]*[a-f0-9]+:    c5 7d 6f 04 25 67 ff ff ff      vmovdqa 0xffffffffffffff67,%ymm8
1311 [       ]*[a-f0-9]+:    c5 7d 7f 04 25 67 ff ff ff      vmovdqa %ymm8,0xffffffffffffff67
1312 [       ]*[a-f0-9]+:    c4 62 3d 0d 3c 25 67 ff ff ff   vpermilpd 0xffffffffffffff67,%ymm8,%ymm15
1313 [       ]*[a-f0-9]+:    c4 63 7d 09 04 25 67 ff ff ff 07        vroundpd \$0x7,0xffffffffffffff67,%ymm8
1314 [       ]*[a-f0-9]+:    c4 63 7d 19 04 25 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,0xffffffffffffff67
1315 [       ]*[a-f0-9]+:    c4 63 3d 06 3c 25 67 ff ff ff 07        vperm2f128 \$0x7,0xffffffffffffff67,%ymm8,%ymm15
1316 [       ]*[a-f0-9]+:    c4 63 1d 4b 34 25 67 ff ff ff 80        vblendvpd %ymm8,0xffffffffffffff67,%ymm12,%ymm14
1317 [       ]*[a-f0-9]+:    c5 f8 ae 14 65 67 ff ff ff      vldmxcsr -0x99\(,%riz,2\)
1318 [       ]*[a-f0-9]+:    c5 79 6f 04 65 67 ff ff ff      vmovdqa -0x99\(,%riz,2\),%xmm8
1319 [       ]*[a-f0-9]+:    c5 79 7f 04 65 67 ff ff ff      vmovdqa %xmm8,-0x99\(,%riz,2\)
1320 [       ]*[a-f0-9]+:    c5 79 7e 04 65 67 ff ff ff      vmovd  %xmm8,-0x99\(,%riz,2\)
1321 [       ]*[a-f0-9]+:    c5 7b 2d 04 65 67 ff ff ff      vcvtsd2si -0x99\(,%riz,2\),%r8d
1322 [       ]*[a-f0-9]+:    c5 7e e6 04 65 67 ff ff ff      vcvtdq2pd -0x99\(,%riz,2\),%ymm8
1323 [       ]*[a-f0-9]+:    c5 7d 5a 04 65 67 ff ff ff      vcvtpd2psy -0x99\(,%riz,2\),%xmm8
1324 [       ]*[a-f0-9]+:    c5 39 e0 3c 65 67 ff ff ff      vpavgb -0x99\(,%riz,2\),%xmm8,%xmm15
1325 [       ]*[a-f0-9]+:    c4 63 79 df 04 65 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(,%riz,2\),%xmm8
1326 [       ]*[a-f0-9]+:    c4 63 79 14 04 65 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(,%riz,2\)
1327 [       ]*[a-f0-9]+:    c5 3b 2a 3c 65 67 ff ff ff      vcvtsi2sdl -0x99\(,%riz,2\),%xmm8,%xmm15
1328 [       ]*[a-f0-9]+:    c4 63 39 44 3c 65 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(,%riz,2\),%xmm8,%xmm15
1329 [       ]*[a-f0-9]+:    c4 63 19 4a 34 65 67 ff ff ff 80        vblendvps %xmm8,-0x99\(,%riz,2\),%xmm12,%xmm14
1330 [       ]*[a-f0-9]+:    c4 63 39 20 3c 65 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(,%riz,2\),%xmm8,%xmm15
1331 [       ]*[a-f0-9]+:    c5 7d 6f 04 65 67 ff ff ff      vmovdqa -0x99\(,%riz,2\),%ymm8
1332 [       ]*[a-f0-9]+:    c5 7d 7f 04 65 67 ff ff ff      vmovdqa %ymm8,-0x99\(,%riz,2\)
1333 [       ]*[a-f0-9]+:    c4 62 3d 0d 3c 65 67 ff ff ff   vpermilpd -0x99\(,%riz,2\),%ymm8,%ymm15
1334 [       ]*[a-f0-9]+:    c4 63 7d 09 04 65 67 ff ff ff 07        vroundpd \$0x7,-0x99\(,%riz,2\),%ymm8
1335 [       ]*[a-f0-9]+:    c4 63 7d 19 04 65 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(,%riz,2\)
1336 [       ]*[a-f0-9]+:    c4 63 3d 06 3c 65 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(,%riz,2\),%ymm8,%ymm15
1337 [       ]*[a-f0-9]+:    c4 63 1d 4b 34 65 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(,%riz,2\),%ymm12,%ymm14
1338 [       ]*[a-f0-9]+:    c5 f8 ae 94 23 67 ff ff ff      vldmxcsr -0x99\(%rbx,%riz,1\)
1339 [       ]*[a-f0-9]+:    c5 79 6f 84 23 67 ff ff ff      vmovdqa -0x99\(%rbx,%riz,1\),%xmm8
1340 [       ]*[a-f0-9]+:    c5 79 7f 84 23 67 ff ff ff      vmovdqa %xmm8,-0x99\(%rbx,%riz,1\)
1341 [       ]*[a-f0-9]+:    c5 79 7e 84 23 67 ff ff ff      vmovd  %xmm8,-0x99\(%rbx,%riz,1\)
1342 [       ]*[a-f0-9]+:    c5 7b 2d 84 23 67 ff ff ff      vcvtsd2si -0x99\(%rbx,%riz,1\),%r8d
1343 [       ]*[a-f0-9]+:    c5 7e e6 84 23 67 ff ff ff      vcvtdq2pd -0x99\(%rbx,%riz,1\),%ymm8
1344 [       ]*[a-f0-9]+:    c5 7d 5a 84 23 67 ff ff ff      vcvtpd2psy -0x99\(%rbx,%riz,1\),%xmm8
1345 [       ]*[a-f0-9]+:    c5 39 e0 bc 23 67 ff ff ff      vpavgb -0x99\(%rbx,%riz,1\),%xmm8,%xmm15
1346 [       ]*[a-f0-9]+:    c4 63 79 df 84 23 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%rbx,%riz,1\),%xmm8
1347 [       ]*[a-f0-9]+:    c4 63 79 14 84 23 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%rbx,%riz,1\)
1348 [       ]*[a-f0-9]+:    c5 3b 2a bc 23 67 ff ff ff      vcvtsi2sdl -0x99\(%rbx,%riz,1\),%xmm8,%xmm15
1349 [       ]*[a-f0-9]+:    c4 63 39 44 bc 23 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%rbx,%riz,1\),%xmm8,%xmm15
1350 [       ]*[a-f0-9]+:    c4 63 19 4a b4 23 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%rbx,%riz,1\),%xmm12,%xmm14
1351 [       ]*[a-f0-9]+:    c4 63 39 20 bc 23 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%rbx,%riz,1\),%xmm8,%xmm15
1352 [       ]*[a-f0-9]+:    c5 7d 6f 84 23 67 ff ff ff      vmovdqa -0x99\(%rbx,%riz,1\),%ymm8
1353 [       ]*[a-f0-9]+:    c5 7d 7f 84 23 67 ff ff ff      vmovdqa %ymm8,-0x99\(%rbx,%riz,1\)
1354 [       ]*[a-f0-9]+:    c4 62 3d 0d bc 23 67 ff ff ff   vpermilpd -0x99\(%rbx,%riz,1\),%ymm8,%ymm15
1355 [       ]*[a-f0-9]+:    c4 63 7d 09 84 23 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%rbx,%riz,1\),%ymm8
1356 [       ]*[a-f0-9]+:    c4 63 7d 19 84 23 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%rbx,%riz,1\)
1357 [       ]*[a-f0-9]+:    c4 63 3d 06 bc 23 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%rbx,%riz,1\),%ymm8,%ymm15
1358 [       ]*[a-f0-9]+:    c4 63 1d 4b b4 23 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%rbx,%riz,1\),%ymm12,%ymm14
1359 [       ]*[a-f0-9]+:    c5 f8 ae 94 63 67 ff ff ff      vldmxcsr -0x99\(%rbx,%riz,2\)
1360 [       ]*[a-f0-9]+:    c5 79 6f 84 63 67 ff ff ff      vmovdqa -0x99\(%rbx,%riz,2\),%xmm8
1361 [       ]*[a-f0-9]+:    c5 79 7f 84 63 67 ff ff ff      vmovdqa %xmm8,-0x99\(%rbx,%riz,2\)
1362 [       ]*[a-f0-9]+:    c5 79 7e 84 63 67 ff ff ff      vmovd  %xmm8,-0x99\(%rbx,%riz,2\)
1363 [       ]*[a-f0-9]+:    c5 7b 2d 84 63 67 ff ff ff      vcvtsd2si -0x99\(%rbx,%riz,2\),%r8d
1364 [       ]*[a-f0-9]+:    c5 7e e6 84 63 67 ff ff ff      vcvtdq2pd -0x99\(%rbx,%riz,2\),%ymm8
1365 [       ]*[a-f0-9]+:    c5 7d 5a 84 63 67 ff ff ff      vcvtpd2psy -0x99\(%rbx,%riz,2\),%xmm8
1366 [       ]*[a-f0-9]+:    c5 39 e0 bc 63 67 ff ff ff      vpavgb -0x99\(%rbx,%riz,2\),%xmm8,%xmm15
1367 [       ]*[a-f0-9]+:    c4 63 79 df 84 63 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%rbx,%riz,2\),%xmm8
1368 [       ]*[a-f0-9]+:    c4 63 79 14 84 63 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%rbx,%riz,2\)
1369 [       ]*[a-f0-9]+:    c5 3b 2a bc 63 67 ff ff ff      vcvtsi2sdl -0x99\(%rbx,%riz,2\),%xmm8,%xmm15
1370 [       ]*[a-f0-9]+:    c4 63 39 44 bc 63 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%rbx,%riz,2\),%xmm8,%xmm15
1371 [       ]*[a-f0-9]+:    c4 63 19 4a b4 63 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%rbx,%riz,2\),%xmm12,%xmm14
1372 [       ]*[a-f0-9]+:    c4 63 39 20 bc 63 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%rbx,%riz,2\),%xmm8,%xmm15
1373 [       ]*[a-f0-9]+:    c5 7d 6f 84 63 67 ff ff ff      vmovdqa -0x99\(%rbx,%riz,2\),%ymm8
1374 [       ]*[a-f0-9]+:    c5 7d 7f 84 63 67 ff ff ff      vmovdqa %ymm8,-0x99\(%rbx,%riz,2\)
1375 [       ]*[a-f0-9]+:    c4 62 3d 0d bc 63 67 ff ff ff   vpermilpd -0x99\(%rbx,%riz,2\),%ymm8,%ymm15
1376 [       ]*[a-f0-9]+:    c4 63 7d 09 84 63 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%rbx,%riz,2\),%ymm8
1377 [       ]*[a-f0-9]+:    c4 63 7d 19 84 63 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%rbx,%riz,2\)
1378 [       ]*[a-f0-9]+:    c4 63 3d 06 bc 63 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%rbx,%riz,2\),%ymm8,%ymm15
1379 [       ]*[a-f0-9]+:    c4 63 1d 4b b4 63 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%rbx,%riz,2\),%ymm12,%ymm14
1380 [       ]*[a-f0-9]+:    c4 81 78 ae 94 bc 67 ff ff ff   vldmxcsr -0x99\(%r12,%r15,4\)
1381 [       ]*[a-f0-9]+:    c4 01 79 6f 84 bc 67 ff ff ff   vmovdqa -0x99\(%r12,%r15,4\),%xmm8
1382 [       ]*[a-f0-9]+:    c4 01 79 7f 84 bc 67 ff ff ff   vmovdqa %xmm8,-0x99\(%r12,%r15,4\)
1383 [       ]*[a-f0-9]+:    c4 01 79 7e 84 bc 67 ff ff ff   vmovd  %xmm8,-0x99\(%r12,%r15,4\)
1384 [       ]*[a-f0-9]+:    c4 01 7b 2d 84 bc 67 ff ff ff   vcvtsd2si -0x99\(%r12,%r15,4\),%r8d
1385 [       ]*[a-f0-9]+:    c4 01 7e e6 84 bc 67 ff ff ff   vcvtdq2pd -0x99\(%r12,%r15,4\),%ymm8
1386 [       ]*[a-f0-9]+:    c4 01 7d 5a 84 bc 67 ff ff ff   vcvtpd2psy -0x99\(%r12,%r15,4\),%xmm8
1387 [       ]*[a-f0-9]+:    c4 01 39 e0 bc bc 67 ff ff ff   vpavgb -0x99\(%r12,%r15,4\),%xmm8,%xmm15
1388 [       ]*[a-f0-9]+:    c4 03 79 df 84 bc 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%r12,%r15,4\),%xmm8
1389 [       ]*[a-f0-9]+:    c4 03 79 14 84 bc 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%r12,%r15,4\)
1390 [       ]*[a-f0-9]+:    c4 01 3b 2a bc bc 67 ff ff ff   vcvtsi2sdl -0x99\(%r12,%r15,4\),%xmm8,%xmm15
1391 [       ]*[a-f0-9]+:    c4 03 39 44 bc bc 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%r12,%r15,4\),%xmm8,%xmm15
1392 [       ]*[a-f0-9]+:    c4 03 19 4a b4 bc 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%r12,%r15,4\),%xmm12,%xmm14
1393 [       ]*[a-f0-9]+:    c4 03 39 20 bc bc 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%r12,%r15,4\),%xmm8,%xmm15
1394 [       ]*[a-f0-9]+:    c4 01 7d 6f 84 bc 67 ff ff ff   vmovdqa -0x99\(%r12,%r15,4\),%ymm8
1395 [       ]*[a-f0-9]+:    c4 01 7d 7f 84 bc 67 ff ff ff   vmovdqa %ymm8,-0x99\(%r12,%r15,4\)
1396 [       ]*[a-f0-9]+:    c4 02 3d 0d bc bc 67 ff ff ff   vpermilpd -0x99\(%r12,%r15,4\),%ymm8,%ymm15
1397 [       ]*[a-f0-9]+:    c4 03 7d 09 84 bc 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%r12,%r15,4\),%ymm8
1398 [       ]*[a-f0-9]+:    c4 03 7d 19 84 bc 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%r12,%r15,4\)
1399 [       ]*[a-f0-9]+:    c4 03 3d 06 bc bc 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%r12,%r15,4\),%ymm8,%ymm15
1400 [       ]*[a-f0-9]+:    c4 03 1d 4b b4 bc 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%r12,%r15,4\),%ymm12,%ymm14
1401 [       ]*[a-f0-9]+:    c4 81 78 ae 94 f8 67 ff ff ff   vldmxcsr -0x99\(%r8,%r15,8\)
1402 [       ]*[a-f0-9]+:    c4 01 79 6f 84 f8 67 ff ff ff   vmovdqa -0x99\(%r8,%r15,8\),%xmm8
1403 [       ]*[a-f0-9]+:    c4 01 79 7f 84 f8 67 ff ff ff   vmovdqa %xmm8,-0x99\(%r8,%r15,8\)
1404 [       ]*[a-f0-9]+:    c4 01 79 7e 84 f8 67 ff ff ff   vmovd  %xmm8,-0x99\(%r8,%r15,8\)
1405 [       ]*[a-f0-9]+:    c4 01 7b 2d 84 f8 67 ff ff ff   vcvtsd2si -0x99\(%r8,%r15,8\),%r8d
1406 [       ]*[a-f0-9]+:    c4 01 7e e6 84 f8 67 ff ff ff   vcvtdq2pd -0x99\(%r8,%r15,8\),%ymm8
1407 [       ]*[a-f0-9]+:    c4 01 7d 5a 84 f8 67 ff ff ff   vcvtpd2psy -0x99\(%r8,%r15,8\),%xmm8
1408 [       ]*[a-f0-9]+:    c4 01 39 e0 bc f8 67 ff ff ff   vpavgb -0x99\(%r8,%r15,8\),%xmm8,%xmm15
1409 [       ]*[a-f0-9]+:    c4 03 79 df 84 f8 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%r8,%r15,8\),%xmm8
1410 [       ]*[a-f0-9]+:    c4 03 79 14 84 f8 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%r8,%r15,8\)
1411 [       ]*[a-f0-9]+:    c4 01 3b 2a bc f8 67 ff ff ff   vcvtsi2sdl -0x99\(%r8,%r15,8\),%xmm8,%xmm15
1412 [       ]*[a-f0-9]+:    c4 03 39 44 bc f8 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%r8,%r15,8\),%xmm8,%xmm15
1413 [       ]*[a-f0-9]+:    c4 03 19 4a b4 f8 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%r8,%r15,8\),%xmm12,%xmm14
1414 [       ]*[a-f0-9]+:    c4 03 39 20 bc f8 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%r8,%r15,8\),%xmm8,%xmm15
1415 [       ]*[a-f0-9]+:    c4 01 7d 6f 84 f8 67 ff ff ff   vmovdqa -0x99\(%r8,%r15,8\),%ymm8
1416 [       ]*[a-f0-9]+:    c4 01 7d 7f 84 f8 67 ff ff ff   vmovdqa %ymm8,-0x99\(%r8,%r15,8\)
1417 [       ]*[a-f0-9]+:    c4 02 3d 0d bc f8 67 ff ff ff   vpermilpd -0x99\(%r8,%r15,8\),%ymm8,%ymm15
1418 [       ]*[a-f0-9]+:    c4 03 7d 09 84 f8 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%r8,%r15,8\),%ymm8
1419 [       ]*[a-f0-9]+:    c4 03 7d 19 84 f8 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%r8,%r15,8\)
1420 [       ]*[a-f0-9]+:    c4 03 3d 06 bc f8 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%r8,%r15,8\),%ymm8,%ymm15
1421 [       ]*[a-f0-9]+:    c4 03 1d 4b b4 f8 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%r8,%r15,8\),%ymm12,%ymm14
1422 [       ]*[a-f0-9]+:    c4 a1 78 ae 94 ad 67 ff ff ff   vldmxcsr -0x99\(%rbp,%r13,4\)
1423 [       ]*[a-f0-9]+:    c4 21 79 6f 84 ad 67 ff ff ff   vmovdqa -0x99\(%rbp,%r13,4\),%xmm8
1424 [       ]*[a-f0-9]+:    c4 21 79 7f 84 ad 67 ff ff ff   vmovdqa %xmm8,-0x99\(%rbp,%r13,4\)
1425 [       ]*[a-f0-9]+:    c4 21 79 7e 84 ad 67 ff ff ff   vmovd  %xmm8,-0x99\(%rbp,%r13,4\)
1426 [       ]*[a-f0-9]+:    c4 21 7b 2d 84 ad 67 ff ff ff   vcvtsd2si -0x99\(%rbp,%r13,4\),%r8d
1427 [       ]*[a-f0-9]+:    c4 21 7e e6 84 ad 67 ff ff ff   vcvtdq2pd -0x99\(%rbp,%r13,4\),%ymm8
1428 [       ]*[a-f0-9]+:    c4 21 7d 5a 84 ad 67 ff ff ff   vcvtpd2psy -0x99\(%rbp,%r13,4\),%xmm8
1429 [       ]*[a-f0-9]+:    c4 21 39 e0 bc ad 67 ff ff ff   vpavgb -0x99\(%rbp,%r13,4\),%xmm8,%xmm15
1430 [       ]*[a-f0-9]+:    c4 23 79 df 84 ad 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%rbp,%r13,4\),%xmm8
1431 [       ]*[a-f0-9]+:    c4 23 79 14 84 ad 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%rbp,%r13,4\)
1432 [       ]*[a-f0-9]+:    c4 21 3b 2a bc ad 67 ff ff ff   vcvtsi2sdl -0x99\(%rbp,%r13,4\),%xmm8,%xmm15
1433 [       ]*[a-f0-9]+:    c4 23 39 44 bc ad 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%rbp,%r13,4\),%xmm8,%xmm15
1434 [       ]*[a-f0-9]+:    c4 23 19 4a b4 ad 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%rbp,%r13,4\),%xmm12,%xmm14
1435 [       ]*[a-f0-9]+:    c4 23 39 20 bc ad 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%rbp,%r13,4\),%xmm8,%xmm15
1436 [       ]*[a-f0-9]+:    c4 21 7d 6f 84 ad 67 ff ff ff   vmovdqa -0x99\(%rbp,%r13,4\),%ymm8
1437 [       ]*[a-f0-9]+:    c4 21 7d 7f 84 ad 67 ff ff ff   vmovdqa %ymm8,-0x99\(%rbp,%r13,4\)
1438 [       ]*[a-f0-9]+:    c4 22 3d 0d bc ad 67 ff ff ff   vpermilpd -0x99\(%rbp,%r13,4\),%ymm8,%ymm15
1439 [       ]*[a-f0-9]+:    c4 23 7d 09 84 ad 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%rbp,%r13,4\),%ymm8
1440 [       ]*[a-f0-9]+:    c4 23 7d 19 84 ad 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%rbp,%r13,4\)
1441 [       ]*[a-f0-9]+:    c4 23 3d 06 bc ad 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%rbp,%r13,4\),%ymm8,%ymm15
1442 [       ]*[a-f0-9]+:    c4 23 1d 4b b4 ad 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%rbp,%r13,4\),%ymm12,%ymm14
1443 [       ]*[a-f0-9]+:    c4 a1 78 ae 94 24 67 ff ff ff   vldmxcsr -0x99\(%rsp,%r12,1\)
1444 [       ]*[a-f0-9]+:    c4 21 79 6f 84 24 67 ff ff ff   vmovdqa -0x99\(%rsp,%r12,1\),%xmm8
1445 [       ]*[a-f0-9]+:    c4 21 79 7f 84 24 67 ff ff ff   vmovdqa %xmm8,-0x99\(%rsp,%r12,1\)
1446 [       ]*[a-f0-9]+:    c4 21 79 7e 84 24 67 ff ff ff   vmovd  %xmm8,-0x99\(%rsp,%r12,1\)
1447 [       ]*[a-f0-9]+:    c4 21 7b 2d 84 24 67 ff ff ff   vcvtsd2si -0x99\(%rsp,%r12,1\),%r8d
1448 [       ]*[a-f0-9]+:    c4 21 7e e6 84 24 67 ff ff ff   vcvtdq2pd -0x99\(%rsp,%r12,1\),%ymm8
1449 [       ]*[a-f0-9]+:    c4 21 7d 5a 84 24 67 ff ff ff   vcvtpd2psy -0x99\(%rsp,%r12,1\),%xmm8
1450 [       ]*[a-f0-9]+:    c4 21 39 e0 bc 24 67 ff ff ff   vpavgb -0x99\(%rsp,%r12,1\),%xmm8,%xmm15
1451 [       ]*[a-f0-9]+:    c4 23 79 df 84 24 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%rsp,%r12,1\),%xmm8
1452 [       ]*[a-f0-9]+:    c4 23 79 14 84 24 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%rsp,%r12,1\)
1453 [       ]*[a-f0-9]+:    c4 21 3b 2a bc 24 67 ff ff ff   vcvtsi2sdl -0x99\(%rsp,%r12,1\),%xmm8,%xmm15
1454 [       ]*[a-f0-9]+:    c4 23 39 44 bc 24 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%rsp,%r12,1\),%xmm8,%xmm15
1455 [       ]*[a-f0-9]+:    c4 23 19 4a b4 24 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%rsp,%r12,1\),%xmm12,%xmm14
1456 [       ]*[a-f0-9]+:    c4 23 39 20 bc 24 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%rsp,%r12,1\),%xmm8,%xmm15
1457 [       ]*[a-f0-9]+:    c4 21 7d 6f 84 24 67 ff ff ff   vmovdqa -0x99\(%rsp,%r12,1\),%ymm8
1458 [       ]*[a-f0-9]+:    c4 21 7d 7f 84 24 67 ff ff ff   vmovdqa %ymm8,-0x99\(%rsp,%r12,1\)
1459 [       ]*[a-f0-9]+:    c4 22 3d 0d bc 24 67 ff ff ff   vpermilpd -0x99\(%rsp,%r12,1\),%ymm8,%ymm15
1460 [       ]*[a-f0-9]+:    c4 23 7d 09 84 24 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%rsp,%r12,1\),%ymm8
1461 [       ]*[a-f0-9]+:    c4 23 7d 19 84 24 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%rsp,%r12,1\)
1462 [       ]*[a-f0-9]+:    c4 23 3d 06 bc 24 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%rsp,%r12,1\),%ymm8,%ymm15
1463 [       ]*[a-f0-9]+:    c4 23 1d 4b b4 24 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%rsp,%r12,1\),%ymm12,%ymm14
1464 [       ]*[a-f0-9]+:    c4 41 79 50 c0          vmovmskpd %xmm8,%r8d
1465 [       ]*[a-f0-9]+:    c4 c1 01 72 f0 07       vpslld \$0x7,%xmm8,%xmm15
1466 [       ]*[a-f0-9]+:    c4 41 7c 50 c0          vmovmskps %ymm8,%r8d
1467 [       ]*[a-f0-9]+:    c4 41 79 6f f8          vmovdqa %xmm8,%xmm15
1468 [       ]*[a-f0-9]+:    c4 41 79 7e c0          vmovd  %xmm8,%r8d
1469 [       ]*[a-f0-9]+:    c4 41 7b 2d c0          vcvtsd2si %xmm8,%r8d
1470 [       ]*[a-f0-9]+:    c4 41 7e e6 c0          vcvtdq2pd %xmm8,%ymm8
1471 [       ]*[a-f0-9]+:    c4 41 7d 5a c0          vcvtpd2ps %ymm8,%xmm8
1472 [       ]*[a-f0-9]+:    c4 43 79 df f8 07       vaeskeygenassist \$0x7,%xmm8,%xmm15
1473 [       ]*[a-f0-9]+:    c4 43 79 14 c0 07       vpextrb \$0x7,%xmm8,%r8d
1474 [       ]*[a-f0-9]+:    c4 41 3b 2a f8          vcvtsi2sd %r8d,%xmm8,%xmm15
1475 [       ]*[a-f0-9]+:    c4 43 01 44 e0 07       vpclmulqdq \$0x7,%xmm8,%xmm15,%xmm12
1476 [       ]*[a-f0-9]+:    c4 43 19 4a f0 80       vblendvps %xmm8,%xmm8,%xmm12,%xmm14
1477 [       ]*[a-f0-9]+:    c4 43 39 20 f8 07       vpinsrb \$0x7,%r8d,%xmm8,%xmm15
1478 [       ]*[a-f0-9]+:    c4 41 7d 6f f8          vmovdqa %ymm8,%ymm15
1479 [       ]*[a-f0-9]+:    c4 42 05 0d e0          vpermilpd %ymm8,%ymm15,%ymm12
1480 [       ]*[a-f0-9]+:    c4 43 7d 09 f8 07       vroundpd \$0x7,%ymm8,%ymm15
1481 [       ]*[a-f0-9]+:    c4 43 7d 19 c0 07       vextractf128 \$0x7,%ymm8,%xmm8
1482 [       ]*[a-f0-9]+:    c4 43 05 06 e0 07       vperm2f128 \$0x7,%ymm8,%ymm15,%ymm12
1483 [       ]*[a-f0-9]+:    c4 43 1d 4b f7 80       vblendvpd %ymm8,%ymm15,%ymm12,%ymm14
1484 [       ]*[a-f0-9]+:    c4 43 3d 18 f8 07       vinsertf128 \$0x7,%xmm8,%ymm8,%ymm15
1485 [       ]*[a-f0-9]+:    c4 61 fb 2d 01          vcvtsd2si \(%rcx\),%r8
1486 [       ]*[a-f0-9]+:    c4 43 79 17 c0 0a       vextractps \$0xa,%xmm8,%r8d
1487 [       ]*[a-f0-9]+:    c4 61 fa 2d 01          vcvtss2si \(%rcx\),%r8
1488 [       ]*[a-f0-9]+:    c4 41 01 c4 c0 07       vpinsrw \$0x7,%r8d,%xmm15,%xmm8
1489 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%rcx\)
1490 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%rcx\)
1491 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%rcx\)
1492 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%rcx\)
1493 [       ]*[a-f0-9]+:    c4 e2 5d 2d 31          vmaskmovpd \(%rcx\),%ymm4,%ymm6
1494 [       ]*[a-f0-9]+:    c4 e2 4d 2f 21          vmaskmovpd %ymm4,%ymm6,\(%rcx\)
1495 [       ]*[a-f0-9]+:    c4 e2 5d 2d 31          vmaskmovpd \(%rcx\),%ymm4,%ymm6
1496 [       ]*[a-f0-9]+:    c4 e2 4d 2f 21          vmaskmovpd %ymm4,%ymm6,\(%rcx\)
1497 [       ]*[a-f0-9]+:    c4 e2 5d 2c 31          vmaskmovps \(%rcx\),%ymm4,%ymm6
1498 [       ]*[a-f0-9]+:    c4 e2 4d 2e 21          vmaskmovps %ymm4,%ymm6,\(%rcx\)
1499 [       ]*[a-f0-9]+:    c4 e2 5d 2c 31          vmaskmovps \(%rcx\),%ymm4,%ymm6
1500 [       ]*[a-f0-9]+:    c4 e2 4d 2e 21          vmaskmovps %ymm4,%ymm6,\(%rcx\)
1501 [       ]*[a-f0-9]+:    c4 e3 7d 05 d6 07       vpermilpd \$0x7,%ymm6,%ymm2
1502 [       ]*[a-f0-9]+:    c4 e3 7d 05 31 07       vpermilpd \$0x7,\(%rcx\),%ymm6
1503 [       ]*[a-f0-9]+:    c4 e3 7d 05 31 07       vpermilpd \$0x7,\(%rcx\),%ymm6
1504 [       ]*[a-f0-9]+:    c4 e3 7d 04 d6 07       vpermilps \$0x7,%ymm6,%ymm2
1505 [       ]*[a-f0-9]+:    c4 e3 7d 04 31 07       vpermilps \$0x7,\(%rcx\),%ymm6
1506 [       ]*[a-f0-9]+:    c4 e3 7d 04 31 07       vpermilps \$0x7,\(%rcx\),%ymm6
1507 [       ]*[a-f0-9]+:    c4 e3 7d 09 d6 07       vroundpd \$0x7,%ymm6,%ymm2
1508 [       ]*[a-f0-9]+:    c4 e3 7d 09 31 07       vroundpd \$0x7,\(%rcx\),%ymm6
1509 [       ]*[a-f0-9]+:    c4 e3 7d 09 31 07       vroundpd \$0x7,\(%rcx\),%ymm6
1510 [       ]*[a-f0-9]+:    c4 e3 7d 08 d6 07       vroundps \$0x7,%ymm6,%ymm2
1511 [       ]*[a-f0-9]+:    c4 e3 7d 08 31 07       vroundps \$0x7,\(%rcx\),%ymm6
1512 [       ]*[a-f0-9]+:    c4 e3 7d 08 31 07       vroundps \$0x7,\(%rcx\),%ymm6
1513 [       ]*[a-f0-9]+:    c5 cd 58 d4             vaddpd %ymm4,%ymm6,%ymm2
1514 [       ]*[a-f0-9]+:    c5 cd 58 11             vaddpd \(%rcx\),%ymm6,%ymm2
1515 [       ]*[a-f0-9]+:    c5 cd 58 11             vaddpd \(%rcx\),%ymm6,%ymm2
1516 [       ]*[a-f0-9]+:    c5 cc 58 d4             vaddps %ymm4,%ymm6,%ymm2
1517 [       ]*[a-f0-9]+:    c5 cc 58 11             vaddps \(%rcx\),%ymm6,%ymm2
1518 [       ]*[a-f0-9]+:    c5 cc 58 11             vaddps \(%rcx\),%ymm6,%ymm2
1519 [       ]*[a-f0-9]+:    c5 cd d0 d4             vaddsubpd %ymm4,%ymm6,%ymm2
1520 [       ]*[a-f0-9]+:    c5 cd d0 11             vaddsubpd \(%rcx\),%ymm6,%ymm2
1521 [       ]*[a-f0-9]+:    c5 cd d0 11             vaddsubpd \(%rcx\),%ymm6,%ymm2
1522 [       ]*[a-f0-9]+:    c5 cf d0 d4             vaddsubps %ymm4,%ymm6,%ymm2
1523 [       ]*[a-f0-9]+:    c5 cf d0 11             vaddsubps \(%rcx\),%ymm6,%ymm2
1524 [       ]*[a-f0-9]+:    c5 cf d0 11             vaddsubps \(%rcx\),%ymm6,%ymm2
1525 [       ]*[a-f0-9]+:    c5 cd 55 d4             vandnpd %ymm4,%ymm6,%ymm2
1526 [       ]*[a-f0-9]+:    c5 cd 55 11             vandnpd \(%rcx\),%ymm6,%ymm2
1527 [       ]*[a-f0-9]+:    c5 cd 55 11             vandnpd \(%rcx\),%ymm6,%ymm2
1528 [       ]*[a-f0-9]+:    c5 cc 55 d4             vandnps %ymm4,%ymm6,%ymm2
1529 [       ]*[a-f0-9]+:    c5 cc 55 11             vandnps \(%rcx\),%ymm6,%ymm2
1530 [       ]*[a-f0-9]+:    c5 cc 55 11             vandnps \(%rcx\),%ymm6,%ymm2
1531 [       ]*[a-f0-9]+:    c5 cd 54 d4             vandpd %ymm4,%ymm6,%ymm2
1532 [       ]*[a-f0-9]+:    c5 cd 54 11             vandpd \(%rcx\),%ymm6,%ymm2
1533 [       ]*[a-f0-9]+:    c5 cd 54 11             vandpd \(%rcx\),%ymm6,%ymm2
1534 [       ]*[a-f0-9]+:    c5 cc 54 d4             vandps %ymm4,%ymm6,%ymm2
1535 [       ]*[a-f0-9]+:    c5 cc 54 11             vandps \(%rcx\),%ymm6,%ymm2
1536 [       ]*[a-f0-9]+:    c5 cc 54 11             vandps \(%rcx\),%ymm6,%ymm2
1537 [       ]*[a-f0-9]+:    c5 cd 5e d4             vdivpd %ymm4,%ymm6,%ymm2
1538 [       ]*[a-f0-9]+:    c5 cd 5e 11             vdivpd \(%rcx\),%ymm6,%ymm2
1539 [       ]*[a-f0-9]+:    c5 cd 5e 11             vdivpd \(%rcx\),%ymm6,%ymm2
1540 [       ]*[a-f0-9]+:    c5 cc 5e d4             vdivps %ymm4,%ymm6,%ymm2
1541 [       ]*[a-f0-9]+:    c5 cc 5e 11             vdivps \(%rcx\),%ymm6,%ymm2
1542 [       ]*[a-f0-9]+:    c5 cc 5e 11             vdivps \(%rcx\),%ymm6,%ymm2
1543 [       ]*[a-f0-9]+:    c5 cd 7c d4             vhaddpd %ymm4,%ymm6,%ymm2
1544 [       ]*[a-f0-9]+:    c5 cd 7c 11             vhaddpd \(%rcx\),%ymm6,%ymm2
1545 [       ]*[a-f0-9]+:    c5 cd 7c 11             vhaddpd \(%rcx\),%ymm6,%ymm2
1546 [       ]*[a-f0-9]+:    c5 cf 7c d4             vhaddps %ymm4,%ymm6,%ymm2
1547 [       ]*[a-f0-9]+:    c5 cf 7c 11             vhaddps \(%rcx\),%ymm6,%ymm2
1548 [       ]*[a-f0-9]+:    c5 cf 7c 11             vhaddps \(%rcx\),%ymm6,%ymm2
1549 [       ]*[a-f0-9]+:    c5 cd 7d d4             vhsubpd %ymm4,%ymm6,%ymm2
1550 [       ]*[a-f0-9]+:    c5 cd 7d 11             vhsubpd \(%rcx\),%ymm6,%ymm2
1551 [       ]*[a-f0-9]+:    c5 cd 7d 11             vhsubpd \(%rcx\),%ymm6,%ymm2
1552 [       ]*[a-f0-9]+:    c5 cf 7d d4             vhsubps %ymm4,%ymm6,%ymm2
1553 [       ]*[a-f0-9]+:    c5 cf 7d 11             vhsubps \(%rcx\),%ymm6,%ymm2
1554 [       ]*[a-f0-9]+:    c5 cf 7d 11             vhsubps \(%rcx\),%ymm6,%ymm2
1555 [       ]*[a-f0-9]+:    c5 cd 5f d4             vmaxpd %ymm4,%ymm6,%ymm2
1556 [       ]*[a-f0-9]+:    c5 cd 5f 11             vmaxpd \(%rcx\),%ymm6,%ymm2
1557 [       ]*[a-f0-9]+:    c5 cd 5f 11             vmaxpd \(%rcx\),%ymm6,%ymm2
1558 [       ]*[a-f0-9]+:    c5 cc 5f d4             vmaxps %ymm4,%ymm6,%ymm2
1559 [       ]*[a-f0-9]+:    c5 cc 5f 11             vmaxps \(%rcx\),%ymm6,%ymm2
1560 [       ]*[a-f0-9]+:    c5 cc 5f 11             vmaxps \(%rcx\),%ymm6,%ymm2
1561 [       ]*[a-f0-9]+:    c5 cd 5d d4             vminpd %ymm4,%ymm6,%ymm2
1562 [       ]*[a-f0-9]+:    c5 cd 5d 11             vminpd \(%rcx\),%ymm6,%ymm2
1563 [       ]*[a-f0-9]+:    c5 cd 5d 11             vminpd \(%rcx\),%ymm6,%ymm2
1564 [       ]*[a-f0-9]+:    c5 cc 5d d4             vminps %ymm4,%ymm6,%ymm2
1565 [       ]*[a-f0-9]+:    c5 cc 5d 11             vminps \(%rcx\),%ymm6,%ymm2
1566 [       ]*[a-f0-9]+:    c5 cc 5d 11             vminps \(%rcx\),%ymm6,%ymm2
1567 [       ]*[a-f0-9]+:    c5 cd 59 d4             vmulpd %ymm4,%ymm6,%ymm2
1568 [       ]*[a-f0-9]+:    c5 cd 59 11             vmulpd \(%rcx\),%ymm6,%ymm2
1569 [       ]*[a-f0-9]+:    c5 cd 59 11             vmulpd \(%rcx\),%ymm6,%ymm2
1570 [       ]*[a-f0-9]+:    c5 cc 59 d4             vmulps %ymm4,%ymm6,%ymm2
1571 [       ]*[a-f0-9]+:    c5 cc 59 11             vmulps \(%rcx\),%ymm6,%ymm2
1572 [       ]*[a-f0-9]+:    c5 cc 59 11             vmulps \(%rcx\),%ymm6,%ymm2
1573 [       ]*[a-f0-9]+:    c5 cd 56 d4             vorpd  %ymm4,%ymm6,%ymm2
1574 [       ]*[a-f0-9]+:    c5 cd 56 11             vorpd  \(%rcx\),%ymm6,%ymm2
1575 [       ]*[a-f0-9]+:    c5 cd 56 11             vorpd  \(%rcx\),%ymm6,%ymm2
1576 [       ]*[a-f0-9]+:    c5 cc 56 d4             vorps  %ymm4,%ymm6,%ymm2
1577 [       ]*[a-f0-9]+:    c5 cc 56 11             vorps  \(%rcx\),%ymm6,%ymm2
1578 [       ]*[a-f0-9]+:    c5 cc 56 11             vorps  \(%rcx\),%ymm6,%ymm2
1579 [       ]*[a-f0-9]+:    c4 e2 4d 0d d4          vpermilpd %ymm4,%ymm6,%ymm2
1580 [       ]*[a-f0-9]+:    c4 e2 4d 0d 11          vpermilpd \(%rcx\),%ymm6,%ymm2
1581 [       ]*[a-f0-9]+:    c4 e2 4d 0d 11          vpermilpd \(%rcx\),%ymm6,%ymm2
1582 [       ]*[a-f0-9]+:    c4 e2 4d 0c d4          vpermilps %ymm4,%ymm6,%ymm2
1583 [       ]*[a-f0-9]+:    c4 e2 4d 0c 11          vpermilps \(%rcx\),%ymm6,%ymm2
1584 [       ]*[a-f0-9]+:    c4 e2 4d 0c 11          vpermilps \(%rcx\),%ymm6,%ymm2
1585 [       ]*[a-f0-9]+:    c5 cd 5c d4             vsubpd %ymm4,%ymm6,%ymm2
1586 [       ]*[a-f0-9]+:    c5 cd 5c 11             vsubpd \(%rcx\),%ymm6,%ymm2
1587 [       ]*[a-f0-9]+:    c5 cd 5c 11             vsubpd \(%rcx\),%ymm6,%ymm2
1588 [       ]*[a-f0-9]+:    c5 cc 5c d4             vsubps %ymm4,%ymm6,%ymm2
1589 [       ]*[a-f0-9]+:    c5 cc 5c 11             vsubps \(%rcx\),%ymm6,%ymm2
1590 [       ]*[a-f0-9]+:    c5 cc 5c 11             vsubps \(%rcx\),%ymm6,%ymm2
1591 [       ]*[a-f0-9]+:    c5 cd 15 d4             vunpckhpd %ymm4,%ymm6,%ymm2
1592 [       ]*[a-f0-9]+:    c5 cd 15 11             vunpckhpd \(%rcx\),%ymm6,%ymm2
1593 [       ]*[a-f0-9]+:    c5 cd 15 11             vunpckhpd \(%rcx\),%ymm6,%ymm2
1594 [       ]*[a-f0-9]+:    c5 cc 15 d4             vunpckhps %ymm4,%ymm6,%ymm2
1595 [       ]*[a-f0-9]+:    c5 cc 15 11             vunpckhps \(%rcx\),%ymm6,%ymm2
1596 [       ]*[a-f0-9]+:    c5 cc 15 11             vunpckhps \(%rcx\),%ymm6,%ymm2
1597 [       ]*[a-f0-9]+:    c5 cd 14 d4             vunpcklpd %ymm4,%ymm6,%ymm2
1598 [       ]*[a-f0-9]+:    c5 cd 14 11             vunpcklpd \(%rcx\),%ymm6,%ymm2
1599 [       ]*[a-f0-9]+:    c5 cd 14 11             vunpcklpd \(%rcx\),%ymm6,%ymm2
1600 [       ]*[a-f0-9]+:    c5 cc 14 d4             vunpcklps %ymm4,%ymm6,%ymm2
1601 [       ]*[a-f0-9]+:    c5 cc 14 11             vunpcklps \(%rcx\),%ymm6,%ymm2
1602 [       ]*[a-f0-9]+:    c5 cc 14 11             vunpcklps \(%rcx\),%ymm6,%ymm2
1603 [       ]*[a-f0-9]+:    c5 cd 57 d4             vxorpd %ymm4,%ymm6,%ymm2
1604 [       ]*[a-f0-9]+:    c5 cd 57 11             vxorpd \(%rcx\),%ymm6,%ymm2
1605 [       ]*[a-f0-9]+:    c5 cd 57 11             vxorpd \(%rcx\),%ymm6,%ymm2
1606 [       ]*[a-f0-9]+:    c5 cc 57 d4             vxorps %ymm4,%ymm6,%ymm2
1607 [       ]*[a-f0-9]+:    c5 cc 57 11             vxorps \(%rcx\),%ymm6,%ymm2
1608 [       ]*[a-f0-9]+:    c5 cc 57 11             vxorps \(%rcx\),%ymm6,%ymm2
1609 [       ]*[a-f0-9]+:    c5 cd c2 d4 00          vcmpeqpd %ymm4,%ymm6,%ymm2
1610 [       ]*[a-f0-9]+:    c5 cd c2 11 00          vcmpeqpd \(%rcx\),%ymm6,%ymm2
1611 [       ]*[a-f0-9]+:    c5 cd c2 11 00          vcmpeqpd \(%rcx\),%ymm6,%ymm2
1612 [       ]*[a-f0-9]+:    c5 cd c2 d4 01          vcmpltpd %ymm4,%ymm6,%ymm2
1613 [       ]*[a-f0-9]+:    c5 cd c2 11 01          vcmpltpd \(%rcx\),%ymm6,%ymm2
1614 [       ]*[a-f0-9]+:    c5 cd c2 11 01          vcmpltpd \(%rcx\),%ymm6,%ymm2
1615 [       ]*[a-f0-9]+:    c5 cd c2 d4 02          vcmplepd %ymm4,%ymm6,%ymm2
1616 [       ]*[a-f0-9]+:    c5 cd c2 11 02          vcmplepd \(%rcx\),%ymm6,%ymm2
1617 [       ]*[a-f0-9]+:    c5 cd c2 11 02          vcmplepd \(%rcx\),%ymm6,%ymm2
1618 [       ]*[a-f0-9]+:    c5 cd c2 d4 03          vcmpunordpd %ymm4,%ymm6,%ymm2
1619 [       ]*[a-f0-9]+:    c5 cd c2 11 03          vcmpunordpd \(%rcx\),%ymm6,%ymm2
1620 [       ]*[a-f0-9]+:    c5 cd c2 11 03          vcmpunordpd \(%rcx\),%ymm6,%ymm2
1621 [       ]*[a-f0-9]+:    c5 cd c2 d4 04          vcmpneqpd %ymm4,%ymm6,%ymm2
1622 [       ]*[a-f0-9]+:    c5 cd c2 11 04          vcmpneqpd \(%rcx\),%ymm6,%ymm2
1623 [       ]*[a-f0-9]+:    c5 cd c2 11 04          vcmpneqpd \(%rcx\),%ymm6,%ymm2
1624 [       ]*[a-f0-9]+:    c5 cd c2 d4 05          vcmpnltpd %ymm4,%ymm6,%ymm2
1625 [       ]*[a-f0-9]+:    c5 cd c2 11 05          vcmpnltpd \(%rcx\),%ymm6,%ymm2
1626 [       ]*[a-f0-9]+:    c5 cd c2 11 05          vcmpnltpd \(%rcx\),%ymm6,%ymm2
1627 [       ]*[a-f0-9]+:    c5 cd c2 d4 06          vcmpnlepd %ymm4,%ymm6,%ymm2
1628 [       ]*[a-f0-9]+:    c5 cd c2 11 06          vcmpnlepd \(%rcx\),%ymm6,%ymm2
1629 [       ]*[a-f0-9]+:    c5 cd c2 11 06          vcmpnlepd \(%rcx\),%ymm6,%ymm2
1630 [       ]*[a-f0-9]+:    c5 cd c2 d4 07          vcmpordpd %ymm4,%ymm6,%ymm2
1631 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%rcx\),%ymm6,%ymm2
1632 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%rcx\),%ymm6,%ymm2
1633 [       ]*[a-f0-9]+:    c5 cd c2 d4 08          vcmpeq_uqpd %ymm4,%ymm6,%ymm2
1634 [       ]*[a-f0-9]+:    c5 cd c2 11 08          vcmpeq_uqpd \(%rcx\),%ymm6,%ymm2
1635 [       ]*[a-f0-9]+:    c5 cd c2 11 08          vcmpeq_uqpd \(%rcx\),%ymm6,%ymm2
1636 [       ]*[a-f0-9]+:    c5 cd c2 d4 09          vcmpngepd %ymm4,%ymm6,%ymm2
1637 [       ]*[a-f0-9]+:    c5 cd c2 11 09          vcmpngepd \(%rcx\),%ymm6,%ymm2
1638 [       ]*[a-f0-9]+:    c5 cd c2 11 09          vcmpngepd \(%rcx\),%ymm6,%ymm2
1639 [       ]*[a-f0-9]+:    c5 cd c2 d4 0a          vcmpngtpd %ymm4,%ymm6,%ymm2
1640 [       ]*[a-f0-9]+:    c5 cd c2 11 0a          vcmpngtpd \(%rcx\),%ymm6,%ymm2
1641 [       ]*[a-f0-9]+:    c5 cd c2 11 0a          vcmpngtpd \(%rcx\),%ymm6,%ymm2
1642 [       ]*[a-f0-9]+:    c5 cd c2 d4 0b          vcmpfalsepd %ymm4,%ymm6,%ymm2
1643 [       ]*[a-f0-9]+:    c5 cd c2 11 0b          vcmpfalsepd \(%rcx\),%ymm6,%ymm2
1644 [       ]*[a-f0-9]+:    c5 cd c2 11 0b          vcmpfalsepd \(%rcx\),%ymm6,%ymm2
1645 [       ]*[a-f0-9]+:    c5 cd c2 d4 0c          vcmpneq_oqpd %ymm4,%ymm6,%ymm2
1646 [       ]*[a-f0-9]+:    c5 cd c2 11 0c          vcmpneq_oqpd \(%rcx\),%ymm6,%ymm2
1647 [       ]*[a-f0-9]+:    c5 cd c2 11 0c          vcmpneq_oqpd \(%rcx\),%ymm6,%ymm2
1648 [       ]*[a-f0-9]+:    c5 cd c2 d4 0d          vcmpgepd %ymm4,%ymm6,%ymm2
1649 [       ]*[a-f0-9]+:    c5 cd c2 11 0d          vcmpgepd \(%rcx\),%ymm6,%ymm2
1650 [       ]*[a-f0-9]+:    c5 cd c2 11 0d          vcmpgepd \(%rcx\),%ymm6,%ymm2
1651 [       ]*[a-f0-9]+:    c5 cd c2 d4 0e          vcmpgtpd %ymm4,%ymm6,%ymm2
1652 [       ]*[a-f0-9]+:    c5 cd c2 11 0e          vcmpgtpd \(%rcx\),%ymm6,%ymm2
1653 [       ]*[a-f0-9]+:    c5 cd c2 11 0e          vcmpgtpd \(%rcx\),%ymm6,%ymm2
1654 [       ]*[a-f0-9]+:    c5 cd c2 d4 0f          vcmptruepd %ymm4,%ymm6,%ymm2
1655 [       ]*[a-f0-9]+:    c5 cd c2 11 0f          vcmptruepd \(%rcx\),%ymm6,%ymm2
1656 [       ]*[a-f0-9]+:    c5 cd c2 11 0f          vcmptruepd \(%rcx\),%ymm6,%ymm2
1657 [       ]*[a-f0-9]+:    c5 cd c2 d4 10          vcmpeq_ospd %ymm4,%ymm6,%ymm2
1658 [       ]*[a-f0-9]+:    c5 cd c2 11 10          vcmpeq_ospd \(%rcx\),%ymm6,%ymm2
1659 [       ]*[a-f0-9]+:    c5 cd c2 11 10          vcmpeq_ospd \(%rcx\),%ymm6,%ymm2
1660 [       ]*[a-f0-9]+:    c5 cd c2 d4 11          vcmplt_oqpd %ymm4,%ymm6,%ymm2
1661 [       ]*[a-f0-9]+:    c5 cd c2 11 11          vcmplt_oqpd \(%rcx\),%ymm6,%ymm2
1662 [       ]*[a-f0-9]+:    c5 cd c2 11 11          vcmplt_oqpd \(%rcx\),%ymm6,%ymm2
1663 [       ]*[a-f0-9]+:    c5 cd c2 d4 12          vcmple_oqpd %ymm4,%ymm6,%ymm2
1664 [       ]*[a-f0-9]+:    c5 cd c2 11 12          vcmple_oqpd \(%rcx\),%ymm6,%ymm2
1665 [       ]*[a-f0-9]+:    c5 cd c2 11 12          vcmple_oqpd \(%rcx\),%ymm6,%ymm2
1666 [       ]*[a-f0-9]+:    c5 cd c2 d4 13          vcmpunord_spd %ymm4,%ymm6,%ymm2
1667 [       ]*[a-f0-9]+:    c5 cd c2 11 13          vcmpunord_spd \(%rcx\),%ymm6,%ymm2
1668 [       ]*[a-f0-9]+:    c5 cd c2 11 13          vcmpunord_spd \(%rcx\),%ymm6,%ymm2
1669 [       ]*[a-f0-9]+:    c5 cd c2 d4 14          vcmpneq_uspd %ymm4,%ymm6,%ymm2
1670 [       ]*[a-f0-9]+:    c5 cd c2 11 14          vcmpneq_uspd \(%rcx\),%ymm6,%ymm2
1671 [       ]*[a-f0-9]+:    c5 cd c2 11 14          vcmpneq_uspd \(%rcx\),%ymm6,%ymm2
1672 [       ]*[a-f0-9]+:    c5 cd c2 d4 15          vcmpnlt_uqpd %ymm4,%ymm6,%ymm2
1673 [       ]*[a-f0-9]+:    c5 cd c2 11 15          vcmpnlt_uqpd \(%rcx\),%ymm6,%ymm2
1674 [       ]*[a-f0-9]+:    c5 cd c2 11 15          vcmpnlt_uqpd \(%rcx\),%ymm6,%ymm2
1675 [       ]*[a-f0-9]+:    c5 cd c2 d4 16          vcmpnle_uqpd %ymm4,%ymm6,%ymm2
1676 [       ]*[a-f0-9]+:    c5 cd c2 11 16          vcmpnle_uqpd \(%rcx\),%ymm6,%ymm2
1677 [       ]*[a-f0-9]+:    c5 cd c2 11 16          vcmpnle_uqpd \(%rcx\),%ymm6,%ymm2
1678 [       ]*[a-f0-9]+:    c5 cd c2 d4 17          vcmpord_spd %ymm4,%ymm6,%ymm2
1679 [       ]*[a-f0-9]+:    c5 cd c2 11 17          vcmpord_spd \(%rcx\),%ymm6,%ymm2
1680 [       ]*[a-f0-9]+:    c5 cd c2 11 17          vcmpord_spd \(%rcx\),%ymm6,%ymm2
1681 [       ]*[a-f0-9]+:    c5 cd c2 d4 18          vcmpeq_uspd %ymm4,%ymm6,%ymm2
1682 [       ]*[a-f0-9]+:    c5 cd c2 11 18          vcmpeq_uspd \(%rcx\),%ymm6,%ymm2
1683 [       ]*[a-f0-9]+:    c5 cd c2 11 18          vcmpeq_uspd \(%rcx\),%ymm6,%ymm2
1684 [       ]*[a-f0-9]+:    c5 cd c2 d4 19          vcmpnge_uqpd %ymm4,%ymm6,%ymm2
1685 [       ]*[a-f0-9]+:    c5 cd c2 11 19          vcmpnge_uqpd \(%rcx\),%ymm6,%ymm2
1686 [       ]*[a-f0-9]+:    c5 cd c2 11 19          vcmpnge_uqpd \(%rcx\),%ymm6,%ymm2
1687 [       ]*[a-f0-9]+:    c5 cd c2 d4 1a          vcmpngt_uqpd %ymm4,%ymm6,%ymm2
1688 [       ]*[a-f0-9]+:    c5 cd c2 11 1a          vcmpngt_uqpd \(%rcx\),%ymm6,%ymm2
1689 [       ]*[a-f0-9]+:    c5 cd c2 11 1a          vcmpngt_uqpd \(%rcx\),%ymm6,%ymm2
1690 [       ]*[a-f0-9]+:    c5 cd c2 d4 1b          vcmpfalse_ospd %ymm4,%ymm6,%ymm2
1691 [       ]*[a-f0-9]+:    c5 cd c2 11 1b          vcmpfalse_ospd \(%rcx\),%ymm6,%ymm2
1692 [       ]*[a-f0-9]+:    c5 cd c2 11 1b          vcmpfalse_ospd \(%rcx\),%ymm6,%ymm2
1693 [       ]*[a-f0-9]+:    c5 cd c2 d4 1c          vcmpneq_ospd %ymm4,%ymm6,%ymm2
1694 [       ]*[a-f0-9]+:    c5 cd c2 11 1c          vcmpneq_ospd \(%rcx\),%ymm6,%ymm2
1695 [       ]*[a-f0-9]+:    c5 cd c2 11 1c          vcmpneq_ospd \(%rcx\),%ymm6,%ymm2
1696 [       ]*[a-f0-9]+:    c5 cd c2 d4 1d          vcmpge_oqpd %ymm4,%ymm6,%ymm2
1697 [       ]*[a-f0-9]+:    c5 cd c2 11 1d          vcmpge_oqpd \(%rcx\),%ymm6,%ymm2
1698 [       ]*[a-f0-9]+:    c5 cd c2 11 1d          vcmpge_oqpd \(%rcx\),%ymm6,%ymm2
1699 [       ]*[a-f0-9]+:    c5 cd c2 d4 1e          vcmpgt_oqpd %ymm4,%ymm6,%ymm2
1700 [       ]*[a-f0-9]+:    c5 cd c2 11 1e          vcmpgt_oqpd \(%rcx\),%ymm6,%ymm2
1701 [       ]*[a-f0-9]+:    c5 cd c2 11 1e          vcmpgt_oqpd \(%rcx\),%ymm6,%ymm2
1702 [       ]*[a-f0-9]+:    c5 cd c2 d4 1f          vcmptrue_uspd %ymm4,%ymm6,%ymm2
1703 [       ]*[a-f0-9]+:    c5 cd c2 11 1f          vcmptrue_uspd \(%rcx\),%ymm6,%ymm2
1704 [       ]*[a-f0-9]+:    c5 cd c2 11 1f          vcmptrue_uspd \(%rcx\),%ymm6,%ymm2
1705 [       ]*[a-f0-9]+:    c5 cc c2 d4 00          vcmpeqps %ymm4,%ymm6,%ymm2
1706 [       ]*[a-f0-9]+:    c5 cc c2 11 00          vcmpeqps \(%rcx\),%ymm6,%ymm2
1707 [       ]*[a-f0-9]+:    c5 cc c2 11 00          vcmpeqps \(%rcx\),%ymm6,%ymm2
1708 [       ]*[a-f0-9]+:    c5 cc c2 d4 01          vcmpltps %ymm4,%ymm6,%ymm2
1709 [       ]*[a-f0-9]+:    c5 cc c2 11 01          vcmpltps \(%rcx\),%ymm6,%ymm2
1710 [       ]*[a-f0-9]+:    c5 cc c2 11 01          vcmpltps \(%rcx\),%ymm6,%ymm2
1711 [       ]*[a-f0-9]+:    c5 cc c2 d4 02          vcmpleps %ymm4,%ymm6,%ymm2
1712 [       ]*[a-f0-9]+:    c5 cc c2 11 02          vcmpleps \(%rcx\),%ymm6,%ymm2
1713 [       ]*[a-f0-9]+:    c5 cc c2 11 02          vcmpleps \(%rcx\),%ymm6,%ymm2
1714 [       ]*[a-f0-9]+:    c5 cc c2 d4 03          vcmpunordps %ymm4,%ymm6,%ymm2
1715 [       ]*[a-f0-9]+:    c5 cc c2 11 03          vcmpunordps \(%rcx\),%ymm6,%ymm2
1716 [       ]*[a-f0-9]+:    c5 cc c2 11 03          vcmpunordps \(%rcx\),%ymm6,%ymm2
1717 [       ]*[a-f0-9]+:    c5 cc c2 d4 04          vcmpneqps %ymm4,%ymm6,%ymm2
1718 [       ]*[a-f0-9]+:    c5 cc c2 11 04          vcmpneqps \(%rcx\),%ymm6,%ymm2
1719 [       ]*[a-f0-9]+:    c5 cc c2 11 04          vcmpneqps \(%rcx\),%ymm6,%ymm2
1720 [       ]*[a-f0-9]+:    c5 cc c2 d4 05          vcmpnltps %ymm4,%ymm6,%ymm2
1721 [       ]*[a-f0-9]+:    c5 cc c2 11 05          vcmpnltps \(%rcx\),%ymm6,%ymm2
1722 [       ]*[a-f0-9]+:    c5 cc c2 11 05          vcmpnltps \(%rcx\),%ymm6,%ymm2
1723 [       ]*[a-f0-9]+:    c5 cc c2 d4 06          vcmpnleps %ymm4,%ymm6,%ymm2
1724 [       ]*[a-f0-9]+:    c5 cc c2 11 06          vcmpnleps \(%rcx\),%ymm6,%ymm2
1725 [       ]*[a-f0-9]+:    c5 cc c2 11 06          vcmpnleps \(%rcx\),%ymm6,%ymm2
1726 [       ]*[a-f0-9]+:    c5 cc c2 d4 07          vcmpordps %ymm4,%ymm6,%ymm2
1727 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%rcx\),%ymm6,%ymm2
1728 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%rcx\),%ymm6,%ymm2
1729 [       ]*[a-f0-9]+:    c5 cc c2 d4 08          vcmpeq_uqps %ymm4,%ymm6,%ymm2
1730 [       ]*[a-f0-9]+:    c5 cc c2 11 08          vcmpeq_uqps \(%rcx\),%ymm6,%ymm2
1731 [       ]*[a-f0-9]+:    c5 cc c2 11 08          vcmpeq_uqps \(%rcx\),%ymm6,%ymm2
1732 [       ]*[a-f0-9]+:    c5 cc c2 d4 09          vcmpngeps %ymm4,%ymm6,%ymm2
1733 [       ]*[a-f0-9]+:    c5 cc c2 11 09          vcmpngeps \(%rcx\),%ymm6,%ymm2
1734 [       ]*[a-f0-9]+:    c5 cc c2 11 09          vcmpngeps \(%rcx\),%ymm6,%ymm2
1735 [       ]*[a-f0-9]+:    c5 cc c2 d4 0a          vcmpngtps %ymm4,%ymm6,%ymm2
1736 [       ]*[a-f0-9]+:    c5 cc c2 11 0a          vcmpngtps \(%rcx\),%ymm6,%ymm2
1737 [       ]*[a-f0-9]+:    c5 cc c2 11 0a          vcmpngtps \(%rcx\),%ymm6,%ymm2
1738 [       ]*[a-f0-9]+:    c5 cc c2 d4 0b          vcmpfalseps %ymm4,%ymm6,%ymm2
1739 [       ]*[a-f0-9]+:    c5 cc c2 11 0b          vcmpfalseps \(%rcx\),%ymm6,%ymm2
1740 [       ]*[a-f0-9]+:    c5 cc c2 11 0b          vcmpfalseps \(%rcx\),%ymm6,%ymm2
1741 [       ]*[a-f0-9]+:    c5 cc c2 d4 0c          vcmpneq_oqps %ymm4,%ymm6,%ymm2
1742 [       ]*[a-f0-9]+:    c5 cc c2 11 0c          vcmpneq_oqps \(%rcx\),%ymm6,%ymm2
1743 [       ]*[a-f0-9]+:    c5 cc c2 11 0c          vcmpneq_oqps \(%rcx\),%ymm6,%ymm2
1744 [       ]*[a-f0-9]+:    c5 cc c2 d4 0d          vcmpgeps %ymm4,%ymm6,%ymm2
1745 [       ]*[a-f0-9]+:    c5 cc c2 11 0d          vcmpgeps \(%rcx\),%ymm6,%ymm2
1746 [       ]*[a-f0-9]+:    c5 cc c2 11 0d          vcmpgeps \(%rcx\),%ymm6,%ymm2
1747 [       ]*[a-f0-9]+:    c5 cc c2 d4 0e          vcmpgtps %ymm4,%ymm6,%ymm2
1748 [       ]*[a-f0-9]+:    c5 cc c2 11 0e          vcmpgtps \(%rcx\),%ymm6,%ymm2
1749 [       ]*[a-f0-9]+:    c5 cc c2 11 0e          vcmpgtps \(%rcx\),%ymm6,%ymm2
1750 [       ]*[a-f0-9]+:    c5 cc c2 d4 0f          vcmptrueps %ymm4,%ymm6,%ymm2
1751 [       ]*[a-f0-9]+:    c5 cc c2 11 0f          vcmptrueps \(%rcx\),%ymm6,%ymm2
1752 [       ]*[a-f0-9]+:    c5 cc c2 11 0f          vcmptrueps \(%rcx\),%ymm6,%ymm2
1753 [       ]*[a-f0-9]+:    c5 cc c2 d4 10          vcmpeq_osps %ymm4,%ymm6,%ymm2
1754 [       ]*[a-f0-9]+:    c5 cc c2 11 10          vcmpeq_osps \(%rcx\),%ymm6,%ymm2
1755 [       ]*[a-f0-9]+:    c5 cc c2 11 10          vcmpeq_osps \(%rcx\),%ymm6,%ymm2
1756 [       ]*[a-f0-9]+:    c5 cc c2 d4 11          vcmplt_oqps %ymm4,%ymm6,%ymm2
1757 [       ]*[a-f0-9]+:    c5 cc c2 11 11          vcmplt_oqps \(%rcx\),%ymm6,%ymm2
1758 [       ]*[a-f0-9]+:    c5 cc c2 11 11          vcmplt_oqps \(%rcx\),%ymm6,%ymm2
1759 [       ]*[a-f0-9]+:    c5 cc c2 d4 12          vcmple_oqps %ymm4,%ymm6,%ymm2
1760 [       ]*[a-f0-9]+:    c5 cc c2 11 12          vcmple_oqps \(%rcx\),%ymm6,%ymm2
1761 [       ]*[a-f0-9]+:    c5 cc c2 11 12          vcmple_oqps \(%rcx\),%ymm6,%ymm2
1762 [       ]*[a-f0-9]+:    c5 cc c2 d4 13          vcmpunord_sps %ymm4,%ymm6,%ymm2
1763 [       ]*[a-f0-9]+:    c5 cc c2 11 13          vcmpunord_sps \(%rcx\),%ymm6,%ymm2
1764 [       ]*[a-f0-9]+:    c5 cc c2 11 13          vcmpunord_sps \(%rcx\),%ymm6,%ymm2
1765 [       ]*[a-f0-9]+:    c5 cc c2 d4 14          vcmpneq_usps %ymm4,%ymm6,%ymm2
1766 [       ]*[a-f0-9]+:    c5 cc c2 11 14          vcmpneq_usps \(%rcx\),%ymm6,%ymm2
1767 [       ]*[a-f0-9]+:    c5 cc c2 11 14          vcmpneq_usps \(%rcx\),%ymm6,%ymm2
1768 [       ]*[a-f0-9]+:    c5 cc c2 d4 15          vcmpnlt_uqps %ymm4,%ymm6,%ymm2
1769 [       ]*[a-f0-9]+:    c5 cc c2 11 15          vcmpnlt_uqps \(%rcx\),%ymm6,%ymm2
1770 [       ]*[a-f0-9]+:    c5 cc c2 11 15          vcmpnlt_uqps \(%rcx\),%ymm6,%ymm2
1771 [       ]*[a-f0-9]+:    c5 cc c2 d4 16          vcmpnle_uqps %ymm4,%ymm6,%ymm2
1772 [       ]*[a-f0-9]+:    c5 cc c2 11 16          vcmpnle_uqps \(%rcx\),%ymm6,%ymm2
1773 [       ]*[a-f0-9]+:    c5 cc c2 11 16          vcmpnle_uqps \(%rcx\),%ymm6,%ymm2
1774 [       ]*[a-f0-9]+:    c5 cc c2 d4 17          vcmpord_sps %ymm4,%ymm6,%ymm2
1775 [       ]*[a-f0-9]+:    c5 cc c2 11 17          vcmpord_sps \(%rcx\),%ymm6,%ymm2
1776 [       ]*[a-f0-9]+:    c5 cc c2 11 17          vcmpord_sps \(%rcx\),%ymm6,%ymm2
1777 [       ]*[a-f0-9]+:    c5 cc c2 d4 18          vcmpeq_usps %ymm4,%ymm6,%ymm2
1778 [       ]*[a-f0-9]+:    c5 cc c2 11 18          vcmpeq_usps \(%rcx\),%ymm6,%ymm2
1779 [       ]*[a-f0-9]+:    c5 cc c2 11 18          vcmpeq_usps \(%rcx\),%ymm6,%ymm2
1780 [       ]*[a-f0-9]+:    c5 cc c2 d4 19          vcmpnge_uqps %ymm4,%ymm6,%ymm2
1781 [       ]*[a-f0-9]+:    c5 cc c2 11 19          vcmpnge_uqps \(%rcx\),%ymm6,%ymm2
1782 [       ]*[a-f0-9]+:    c5 cc c2 11 19          vcmpnge_uqps \(%rcx\),%ymm6,%ymm2
1783 [       ]*[a-f0-9]+:    c5 cc c2 d4 1a          vcmpngt_uqps %ymm4,%ymm6,%ymm2
1784 [       ]*[a-f0-9]+:    c5 cc c2 11 1a          vcmpngt_uqps \(%rcx\),%ymm6,%ymm2
1785 [       ]*[a-f0-9]+:    c5 cc c2 11 1a          vcmpngt_uqps \(%rcx\),%ymm6,%ymm2
1786 [       ]*[a-f0-9]+:    c5 cc c2 d4 1b          vcmpfalse_osps %ymm4,%ymm6,%ymm2
1787 [       ]*[a-f0-9]+:    c5 cc c2 11 1b          vcmpfalse_osps \(%rcx\),%ymm6,%ymm2
1788 [       ]*[a-f0-9]+:    c5 cc c2 11 1b          vcmpfalse_osps \(%rcx\),%ymm6,%ymm2
1789 [       ]*[a-f0-9]+:    c5 cc c2 d4 1c          vcmpneq_osps %ymm4,%ymm6,%ymm2
1790 [       ]*[a-f0-9]+:    c5 cc c2 11 1c          vcmpneq_osps \(%rcx\),%ymm6,%ymm2
1791 [       ]*[a-f0-9]+:    c5 cc c2 11 1c          vcmpneq_osps \(%rcx\),%ymm6,%ymm2
1792 [       ]*[a-f0-9]+:    c5 cc c2 d4 1d          vcmpge_oqps %ymm4,%ymm6,%ymm2
1793 [       ]*[a-f0-9]+:    c5 cc c2 11 1d          vcmpge_oqps \(%rcx\),%ymm6,%ymm2
1794 [       ]*[a-f0-9]+:    c5 cc c2 11 1d          vcmpge_oqps \(%rcx\),%ymm6,%ymm2
1795 [       ]*[a-f0-9]+:    c5 cc c2 d4 1e          vcmpgt_oqps %ymm4,%ymm6,%ymm2
1796 [       ]*[a-f0-9]+:    c5 cc c2 11 1e          vcmpgt_oqps \(%rcx\),%ymm6,%ymm2
1797 [       ]*[a-f0-9]+:    c5 cc c2 11 1e          vcmpgt_oqps \(%rcx\),%ymm6,%ymm2
1798 [       ]*[a-f0-9]+:    c5 cc c2 d4 1f          vcmptrue_usps %ymm4,%ymm6,%ymm2
1799 [       ]*[a-f0-9]+:    c5 cc c2 11 1f          vcmptrue_usps \(%rcx\),%ymm6,%ymm2
1800 [       ]*[a-f0-9]+:    c5 cc c2 11 1f          vcmptrue_usps \(%rcx\),%ymm6,%ymm2
1801 [       ]*[a-f0-9]+:    c5 ff e6 e4             vcvtpd2dq %ymm4,%xmm4
1802 [       ]*[a-f0-9]+:    c5 ff e6 21             vcvtpd2dqy \(%rcx\),%xmm4
1803 [       ]*[a-f0-9]+:    c5 fd 5a e4             vcvtpd2ps %ymm4,%xmm4
1804 [       ]*[a-f0-9]+:    c5 fd 5a 21             vcvtpd2psy \(%rcx\),%xmm4
1805 [       ]*[a-f0-9]+:    c5 fd e6 e4             vcvttpd2dq %ymm4,%xmm4
1806 [       ]*[a-f0-9]+:    c5 fd e6 21             vcvttpd2dqy \(%rcx\),%xmm4
1807 [       ]*[a-f0-9]+:    c5 fc 5b f4             vcvtdq2ps %ymm4,%ymm6
1808 [       ]*[a-f0-9]+:    c5 fc 5b 21             vcvtdq2ps \(%rcx\),%ymm4
1809 [       ]*[a-f0-9]+:    c5 fc 5b 21             vcvtdq2ps \(%rcx\),%ymm4
1810 [       ]*[a-f0-9]+:    c5 fd 5b f4             vcvtps2dq %ymm4,%ymm6
1811 [       ]*[a-f0-9]+:    c5 fd 5b 21             vcvtps2dq \(%rcx\),%ymm4
1812 [       ]*[a-f0-9]+:    c5 fd 5b 21             vcvtps2dq \(%rcx\),%ymm4
1813 [       ]*[a-f0-9]+:    c5 fe 5b f4             vcvttps2dq %ymm4,%ymm6
1814 [       ]*[a-f0-9]+:    c5 fe 5b 21             vcvttps2dq \(%rcx\),%ymm4
1815 [       ]*[a-f0-9]+:    c5 fe 5b 21             vcvttps2dq \(%rcx\),%ymm4
1816 [       ]*[a-f0-9]+:    c5 fd 28 f4             vmovapd %ymm4,%ymm6
1817 [       ]*[a-f0-9]+:    c5 fd 28 21             vmovapd \(%rcx\),%ymm4
1818 [       ]*[a-f0-9]+:    c5 fd 28 21             vmovapd \(%rcx\),%ymm4
1819 [       ]*[a-f0-9]+:    c5 fc 28 f4             vmovaps %ymm4,%ymm6
1820 [       ]*[a-f0-9]+:    c5 fc 28 21             vmovaps \(%rcx\),%ymm4
1821 [       ]*[a-f0-9]+:    c5 fc 28 21             vmovaps \(%rcx\),%ymm4
1822 [       ]*[a-f0-9]+:    c5 fd 6f f4             vmovdqa %ymm4,%ymm6
1823 [       ]*[a-f0-9]+:    c5 fd 6f 21             vmovdqa \(%rcx\),%ymm4
1824 [       ]*[a-f0-9]+:    c5 fd 6f 21             vmovdqa \(%rcx\),%ymm4
1825 [       ]*[a-f0-9]+:    c5 fe 6f f4             vmovdqu %ymm4,%ymm6
1826 [       ]*[a-f0-9]+:    c5 fe 6f 21             vmovdqu \(%rcx\),%ymm4
1827 [       ]*[a-f0-9]+:    c5 fe 6f 21             vmovdqu \(%rcx\),%ymm4
1828 [       ]*[a-f0-9]+:    c5 ff 12 f4             vmovddup %ymm4,%ymm6
1829 [       ]*[a-f0-9]+:    c5 ff 12 21             vmovddup \(%rcx\),%ymm4
1830 [       ]*[a-f0-9]+:    c5 ff 12 21             vmovddup \(%rcx\),%ymm4
1831 [       ]*[a-f0-9]+:    c5 fe 16 f4             vmovshdup %ymm4,%ymm6
1832 [       ]*[a-f0-9]+:    c5 fe 16 21             vmovshdup \(%rcx\),%ymm4
1833 [       ]*[a-f0-9]+:    c5 fe 16 21             vmovshdup \(%rcx\),%ymm4
1834 [       ]*[a-f0-9]+:    c5 fe 12 f4             vmovsldup %ymm4,%ymm6
1835 [       ]*[a-f0-9]+:    c5 fe 12 21             vmovsldup \(%rcx\),%ymm4
1836 [       ]*[a-f0-9]+:    c5 fe 12 21             vmovsldup \(%rcx\),%ymm4
1837 [       ]*[a-f0-9]+:    c5 fd 10 f4             vmovupd %ymm4,%ymm6
1838 [       ]*[a-f0-9]+:    c5 fd 10 21             vmovupd \(%rcx\),%ymm4
1839 [       ]*[a-f0-9]+:    c5 fd 10 21             vmovupd \(%rcx\),%ymm4
1840 [       ]*[a-f0-9]+:    c5 fc 10 f4             vmovups %ymm4,%ymm6
1841 [       ]*[a-f0-9]+:    c5 fc 10 21             vmovups \(%rcx\),%ymm4
1842 [       ]*[a-f0-9]+:    c5 fc 10 21             vmovups \(%rcx\),%ymm4
1843 [       ]*[a-f0-9]+:    c4 e2 7d 17 f4          vptest %ymm4,%ymm6
1844 [       ]*[a-f0-9]+:    c4 e2 7d 17 21          vptest \(%rcx\),%ymm4
1845 [       ]*[a-f0-9]+:    c4 e2 7d 17 21          vptest \(%rcx\),%ymm4
1846 [       ]*[a-f0-9]+:    c5 fc 53 f4             vrcpps %ymm4,%ymm6
1847 [       ]*[a-f0-9]+:    c5 fc 53 21             vrcpps \(%rcx\),%ymm4
1848 [       ]*[a-f0-9]+:    c5 fc 53 21             vrcpps \(%rcx\),%ymm4
1849 [       ]*[a-f0-9]+:    c5 fc 52 f4             vrsqrtps %ymm4,%ymm6
1850 [       ]*[a-f0-9]+:    c5 fc 52 21             vrsqrtps \(%rcx\),%ymm4
1851 [       ]*[a-f0-9]+:    c5 fc 52 21             vrsqrtps \(%rcx\),%ymm4
1852 [       ]*[a-f0-9]+:    c5 fd 51 f4             vsqrtpd %ymm4,%ymm6
1853 [       ]*[a-f0-9]+:    c5 fd 51 21             vsqrtpd \(%rcx\),%ymm4
1854 [       ]*[a-f0-9]+:    c5 fd 51 21             vsqrtpd \(%rcx\),%ymm4
1855 [       ]*[a-f0-9]+:    c5 fc 51 f4             vsqrtps %ymm4,%ymm6
1856 [       ]*[a-f0-9]+:    c5 fc 51 21             vsqrtps \(%rcx\),%ymm4
1857 [       ]*[a-f0-9]+:    c5 fc 51 21             vsqrtps \(%rcx\),%ymm4
1858 [       ]*[a-f0-9]+:    c4 e2 7d 0f f4          vtestpd %ymm4,%ymm6
1859 [       ]*[a-f0-9]+:    c4 e2 7d 0f 21          vtestpd \(%rcx\),%ymm4
1860 [       ]*[a-f0-9]+:    c4 e2 7d 0f 21          vtestpd \(%rcx\),%ymm4
1861 [       ]*[a-f0-9]+:    c4 e2 7d 0e f4          vtestps %ymm4,%ymm6
1862 [       ]*[a-f0-9]+:    c4 e2 7d 0e 21          vtestps \(%rcx\),%ymm4
1863 [       ]*[a-f0-9]+:    c4 e2 7d 0e 21          vtestps \(%rcx\),%ymm4
1864 [       ]*[a-f0-9]+:    c5 fd 28 f4             vmovapd %ymm4,%ymm6
1865 [       ]*[a-f0-9]+:    c5 fd 29 21             vmovapd %ymm4,\(%rcx\)
1866 [       ]*[a-f0-9]+:    c5 fd 29 21             vmovapd %ymm4,\(%rcx\)
1867 [       ]*[a-f0-9]+:    c5 fc 28 f4             vmovaps %ymm4,%ymm6
1868 [       ]*[a-f0-9]+:    c5 fc 29 21             vmovaps %ymm4,\(%rcx\)
1869 [       ]*[a-f0-9]+:    c5 fc 29 21             vmovaps %ymm4,\(%rcx\)
1870 [       ]*[a-f0-9]+:    c5 fd 6f f4             vmovdqa %ymm4,%ymm6
1871 [       ]*[a-f0-9]+:    c5 fd 7f 21             vmovdqa %ymm4,\(%rcx\)
1872 [       ]*[a-f0-9]+:    c5 fd 7f 21             vmovdqa %ymm4,\(%rcx\)
1873 [       ]*[a-f0-9]+:    c5 fe 6f f4             vmovdqu %ymm4,%ymm6
1874 [       ]*[a-f0-9]+:    c5 fe 7f 21             vmovdqu %ymm4,\(%rcx\)
1875 [       ]*[a-f0-9]+:    c5 fe 7f 21             vmovdqu %ymm4,\(%rcx\)
1876 [       ]*[a-f0-9]+:    c5 fd 10 f4             vmovupd %ymm4,%ymm6
1877 [       ]*[a-f0-9]+:    c5 fd 11 21             vmovupd %ymm4,\(%rcx\)
1878 [       ]*[a-f0-9]+:    c5 fd 11 21             vmovupd %ymm4,\(%rcx\)
1879 [       ]*[a-f0-9]+:    c5 fc 10 f4             vmovups %ymm4,%ymm6
1880 [       ]*[a-f0-9]+:    c5 fc 11 21             vmovups %ymm4,\(%rcx\)
1881 [       ]*[a-f0-9]+:    c5 fc 11 21             vmovups %ymm4,\(%rcx\)
1882 [       ]*[a-f0-9]+:    c5 ff f0 21             vlddqu \(%rcx\),%ymm4
1883 [       ]*[a-f0-9]+:    c5 ff f0 21             vlddqu \(%rcx\),%ymm4
1884 [       ]*[a-f0-9]+:    c5 fd e7 21             vmovntdq %ymm4,\(%rcx\)
1885 [       ]*[a-f0-9]+:    c5 fd e7 21             vmovntdq %ymm4,\(%rcx\)
1886 [       ]*[a-f0-9]+:    c5 fd 2b 21             vmovntpd %ymm4,\(%rcx\)
1887 [       ]*[a-f0-9]+:    c5 fd 2b 21             vmovntpd %ymm4,\(%rcx\)
1888 [       ]*[a-f0-9]+:    c5 fc 2b 21             vmovntps %ymm4,\(%rcx\)
1889 [       ]*[a-f0-9]+:    c5 fc 2b 21             vmovntps %ymm4,\(%rcx\)
1890 [       ]*[a-f0-9]+:    c4 e3 4d 0d d4 07       vblendpd \$0x7,%ymm4,%ymm6,%ymm2
1891 [       ]*[a-f0-9]+:    c4 e3 4d 0d 11 07       vblendpd \$0x7,\(%rcx\),%ymm6,%ymm2
1892 [       ]*[a-f0-9]+:    c4 e3 4d 0d 11 07       vblendpd \$0x7,\(%rcx\),%ymm6,%ymm2
1893 [       ]*[a-f0-9]+:    c4 e3 4d 0c d4 07       vblendps \$0x7,%ymm4,%ymm6,%ymm2
1894 [       ]*[a-f0-9]+:    c4 e3 4d 0c 11 07       vblendps \$0x7,\(%rcx\),%ymm6,%ymm2
1895 [       ]*[a-f0-9]+:    c4 e3 4d 0c 11 07       vblendps \$0x7,\(%rcx\),%ymm6,%ymm2
1896 [       ]*[a-f0-9]+:    c5 cd c2 d4 07          vcmpordpd %ymm4,%ymm6,%ymm2
1897 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%rcx\),%ymm6,%ymm2
1898 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%rcx\),%ymm6,%ymm2
1899 [       ]*[a-f0-9]+:    c5 cc c2 d4 07          vcmpordps %ymm4,%ymm6,%ymm2
1900 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%rcx\),%ymm6,%ymm2
1901 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%rcx\),%ymm6,%ymm2
1902 [       ]*[a-f0-9]+:    c4 e3 4d 40 d4 07       vdpps  \$0x7,%ymm4,%ymm6,%ymm2
1903 [       ]*[a-f0-9]+:    c4 e3 4d 40 11 07       vdpps  \$0x7,\(%rcx\),%ymm6,%ymm2
1904 [       ]*[a-f0-9]+:    c4 e3 4d 40 11 07       vdpps  \$0x7,\(%rcx\),%ymm6,%ymm2
1905 [       ]*[a-f0-9]+:    c4 e3 4d 06 d4 07       vperm2f128 \$0x7,%ymm4,%ymm6,%ymm2
1906 [       ]*[a-f0-9]+:    c4 e3 4d 06 11 07       vperm2f128 \$0x7,\(%rcx\),%ymm6,%ymm2
1907 [       ]*[a-f0-9]+:    c4 e3 4d 06 11 07       vperm2f128 \$0x7,\(%rcx\),%ymm6,%ymm2
1908 [       ]*[a-f0-9]+:    c5 cd c6 d4 07          vshufpd \$0x7,%ymm4,%ymm6,%ymm2
1909 [       ]*[a-f0-9]+:    c5 cd c6 11 07          vshufpd \$0x7,\(%rcx\),%ymm6,%ymm2
1910 [       ]*[a-f0-9]+:    c5 cd c6 11 07          vshufpd \$0x7,\(%rcx\),%ymm6,%ymm2
1911 [       ]*[a-f0-9]+:    c5 cc c6 d4 07          vshufps \$0x7,%ymm4,%ymm6,%ymm2
1912 [       ]*[a-f0-9]+:    c5 cc c6 11 07          vshufps \$0x7,\(%rcx\),%ymm6,%ymm2
1913 [       ]*[a-f0-9]+:    c5 cc c6 11 07          vshufps \$0x7,\(%rcx\),%ymm6,%ymm2
1914 [       ]*[a-f0-9]+:    c4 e3 6d 4b fe 40       vblendvpd %ymm4,%ymm6,%ymm2,%ymm7
1915 [       ]*[a-f0-9]+:    c4 e3 6d 4b 39 40       vblendvpd %ymm4,\(%rcx\),%ymm2,%ymm7
1916 [       ]*[a-f0-9]+:    c4 e3 6d 4b 39 40       vblendvpd %ymm4,\(%rcx\),%ymm2,%ymm7
1917 [       ]*[a-f0-9]+:    c4 e3 6d 4a fe 40       vblendvps %ymm4,%ymm6,%ymm2,%ymm7
1918 [       ]*[a-f0-9]+:    c4 e3 6d 4a 39 40       vblendvps %ymm4,\(%rcx\),%ymm2,%ymm7
1919 [       ]*[a-f0-9]+:    c4 e3 6d 4a 39 40       vblendvps %ymm4,\(%rcx\),%ymm2,%ymm7
1920 [       ]*[a-f0-9]+:    c4 e3 5d 18 f4 07       vinsertf128 \$0x7,%xmm4,%ymm4,%ymm6
1921 [       ]*[a-f0-9]+:    c4 e3 5d 18 31 07       vinsertf128 \$0x7,\(%rcx\),%ymm4,%ymm6
1922 [       ]*[a-f0-9]+:    c4 e3 5d 18 31 07       vinsertf128 \$0x7,\(%rcx\),%ymm4,%ymm6
1923 [       ]*[a-f0-9]+:    c4 e3 7d 19 e4 07       vextractf128 \$0x7,%ymm4,%xmm4
1924 [       ]*[a-f0-9]+:    c4 e3 7d 19 21 07       vextractf128 \$0x7,%ymm4,\(%rcx\)
1925 [       ]*[a-f0-9]+:    c4 e3 7d 19 21 07       vextractf128 \$0x7,%ymm4,\(%rcx\)
1926 [       ]*[a-f0-9]+:    c4 e2 7d 1a 21          vbroadcastf128 \(%rcx\),%ymm4
1927 [       ]*[a-f0-9]+:    c4 e2 7d 1a 21          vbroadcastf128 \(%rcx\),%ymm4
1928 [       ]*[a-f0-9]+:    c5 f8 5b f4             vcvtdq2ps %xmm4,%xmm6
1929 [       ]*[a-f0-9]+:    c5 f8 5b 21             vcvtdq2ps \(%rcx\),%xmm4
1930 [       ]*[a-f0-9]+:    c5 f8 5b 21             vcvtdq2ps \(%rcx\),%xmm4
1931 [       ]*[a-f0-9]+:    c5 fb e6 f4             vcvtpd2dq %xmm4,%xmm6
1932 [       ]*[a-f0-9]+:    c5 fb e6 21             vcvtpd2dqx \(%rcx\),%xmm4
1933 [       ]*[a-f0-9]+:    c5 f9 5a f4             vcvtpd2ps %xmm4,%xmm6
1934 [       ]*[a-f0-9]+:    c5 f9 5a 21             vcvtpd2psx \(%rcx\),%xmm4
1935 [       ]*[a-f0-9]+:    c5 f9 5b f4             vcvtps2dq %xmm4,%xmm6
1936 [       ]*[a-f0-9]+:    c5 f9 5b 21             vcvtps2dq \(%rcx\),%xmm4
1937 [       ]*[a-f0-9]+:    c5 f9 5b 21             vcvtps2dq \(%rcx\),%xmm4
1938 [       ]*[a-f0-9]+:    c5 f9 e6 f4             vcvttpd2dq %xmm4,%xmm6
1939 [       ]*[a-f0-9]+:    c5 f9 e6 21             vcvttpd2dqx \(%rcx\),%xmm4
1940 [       ]*[a-f0-9]+:    c5 fa 5b f4             vcvttps2dq %xmm4,%xmm6
1941 [       ]*[a-f0-9]+:    c5 fa 5b 21             vcvttps2dq \(%rcx\),%xmm4
1942 [       ]*[a-f0-9]+:    c5 fa 5b 21             vcvttps2dq \(%rcx\),%xmm4
1943 [       ]*[a-f0-9]+:    c5 f9 28 f4             vmovapd %xmm4,%xmm6
1944 [       ]*[a-f0-9]+:    c5 f9 28 21             vmovapd \(%rcx\),%xmm4
1945 [       ]*[a-f0-9]+:    c5 f9 28 21             vmovapd \(%rcx\),%xmm4
1946 [       ]*[a-f0-9]+:    c5 f8 28 f4             vmovaps %xmm4,%xmm6
1947 [       ]*[a-f0-9]+:    c5 f8 28 21             vmovaps \(%rcx\),%xmm4
1948 [       ]*[a-f0-9]+:    c5 f8 28 21             vmovaps \(%rcx\),%xmm4
1949 [       ]*[a-f0-9]+:    c5 f9 6f f4             vmovdqa %xmm4,%xmm6
1950 [       ]*[a-f0-9]+:    c5 f9 6f 21             vmovdqa \(%rcx\),%xmm4
1951 [       ]*[a-f0-9]+:    c5 f9 6f 21             vmovdqa \(%rcx\),%xmm4
1952 [       ]*[a-f0-9]+:    c5 fa 6f f4             vmovdqu %xmm4,%xmm6
1953 [       ]*[a-f0-9]+:    c5 fa 6f 21             vmovdqu \(%rcx\),%xmm4
1954 [       ]*[a-f0-9]+:    c5 fa 6f 21             vmovdqu \(%rcx\),%xmm4
1955 [       ]*[a-f0-9]+:    c5 fa 16 f4             vmovshdup %xmm4,%xmm6
1956 [       ]*[a-f0-9]+:    c5 fa 16 21             vmovshdup \(%rcx\),%xmm4
1957 [       ]*[a-f0-9]+:    c5 fa 16 21             vmovshdup \(%rcx\),%xmm4
1958 [       ]*[a-f0-9]+:    c5 fa 12 f4             vmovsldup %xmm4,%xmm6
1959 [       ]*[a-f0-9]+:    c5 fa 12 21             vmovsldup \(%rcx\),%xmm4
1960 [       ]*[a-f0-9]+:    c5 fa 12 21             vmovsldup \(%rcx\),%xmm4
1961 [       ]*[a-f0-9]+:    c5 f9 10 f4             vmovupd %xmm4,%xmm6
1962 [       ]*[a-f0-9]+:    c5 f9 10 21             vmovupd \(%rcx\),%xmm4
1963 [       ]*[a-f0-9]+:    c5 f9 10 21             vmovupd \(%rcx\),%xmm4
1964 [       ]*[a-f0-9]+:    c5 f8 10 f4             vmovups %xmm4,%xmm6
1965 [       ]*[a-f0-9]+:    c5 f8 10 21             vmovups \(%rcx\),%xmm4
1966 [       ]*[a-f0-9]+:    c5 f8 10 21             vmovups \(%rcx\),%xmm4
1967 [       ]*[a-f0-9]+:    c4 e2 79 1c f4          vpabsb %xmm4,%xmm6
1968 [       ]*[a-f0-9]+:    c4 e2 79 1c 21          vpabsb \(%rcx\),%xmm4
1969 [       ]*[a-f0-9]+:    c4 e2 79 1c 21          vpabsb \(%rcx\),%xmm4
1970 [       ]*[a-f0-9]+:    c4 e2 79 1d f4          vpabsw %xmm4,%xmm6
1971 [       ]*[a-f0-9]+:    c4 e2 79 1d 21          vpabsw \(%rcx\),%xmm4
1972 [       ]*[a-f0-9]+:    c4 e2 79 1d 21          vpabsw \(%rcx\),%xmm4
1973 [       ]*[a-f0-9]+:    c4 e2 79 1e f4          vpabsd %xmm4,%xmm6
1974 [       ]*[a-f0-9]+:    c4 e2 79 1e 21          vpabsd \(%rcx\),%xmm4
1975 [       ]*[a-f0-9]+:    c4 e2 79 1e 21          vpabsd \(%rcx\),%xmm4
1976 [       ]*[a-f0-9]+:    c4 e2 79 41 f4          vphminposuw %xmm4,%xmm6
1977 [       ]*[a-f0-9]+:    c4 e2 79 41 21          vphminposuw \(%rcx\),%xmm4
1978 [       ]*[a-f0-9]+:    c4 e2 79 41 21          vphminposuw \(%rcx\),%xmm4
1979 [       ]*[a-f0-9]+:    c4 e2 79 17 f4          vptest %xmm4,%xmm6
1980 [       ]*[a-f0-9]+:    c4 e2 79 17 21          vptest \(%rcx\),%xmm4
1981 [       ]*[a-f0-9]+:    c4 e2 79 17 21          vptest \(%rcx\),%xmm4
1982 [       ]*[a-f0-9]+:    c4 e2 79 0e f4          vtestps %xmm4,%xmm6
1983 [       ]*[a-f0-9]+:    c4 e2 79 0e 21          vtestps \(%rcx\),%xmm4
1984 [       ]*[a-f0-9]+:    c4 e2 79 0e 21          vtestps \(%rcx\),%xmm4
1985 [       ]*[a-f0-9]+:    c4 e2 79 0f f4          vtestpd %xmm4,%xmm6
1986 [       ]*[a-f0-9]+:    c4 e2 79 0f 21          vtestpd \(%rcx\),%xmm4
1987 [       ]*[a-f0-9]+:    c4 e2 79 0f 21          vtestpd \(%rcx\),%xmm4
1988 [       ]*[a-f0-9]+:    c5 f8 53 f4             vrcpps %xmm4,%xmm6
1989 [       ]*[a-f0-9]+:    c5 f8 53 21             vrcpps \(%rcx\),%xmm4
1990 [       ]*[a-f0-9]+:    c5 f8 53 21             vrcpps \(%rcx\),%xmm4
1991 [       ]*[a-f0-9]+:    c5 f8 52 f4             vrsqrtps %xmm4,%xmm6
1992 [       ]*[a-f0-9]+:    c5 f8 52 21             vrsqrtps \(%rcx\),%xmm4
1993 [       ]*[a-f0-9]+:    c5 f8 52 21             vrsqrtps \(%rcx\),%xmm4
1994 [       ]*[a-f0-9]+:    c5 f9 51 f4             vsqrtpd %xmm4,%xmm6
1995 [       ]*[a-f0-9]+:    c5 f9 51 21             vsqrtpd \(%rcx\),%xmm4
1996 [       ]*[a-f0-9]+:    c5 f9 51 21             vsqrtpd \(%rcx\),%xmm4
1997 [       ]*[a-f0-9]+:    c5 f8 51 f4             vsqrtps %xmm4,%xmm6
1998 [       ]*[a-f0-9]+:    c5 f8 51 21             vsqrtps \(%rcx\),%xmm4
1999 [       ]*[a-f0-9]+:    c5 f8 51 21             vsqrtps \(%rcx\),%xmm4
2000 [       ]*[a-f0-9]+:    c4 e2 79 db f4          vaesimc %xmm4,%xmm6
2001 [       ]*[a-f0-9]+:    c4 e2 79 db 21          vaesimc \(%rcx\),%xmm4
2002 [       ]*[a-f0-9]+:    c4 e2 79 db 21          vaesimc \(%rcx\),%xmm4
2003 [       ]*[a-f0-9]+:    c5 f9 28 f4             vmovapd %xmm4,%xmm6
2004 [       ]*[a-f0-9]+:    c5 f9 29 21             vmovapd %xmm4,\(%rcx\)
2005 [       ]*[a-f0-9]+:    c5 f9 29 21             vmovapd %xmm4,\(%rcx\)
2006 [       ]*[a-f0-9]+:    c5 f8 28 f4             vmovaps %xmm4,%xmm6
2007 [       ]*[a-f0-9]+:    c5 f8 29 21             vmovaps %xmm4,\(%rcx\)
2008 [       ]*[a-f0-9]+:    c5 f8 29 21             vmovaps %xmm4,\(%rcx\)
2009 [       ]*[a-f0-9]+:    c5 f9 6f f4             vmovdqa %xmm4,%xmm6
2010 [       ]*[a-f0-9]+:    c5 f9 7f 21             vmovdqa %xmm4,\(%rcx\)
2011 [       ]*[a-f0-9]+:    c5 f9 7f 21             vmovdqa %xmm4,\(%rcx\)
2012 [       ]*[a-f0-9]+:    c5 fa 6f f4             vmovdqu %xmm4,%xmm6
2013 [       ]*[a-f0-9]+:    c5 fa 7f 21             vmovdqu %xmm4,\(%rcx\)
2014 [       ]*[a-f0-9]+:    c5 fa 7f 21             vmovdqu %xmm4,\(%rcx\)
2015 [       ]*[a-f0-9]+:    c5 f9 10 f4             vmovupd %xmm4,%xmm6
2016 [       ]*[a-f0-9]+:    c5 f9 11 21             vmovupd %xmm4,\(%rcx\)
2017 [       ]*[a-f0-9]+:    c5 f9 11 21             vmovupd %xmm4,\(%rcx\)
2018 [       ]*[a-f0-9]+:    c5 f8 10 f4             vmovups %xmm4,%xmm6
2019 [       ]*[a-f0-9]+:    c5 f8 11 21             vmovups %xmm4,\(%rcx\)
2020 [       ]*[a-f0-9]+:    c5 f8 11 21             vmovups %xmm4,\(%rcx\)
2021 [       ]*[a-f0-9]+:    c5 fb f0 21             vlddqu \(%rcx\),%xmm4
2022 [       ]*[a-f0-9]+:    c5 fb f0 21             vlddqu \(%rcx\),%xmm4
2023 [       ]*[a-f0-9]+:    c4 e2 79 2a 21          vmovntdqa \(%rcx\),%xmm4
2024 [       ]*[a-f0-9]+:    c4 e2 79 2a 21          vmovntdqa \(%rcx\),%xmm4
2025 [       ]*[a-f0-9]+:    c5 f9 e7 21             vmovntdq %xmm4,\(%rcx\)
2026 [       ]*[a-f0-9]+:    c5 f9 e7 21             vmovntdq %xmm4,\(%rcx\)
2027 [       ]*[a-f0-9]+:    c5 f9 2b 21             vmovntpd %xmm4,\(%rcx\)
2028 [       ]*[a-f0-9]+:    c5 f9 2b 21             vmovntpd %xmm4,\(%rcx\)
2029 [       ]*[a-f0-9]+:    c5 f8 2b 21             vmovntps %xmm4,\(%rcx\)
2030 [       ]*[a-f0-9]+:    c5 f8 2b 21             vmovntps %xmm4,\(%rcx\)
2031 [       ]*[a-f0-9]+:    c5 fe e6 e4             vcvtdq2pd %xmm4,%ymm4
2032 [       ]*[a-f0-9]+:    c5 fe e6 21             vcvtdq2pd \(%rcx\),%ymm4
2033 [       ]*[a-f0-9]+:    c5 fe e6 21             vcvtdq2pd \(%rcx\),%ymm4
2034 [       ]*[a-f0-9]+:    c5 fc 5a e4             vcvtps2pd %xmm4,%ymm4
2035 [       ]*[a-f0-9]+:    c5 fc 5a 21             vcvtps2pd \(%rcx\),%ymm4
2036 [       ]*[a-f0-9]+:    c5 fc 5a 21             vcvtps2pd \(%rcx\),%ymm4
2037 [       ]*[a-f0-9]+:    c5 c9 58 d4             vaddpd %xmm4,%xmm6,%xmm2
2038 [       ]*[a-f0-9]+:    c5 c9 58 39             vaddpd \(%rcx\),%xmm6,%xmm7
2039 [       ]*[a-f0-9]+:    c5 c9 58 39             vaddpd \(%rcx\),%xmm6,%xmm7
2040 [       ]*[a-f0-9]+:    c5 c8 58 d4             vaddps %xmm4,%xmm6,%xmm2
2041 [       ]*[a-f0-9]+:    c5 c8 58 39             vaddps \(%rcx\),%xmm6,%xmm7
2042 [       ]*[a-f0-9]+:    c5 c8 58 39             vaddps \(%rcx\),%xmm6,%xmm7
2043 [       ]*[a-f0-9]+:    c5 c9 d0 d4             vaddsubpd %xmm4,%xmm6,%xmm2
2044 [       ]*[a-f0-9]+:    c5 c9 d0 39             vaddsubpd \(%rcx\),%xmm6,%xmm7
2045 [       ]*[a-f0-9]+:    c5 c9 d0 39             vaddsubpd \(%rcx\),%xmm6,%xmm7
2046 [       ]*[a-f0-9]+:    c5 cb d0 d4             vaddsubps %xmm4,%xmm6,%xmm2
2047 [       ]*[a-f0-9]+:    c5 cb d0 39             vaddsubps \(%rcx\),%xmm6,%xmm7
2048 [       ]*[a-f0-9]+:    c5 cb d0 39             vaddsubps \(%rcx\),%xmm6,%xmm7
2049 [       ]*[a-f0-9]+:    c5 c9 55 d4             vandnpd %xmm4,%xmm6,%xmm2
2050 [       ]*[a-f0-9]+:    c5 c9 55 39             vandnpd \(%rcx\),%xmm6,%xmm7
2051 [       ]*[a-f0-9]+:    c5 c9 55 39             vandnpd \(%rcx\),%xmm6,%xmm7
2052 [       ]*[a-f0-9]+:    c5 c8 55 d4             vandnps %xmm4,%xmm6,%xmm2
2053 [       ]*[a-f0-9]+:    c5 c8 55 39             vandnps \(%rcx\),%xmm6,%xmm7
2054 [       ]*[a-f0-9]+:    c5 c8 55 39             vandnps \(%rcx\),%xmm6,%xmm7
2055 [       ]*[a-f0-9]+:    c5 c9 54 d4             vandpd %xmm4,%xmm6,%xmm2
2056 [       ]*[a-f0-9]+:    c5 c9 54 39             vandpd \(%rcx\),%xmm6,%xmm7
2057 [       ]*[a-f0-9]+:    c5 c9 54 39             vandpd \(%rcx\),%xmm6,%xmm7
2058 [       ]*[a-f0-9]+:    c5 c8 54 d4             vandps %xmm4,%xmm6,%xmm2
2059 [       ]*[a-f0-9]+:    c5 c8 54 39             vandps \(%rcx\),%xmm6,%xmm7
2060 [       ]*[a-f0-9]+:    c5 c8 54 39             vandps \(%rcx\),%xmm6,%xmm7
2061 [       ]*[a-f0-9]+:    c5 c9 5e d4             vdivpd %xmm4,%xmm6,%xmm2
2062 [       ]*[a-f0-9]+:    c5 c9 5e 39             vdivpd \(%rcx\),%xmm6,%xmm7
2063 [       ]*[a-f0-9]+:    c5 c9 5e 39             vdivpd \(%rcx\),%xmm6,%xmm7
2064 [       ]*[a-f0-9]+:    c5 c8 5e d4             vdivps %xmm4,%xmm6,%xmm2
2065 [       ]*[a-f0-9]+:    c5 c8 5e 39             vdivps \(%rcx\),%xmm6,%xmm7
2066 [       ]*[a-f0-9]+:    c5 c8 5e 39             vdivps \(%rcx\),%xmm6,%xmm7
2067 [       ]*[a-f0-9]+:    c5 c9 7c d4             vhaddpd %xmm4,%xmm6,%xmm2
2068 [       ]*[a-f0-9]+:    c5 c9 7c 39             vhaddpd \(%rcx\),%xmm6,%xmm7
2069 [       ]*[a-f0-9]+:    c5 c9 7c 39             vhaddpd \(%rcx\),%xmm6,%xmm7
2070 [       ]*[a-f0-9]+:    c5 cb 7c d4             vhaddps %xmm4,%xmm6,%xmm2
2071 [       ]*[a-f0-9]+:    c5 cb 7c 39             vhaddps \(%rcx\),%xmm6,%xmm7
2072 [       ]*[a-f0-9]+:    c5 cb 7c 39             vhaddps \(%rcx\),%xmm6,%xmm7
2073 [       ]*[a-f0-9]+:    c5 c9 7d d4             vhsubpd %xmm4,%xmm6,%xmm2
2074 [       ]*[a-f0-9]+:    c5 c9 7d 39             vhsubpd \(%rcx\),%xmm6,%xmm7
2075 [       ]*[a-f0-9]+:    c5 c9 7d 39             vhsubpd \(%rcx\),%xmm6,%xmm7
2076 [       ]*[a-f0-9]+:    c5 cb 7d d4             vhsubps %xmm4,%xmm6,%xmm2
2077 [       ]*[a-f0-9]+:    c5 cb 7d 39             vhsubps \(%rcx\),%xmm6,%xmm7
2078 [       ]*[a-f0-9]+:    c5 cb 7d 39             vhsubps \(%rcx\),%xmm6,%xmm7
2079 [       ]*[a-f0-9]+:    c5 c9 5f d4             vmaxpd %xmm4,%xmm6,%xmm2
2080 [       ]*[a-f0-9]+:    c5 c9 5f 39             vmaxpd \(%rcx\),%xmm6,%xmm7
2081 [       ]*[a-f0-9]+:    c5 c9 5f 39             vmaxpd \(%rcx\),%xmm6,%xmm7
2082 [       ]*[a-f0-9]+:    c5 c8 5f d4             vmaxps %xmm4,%xmm6,%xmm2
2083 [       ]*[a-f0-9]+:    c5 c8 5f 39             vmaxps \(%rcx\),%xmm6,%xmm7
2084 [       ]*[a-f0-9]+:    c5 c8 5f 39             vmaxps \(%rcx\),%xmm6,%xmm7
2085 [       ]*[a-f0-9]+:    c5 c9 5d d4             vminpd %xmm4,%xmm6,%xmm2
2086 [       ]*[a-f0-9]+:    c5 c9 5d 39             vminpd \(%rcx\),%xmm6,%xmm7
2087 [       ]*[a-f0-9]+:    c5 c9 5d 39             vminpd \(%rcx\),%xmm6,%xmm7
2088 [       ]*[a-f0-9]+:    c5 c8 5d d4             vminps %xmm4,%xmm6,%xmm2
2089 [       ]*[a-f0-9]+:    c5 c8 5d 39             vminps \(%rcx\),%xmm6,%xmm7
2090 [       ]*[a-f0-9]+:    c5 c8 5d 39             vminps \(%rcx\),%xmm6,%xmm7
2091 [       ]*[a-f0-9]+:    c5 c9 59 d4             vmulpd %xmm4,%xmm6,%xmm2
2092 [       ]*[a-f0-9]+:    c5 c9 59 39             vmulpd \(%rcx\),%xmm6,%xmm7
2093 [       ]*[a-f0-9]+:    c5 c9 59 39             vmulpd \(%rcx\),%xmm6,%xmm7
2094 [       ]*[a-f0-9]+:    c5 c8 59 d4             vmulps %xmm4,%xmm6,%xmm2
2095 [       ]*[a-f0-9]+:    c5 c8 59 39             vmulps \(%rcx\),%xmm6,%xmm7
2096 [       ]*[a-f0-9]+:    c5 c8 59 39             vmulps \(%rcx\),%xmm6,%xmm7
2097 [       ]*[a-f0-9]+:    c5 c9 56 d4             vorpd  %xmm4,%xmm6,%xmm2
2098 [       ]*[a-f0-9]+:    c5 c9 56 39             vorpd  \(%rcx\),%xmm6,%xmm7
2099 [       ]*[a-f0-9]+:    c5 c9 56 39             vorpd  \(%rcx\),%xmm6,%xmm7
2100 [       ]*[a-f0-9]+:    c5 c8 56 d4             vorps  %xmm4,%xmm6,%xmm2
2101 [       ]*[a-f0-9]+:    c5 c8 56 39             vorps  \(%rcx\),%xmm6,%xmm7
2102 [       ]*[a-f0-9]+:    c5 c8 56 39             vorps  \(%rcx\),%xmm6,%xmm7
2103 [       ]*[a-f0-9]+:    c5 c9 63 d4             vpacksswb %xmm4,%xmm6,%xmm2
2104 [       ]*[a-f0-9]+:    c5 c9 63 39             vpacksswb \(%rcx\),%xmm6,%xmm7
2105 [       ]*[a-f0-9]+:    c5 c9 63 39             vpacksswb \(%rcx\),%xmm6,%xmm7
2106 [       ]*[a-f0-9]+:    c5 c9 6b d4             vpackssdw %xmm4,%xmm6,%xmm2
2107 [       ]*[a-f0-9]+:    c5 c9 6b 39             vpackssdw \(%rcx\),%xmm6,%xmm7
2108 [       ]*[a-f0-9]+:    c5 c9 6b 39             vpackssdw \(%rcx\),%xmm6,%xmm7
2109 [       ]*[a-f0-9]+:    c5 c9 67 d4             vpackuswb %xmm4,%xmm6,%xmm2
2110 [       ]*[a-f0-9]+:    c5 c9 67 39             vpackuswb \(%rcx\),%xmm6,%xmm7
2111 [       ]*[a-f0-9]+:    c5 c9 67 39             vpackuswb \(%rcx\),%xmm6,%xmm7
2112 [       ]*[a-f0-9]+:    c4 e2 49 2b d4          vpackusdw %xmm4,%xmm6,%xmm2
2113 [       ]*[a-f0-9]+:    c4 e2 49 2b 39          vpackusdw \(%rcx\),%xmm6,%xmm7
2114 [       ]*[a-f0-9]+:    c4 e2 49 2b 39          vpackusdw \(%rcx\),%xmm6,%xmm7
2115 [       ]*[a-f0-9]+:    c5 c9 fc d4             vpaddb %xmm4,%xmm6,%xmm2
2116 [       ]*[a-f0-9]+:    c5 c9 fc 39             vpaddb \(%rcx\),%xmm6,%xmm7
2117 [       ]*[a-f0-9]+:    c5 c9 fc 39             vpaddb \(%rcx\),%xmm6,%xmm7
2118 [       ]*[a-f0-9]+:    c5 c9 fd d4             vpaddw %xmm4,%xmm6,%xmm2
2119 [       ]*[a-f0-9]+:    c5 c9 fd 39             vpaddw \(%rcx\),%xmm6,%xmm7
2120 [       ]*[a-f0-9]+:    c5 c9 fd 39             vpaddw \(%rcx\),%xmm6,%xmm7
2121 [       ]*[a-f0-9]+:    c5 c9 fe d4             vpaddd %xmm4,%xmm6,%xmm2
2122 [       ]*[a-f0-9]+:    c5 c9 fe 39             vpaddd \(%rcx\),%xmm6,%xmm7
2123 [       ]*[a-f0-9]+:    c5 c9 fe 39             vpaddd \(%rcx\),%xmm6,%xmm7
2124 [       ]*[a-f0-9]+:    c5 c9 d4 d4             vpaddq %xmm4,%xmm6,%xmm2
2125 [       ]*[a-f0-9]+:    c5 c9 d4 39             vpaddq \(%rcx\),%xmm6,%xmm7
2126 [       ]*[a-f0-9]+:    c5 c9 d4 39             vpaddq \(%rcx\),%xmm6,%xmm7
2127 [       ]*[a-f0-9]+:    c5 c9 ec d4             vpaddsb %xmm4,%xmm6,%xmm2
2128 [       ]*[a-f0-9]+:    c5 c9 ec 39             vpaddsb \(%rcx\),%xmm6,%xmm7
2129 [       ]*[a-f0-9]+:    c5 c9 ec 39             vpaddsb \(%rcx\),%xmm6,%xmm7
2130 [       ]*[a-f0-9]+:    c5 c9 ed d4             vpaddsw %xmm4,%xmm6,%xmm2
2131 [       ]*[a-f0-9]+:    c5 c9 ed 39             vpaddsw \(%rcx\),%xmm6,%xmm7
2132 [       ]*[a-f0-9]+:    c5 c9 ed 39             vpaddsw \(%rcx\),%xmm6,%xmm7
2133 [       ]*[a-f0-9]+:    c5 c9 dc d4             vpaddusb %xmm4,%xmm6,%xmm2
2134 [       ]*[a-f0-9]+:    c5 c9 dc 39             vpaddusb \(%rcx\),%xmm6,%xmm7
2135 [       ]*[a-f0-9]+:    c5 c9 dc 39             vpaddusb \(%rcx\),%xmm6,%xmm7
2136 [       ]*[a-f0-9]+:    c5 c9 dd d4             vpaddusw %xmm4,%xmm6,%xmm2
2137 [       ]*[a-f0-9]+:    c5 c9 dd 39             vpaddusw \(%rcx\),%xmm6,%xmm7
2138 [       ]*[a-f0-9]+:    c5 c9 dd 39             vpaddusw \(%rcx\),%xmm6,%xmm7
2139 [       ]*[a-f0-9]+:    c5 c9 db d4             vpand  %xmm4,%xmm6,%xmm2
2140 [       ]*[a-f0-9]+:    c5 c9 db 39             vpand  \(%rcx\),%xmm6,%xmm7
2141 [       ]*[a-f0-9]+:    c5 c9 db 39             vpand  \(%rcx\),%xmm6,%xmm7
2142 [       ]*[a-f0-9]+:    c5 c9 df d4             vpandn %xmm4,%xmm6,%xmm2
2143 [       ]*[a-f0-9]+:    c5 c9 df 39             vpandn \(%rcx\),%xmm6,%xmm7
2144 [       ]*[a-f0-9]+:    c5 c9 df 39             vpandn \(%rcx\),%xmm6,%xmm7
2145 [       ]*[a-f0-9]+:    c5 c9 e0 d4             vpavgb %xmm4,%xmm6,%xmm2
2146 [       ]*[a-f0-9]+:    c5 c9 e0 39             vpavgb \(%rcx\),%xmm6,%xmm7
2147 [       ]*[a-f0-9]+:    c5 c9 e0 39             vpavgb \(%rcx\),%xmm6,%xmm7
2148 [       ]*[a-f0-9]+:    c5 c9 e3 d4             vpavgw %xmm4,%xmm6,%xmm2
2149 [       ]*[a-f0-9]+:    c5 c9 e3 39             vpavgw \(%rcx\),%xmm6,%xmm7
2150 [       ]*[a-f0-9]+:    c5 c9 e3 39             vpavgw \(%rcx\),%xmm6,%xmm7
2151 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 00       vpclmullqlqdq %xmm4,%xmm6,%xmm2
2152 [       ]*[a-f0-9]+:    c4 e3 49 44 39 00       vpclmullqlqdq \(%rcx\),%xmm6,%xmm7
2153 [       ]*[a-f0-9]+:    c4 e3 49 44 39 00       vpclmullqlqdq \(%rcx\),%xmm6,%xmm7
2154 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 01       vpclmulhqlqdq %xmm4,%xmm6,%xmm2
2155 [       ]*[a-f0-9]+:    c4 e3 49 44 39 01       vpclmulhqlqdq \(%rcx\),%xmm6,%xmm7
2156 [       ]*[a-f0-9]+:    c4 e3 49 44 39 01       vpclmulhqlqdq \(%rcx\),%xmm6,%xmm7
2157 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 10       vpclmullqhqdq %xmm4,%xmm6,%xmm2
2158 [       ]*[a-f0-9]+:    c4 e3 49 44 39 10       vpclmullqhqdq \(%rcx\),%xmm6,%xmm7
2159 [       ]*[a-f0-9]+:    c4 e3 49 44 39 10       vpclmullqhqdq \(%rcx\),%xmm6,%xmm7
2160 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 11       vpclmulhqhqdq %xmm4,%xmm6,%xmm2
2161 [       ]*[a-f0-9]+:    c4 e3 49 44 39 11       vpclmulhqhqdq \(%rcx\),%xmm6,%xmm7
2162 [       ]*[a-f0-9]+:    c4 e3 49 44 39 11       vpclmulhqhqdq \(%rcx\),%xmm6,%xmm7
2163 [       ]*[a-f0-9]+:    c5 c9 74 d4             vpcmpeqb %xmm4,%xmm6,%xmm2
2164 [       ]*[a-f0-9]+:    c5 c9 74 39             vpcmpeqb \(%rcx\),%xmm6,%xmm7
2165 [       ]*[a-f0-9]+:    c5 c9 74 39             vpcmpeqb \(%rcx\),%xmm6,%xmm7
2166 [       ]*[a-f0-9]+:    c5 c9 75 d4             vpcmpeqw %xmm4,%xmm6,%xmm2
2167 [       ]*[a-f0-9]+:    c5 c9 75 39             vpcmpeqw \(%rcx\),%xmm6,%xmm7
2168 [       ]*[a-f0-9]+:    c5 c9 75 39             vpcmpeqw \(%rcx\),%xmm6,%xmm7
2169 [       ]*[a-f0-9]+:    c5 c9 76 d4             vpcmpeqd %xmm4,%xmm6,%xmm2
2170 [       ]*[a-f0-9]+:    c5 c9 76 39             vpcmpeqd \(%rcx\),%xmm6,%xmm7
2171 [       ]*[a-f0-9]+:    c5 c9 76 39             vpcmpeqd \(%rcx\),%xmm6,%xmm7
2172 [       ]*[a-f0-9]+:    c4 e2 49 29 d4          vpcmpeqq %xmm4,%xmm6,%xmm2
2173 [       ]*[a-f0-9]+:    c4 e2 49 29 39          vpcmpeqq \(%rcx\),%xmm6,%xmm7
2174 [       ]*[a-f0-9]+:    c4 e2 49 29 39          vpcmpeqq \(%rcx\),%xmm6,%xmm7
2175 [       ]*[a-f0-9]+:    c5 c9 64 d4             vpcmpgtb %xmm4,%xmm6,%xmm2
2176 [       ]*[a-f0-9]+:    c5 c9 64 39             vpcmpgtb \(%rcx\),%xmm6,%xmm7
2177 [       ]*[a-f0-9]+:    c5 c9 64 39             vpcmpgtb \(%rcx\),%xmm6,%xmm7
2178 [       ]*[a-f0-9]+:    c5 c9 65 d4             vpcmpgtw %xmm4,%xmm6,%xmm2
2179 [       ]*[a-f0-9]+:    c5 c9 65 39             vpcmpgtw \(%rcx\),%xmm6,%xmm7
2180 [       ]*[a-f0-9]+:    c5 c9 65 39             vpcmpgtw \(%rcx\),%xmm6,%xmm7
2181 [       ]*[a-f0-9]+:    c5 c9 66 d4             vpcmpgtd %xmm4,%xmm6,%xmm2
2182 [       ]*[a-f0-9]+:    c5 c9 66 39             vpcmpgtd \(%rcx\),%xmm6,%xmm7
2183 [       ]*[a-f0-9]+:    c5 c9 66 39             vpcmpgtd \(%rcx\),%xmm6,%xmm7
2184 [       ]*[a-f0-9]+:    c4 e2 49 37 d4          vpcmpgtq %xmm4,%xmm6,%xmm2
2185 [       ]*[a-f0-9]+:    c4 e2 49 37 39          vpcmpgtq \(%rcx\),%xmm6,%xmm7
2186 [       ]*[a-f0-9]+:    c4 e2 49 37 39          vpcmpgtq \(%rcx\),%xmm6,%xmm7
2187 [       ]*[a-f0-9]+:    c4 e2 49 0d d4          vpermilpd %xmm4,%xmm6,%xmm2
2188 [       ]*[a-f0-9]+:    c4 e2 49 0d 39          vpermilpd \(%rcx\),%xmm6,%xmm7
2189 [       ]*[a-f0-9]+:    c4 e2 49 0d 39          vpermilpd \(%rcx\),%xmm6,%xmm7
2190 [       ]*[a-f0-9]+:    c4 e2 49 0c d4          vpermilps %xmm4,%xmm6,%xmm2
2191 [       ]*[a-f0-9]+:    c4 e2 49 0c 39          vpermilps \(%rcx\),%xmm6,%xmm7
2192 [       ]*[a-f0-9]+:    c4 e2 49 0c 39          vpermilps \(%rcx\),%xmm6,%xmm7
2193 [       ]*[a-f0-9]+:    c4 e2 49 01 d4          vphaddw %xmm4,%xmm6,%xmm2
2194 [       ]*[a-f0-9]+:    c4 e2 49 01 39          vphaddw \(%rcx\),%xmm6,%xmm7
2195 [       ]*[a-f0-9]+:    c4 e2 49 01 39          vphaddw \(%rcx\),%xmm6,%xmm7
2196 [       ]*[a-f0-9]+:    c4 e2 49 02 d4          vphaddd %xmm4,%xmm6,%xmm2
2197 [       ]*[a-f0-9]+:    c4 e2 49 02 39          vphaddd \(%rcx\),%xmm6,%xmm7
2198 [       ]*[a-f0-9]+:    c4 e2 49 02 39          vphaddd \(%rcx\),%xmm6,%xmm7
2199 [       ]*[a-f0-9]+:    c4 e2 49 03 d4          vphaddsw %xmm4,%xmm6,%xmm2
2200 [       ]*[a-f0-9]+:    c4 e2 49 03 39          vphaddsw \(%rcx\),%xmm6,%xmm7
2201 [       ]*[a-f0-9]+:    c4 e2 49 03 39          vphaddsw \(%rcx\),%xmm6,%xmm7
2202 [       ]*[a-f0-9]+:    c4 e2 49 05 d4          vphsubw %xmm4,%xmm6,%xmm2
2203 [       ]*[a-f0-9]+:    c4 e2 49 05 39          vphsubw \(%rcx\),%xmm6,%xmm7
2204 [       ]*[a-f0-9]+:    c4 e2 49 05 39          vphsubw \(%rcx\),%xmm6,%xmm7
2205 [       ]*[a-f0-9]+:    c4 e2 49 06 d4          vphsubd %xmm4,%xmm6,%xmm2
2206 [       ]*[a-f0-9]+:    c4 e2 49 06 39          vphsubd \(%rcx\),%xmm6,%xmm7
2207 [       ]*[a-f0-9]+:    c4 e2 49 06 39          vphsubd \(%rcx\),%xmm6,%xmm7
2208 [       ]*[a-f0-9]+:    c4 e2 49 07 d4          vphsubsw %xmm4,%xmm6,%xmm2
2209 [       ]*[a-f0-9]+:    c4 e2 49 07 39          vphsubsw \(%rcx\),%xmm6,%xmm7
2210 [       ]*[a-f0-9]+:    c4 e2 49 07 39          vphsubsw \(%rcx\),%xmm6,%xmm7
2211 [       ]*[a-f0-9]+:    c5 c9 f5 d4             vpmaddwd %xmm4,%xmm6,%xmm2
2212 [       ]*[a-f0-9]+:    c5 c9 f5 39             vpmaddwd \(%rcx\),%xmm6,%xmm7
2213 [       ]*[a-f0-9]+:    c5 c9 f5 39             vpmaddwd \(%rcx\),%xmm6,%xmm7
2214 [       ]*[a-f0-9]+:    c4 e2 49 04 d4          vpmaddubsw %xmm4,%xmm6,%xmm2
2215 [       ]*[a-f0-9]+:    c4 e2 49 04 39          vpmaddubsw \(%rcx\),%xmm6,%xmm7
2216 [       ]*[a-f0-9]+:    c4 e2 49 04 39          vpmaddubsw \(%rcx\),%xmm6,%xmm7
2217 [       ]*[a-f0-9]+:    c4 e2 49 3c d4          vpmaxsb %xmm4,%xmm6,%xmm2
2218 [       ]*[a-f0-9]+:    c4 e2 49 3c 39          vpmaxsb \(%rcx\),%xmm6,%xmm7
2219 [       ]*[a-f0-9]+:    c4 e2 49 3c 39          vpmaxsb \(%rcx\),%xmm6,%xmm7
2220 [       ]*[a-f0-9]+:    c5 c9 ee d4             vpmaxsw %xmm4,%xmm6,%xmm2
2221 [       ]*[a-f0-9]+:    c5 c9 ee 39             vpmaxsw \(%rcx\),%xmm6,%xmm7
2222 [       ]*[a-f0-9]+:    c5 c9 ee 39             vpmaxsw \(%rcx\),%xmm6,%xmm7
2223 [       ]*[a-f0-9]+:    c4 e2 49 3d d4          vpmaxsd %xmm4,%xmm6,%xmm2
2224 [       ]*[a-f0-9]+:    c4 e2 49 3d 39          vpmaxsd \(%rcx\),%xmm6,%xmm7
2225 [       ]*[a-f0-9]+:    c4 e2 49 3d 39          vpmaxsd \(%rcx\),%xmm6,%xmm7
2226 [       ]*[a-f0-9]+:    c5 c9 de d4             vpmaxub %xmm4,%xmm6,%xmm2
2227 [       ]*[a-f0-9]+:    c5 c9 de 39             vpmaxub \(%rcx\),%xmm6,%xmm7
2228 [       ]*[a-f0-9]+:    c5 c9 de 39             vpmaxub \(%rcx\),%xmm6,%xmm7
2229 [       ]*[a-f0-9]+:    c4 e2 49 3e d4          vpmaxuw %xmm4,%xmm6,%xmm2
2230 [       ]*[a-f0-9]+:    c4 e2 49 3e 39          vpmaxuw \(%rcx\),%xmm6,%xmm7
2231 [       ]*[a-f0-9]+:    c4 e2 49 3e 39          vpmaxuw \(%rcx\),%xmm6,%xmm7
2232 [       ]*[a-f0-9]+:    c4 e2 49 3f d4          vpmaxud %xmm4,%xmm6,%xmm2
2233 [       ]*[a-f0-9]+:    c4 e2 49 3f 39          vpmaxud \(%rcx\),%xmm6,%xmm7
2234 [       ]*[a-f0-9]+:    c4 e2 49 3f 39          vpmaxud \(%rcx\),%xmm6,%xmm7
2235 [       ]*[a-f0-9]+:    c4 e2 49 38 d4          vpminsb %xmm4,%xmm6,%xmm2
2236 [       ]*[a-f0-9]+:    c4 e2 49 38 39          vpminsb \(%rcx\),%xmm6,%xmm7
2237 [       ]*[a-f0-9]+:    c4 e2 49 38 39          vpminsb \(%rcx\),%xmm6,%xmm7
2238 [       ]*[a-f0-9]+:    c5 c9 ea d4             vpminsw %xmm4,%xmm6,%xmm2
2239 [       ]*[a-f0-9]+:    c5 c9 ea 39             vpminsw \(%rcx\),%xmm6,%xmm7
2240 [       ]*[a-f0-9]+:    c5 c9 ea 39             vpminsw \(%rcx\),%xmm6,%xmm7
2241 [       ]*[a-f0-9]+:    c4 e2 49 39 d4          vpminsd %xmm4,%xmm6,%xmm2
2242 [       ]*[a-f0-9]+:    c4 e2 49 39 39          vpminsd \(%rcx\),%xmm6,%xmm7
2243 [       ]*[a-f0-9]+:    c4 e2 49 39 39          vpminsd \(%rcx\),%xmm6,%xmm7
2244 [       ]*[a-f0-9]+:    c5 c9 da d4             vpminub %xmm4,%xmm6,%xmm2
2245 [       ]*[a-f0-9]+:    c5 c9 da 39             vpminub \(%rcx\),%xmm6,%xmm7
2246 [       ]*[a-f0-9]+:    c5 c9 da 39             vpminub \(%rcx\),%xmm6,%xmm7
2247 [       ]*[a-f0-9]+:    c4 e2 49 3a d4          vpminuw %xmm4,%xmm6,%xmm2
2248 [       ]*[a-f0-9]+:    c4 e2 49 3a 39          vpminuw \(%rcx\),%xmm6,%xmm7
2249 [       ]*[a-f0-9]+:    c4 e2 49 3a 39          vpminuw \(%rcx\),%xmm6,%xmm7
2250 [       ]*[a-f0-9]+:    c4 e2 49 3b d4          vpminud %xmm4,%xmm6,%xmm2
2251 [       ]*[a-f0-9]+:    c4 e2 49 3b 39          vpminud \(%rcx\),%xmm6,%xmm7
2252 [       ]*[a-f0-9]+:    c4 e2 49 3b 39          vpminud \(%rcx\),%xmm6,%xmm7
2253 [       ]*[a-f0-9]+:    c5 c9 e4 d4             vpmulhuw %xmm4,%xmm6,%xmm2
2254 [       ]*[a-f0-9]+:    c5 c9 e4 39             vpmulhuw \(%rcx\),%xmm6,%xmm7
2255 [       ]*[a-f0-9]+:    c5 c9 e4 39             vpmulhuw \(%rcx\),%xmm6,%xmm7
2256 [       ]*[a-f0-9]+:    c4 e2 49 0b d4          vpmulhrsw %xmm4,%xmm6,%xmm2
2257 [       ]*[a-f0-9]+:    c4 e2 49 0b 39          vpmulhrsw \(%rcx\),%xmm6,%xmm7
2258 [       ]*[a-f0-9]+:    c4 e2 49 0b 39          vpmulhrsw \(%rcx\),%xmm6,%xmm7
2259 [       ]*[a-f0-9]+:    c5 c9 e5 d4             vpmulhw %xmm4,%xmm6,%xmm2
2260 [       ]*[a-f0-9]+:    c5 c9 e5 39             vpmulhw \(%rcx\),%xmm6,%xmm7
2261 [       ]*[a-f0-9]+:    c5 c9 e5 39             vpmulhw \(%rcx\),%xmm6,%xmm7
2262 [       ]*[a-f0-9]+:    c5 c9 d5 d4             vpmullw %xmm4,%xmm6,%xmm2
2263 [       ]*[a-f0-9]+:    c5 c9 d5 39             vpmullw \(%rcx\),%xmm6,%xmm7
2264 [       ]*[a-f0-9]+:    c5 c9 d5 39             vpmullw \(%rcx\),%xmm6,%xmm7
2265 [       ]*[a-f0-9]+:    c4 e2 49 40 d4          vpmulld %xmm4,%xmm6,%xmm2
2266 [       ]*[a-f0-9]+:    c4 e2 49 40 39          vpmulld \(%rcx\),%xmm6,%xmm7
2267 [       ]*[a-f0-9]+:    c4 e2 49 40 39          vpmulld \(%rcx\),%xmm6,%xmm7
2268 [       ]*[a-f0-9]+:    c5 c9 f4 d4             vpmuludq %xmm4,%xmm6,%xmm2
2269 [       ]*[a-f0-9]+:    c5 c9 f4 39             vpmuludq \(%rcx\),%xmm6,%xmm7
2270 [       ]*[a-f0-9]+:    c5 c9 f4 39             vpmuludq \(%rcx\),%xmm6,%xmm7
2271 [       ]*[a-f0-9]+:    c4 e2 49 28 d4          vpmuldq %xmm4,%xmm6,%xmm2
2272 [       ]*[a-f0-9]+:    c4 e2 49 28 39          vpmuldq \(%rcx\),%xmm6,%xmm7
2273 [       ]*[a-f0-9]+:    c4 e2 49 28 39          vpmuldq \(%rcx\),%xmm6,%xmm7
2274 [       ]*[a-f0-9]+:    c5 c9 eb d4             vpor   %xmm4,%xmm6,%xmm2
2275 [       ]*[a-f0-9]+:    c5 c9 eb 39             vpor   \(%rcx\),%xmm6,%xmm7
2276 [       ]*[a-f0-9]+:    c5 c9 eb 39             vpor   \(%rcx\),%xmm6,%xmm7
2277 [       ]*[a-f0-9]+:    c5 c9 f6 d4             vpsadbw %xmm4,%xmm6,%xmm2
2278 [       ]*[a-f0-9]+:    c5 c9 f6 39             vpsadbw \(%rcx\),%xmm6,%xmm7
2279 [       ]*[a-f0-9]+:    c5 c9 f6 39             vpsadbw \(%rcx\),%xmm6,%xmm7
2280 [       ]*[a-f0-9]+:    c4 e2 49 00 d4          vpshufb %xmm4,%xmm6,%xmm2
2281 [       ]*[a-f0-9]+:    c4 e2 49 00 39          vpshufb \(%rcx\),%xmm6,%xmm7
2282 [       ]*[a-f0-9]+:    c4 e2 49 00 39          vpshufb \(%rcx\),%xmm6,%xmm7
2283 [       ]*[a-f0-9]+:    c4 e2 49 08 d4          vpsignb %xmm4,%xmm6,%xmm2
2284 [       ]*[a-f0-9]+:    c4 e2 49 08 39          vpsignb \(%rcx\),%xmm6,%xmm7
2285 [       ]*[a-f0-9]+:    c4 e2 49 08 39          vpsignb \(%rcx\),%xmm6,%xmm7
2286 [       ]*[a-f0-9]+:    c4 e2 49 09 d4          vpsignw %xmm4,%xmm6,%xmm2
2287 [       ]*[a-f0-9]+:    c4 e2 49 09 39          vpsignw \(%rcx\),%xmm6,%xmm7
2288 [       ]*[a-f0-9]+:    c4 e2 49 09 39          vpsignw \(%rcx\),%xmm6,%xmm7
2289 [       ]*[a-f0-9]+:    c4 e2 49 0a d4          vpsignd %xmm4,%xmm6,%xmm2
2290 [       ]*[a-f0-9]+:    c4 e2 49 0a 39          vpsignd \(%rcx\),%xmm6,%xmm7
2291 [       ]*[a-f0-9]+:    c4 e2 49 0a 39          vpsignd \(%rcx\),%xmm6,%xmm7
2292 [       ]*[a-f0-9]+:    c5 c9 f1 d4             vpsllw %xmm4,%xmm6,%xmm2
2293 [       ]*[a-f0-9]+:    c5 c9 f1 39             vpsllw \(%rcx\),%xmm6,%xmm7
2294 [       ]*[a-f0-9]+:    c5 c9 f1 39             vpsllw \(%rcx\),%xmm6,%xmm7
2295 [       ]*[a-f0-9]+:    c5 c9 f2 d4             vpslld %xmm4,%xmm6,%xmm2
2296 [       ]*[a-f0-9]+:    c5 c9 f2 39             vpslld \(%rcx\),%xmm6,%xmm7
2297 [       ]*[a-f0-9]+:    c5 c9 f2 39             vpslld \(%rcx\),%xmm6,%xmm7
2298 [       ]*[a-f0-9]+:    c5 c9 f3 d4             vpsllq %xmm4,%xmm6,%xmm2
2299 [       ]*[a-f0-9]+:    c5 c9 f3 39             vpsllq \(%rcx\),%xmm6,%xmm7
2300 [       ]*[a-f0-9]+:    c5 c9 f3 39             vpsllq \(%rcx\),%xmm6,%xmm7
2301 [       ]*[a-f0-9]+:    c5 c9 e1 d4             vpsraw %xmm4,%xmm6,%xmm2
2302 [       ]*[a-f0-9]+:    c5 c9 e1 39             vpsraw \(%rcx\),%xmm6,%xmm7
2303 [       ]*[a-f0-9]+:    c5 c9 e1 39             vpsraw \(%rcx\),%xmm6,%xmm7
2304 [       ]*[a-f0-9]+:    c5 c9 e2 d4             vpsrad %xmm4,%xmm6,%xmm2
2305 [       ]*[a-f0-9]+:    c5 c9 e2 39             vpsrad \(%rcx\),%xmm6,%xmm7
2306 [       ]*[a-f0-9]+:    c5 c9 e2 39             vpsrad \(%rcx\),%xmm6,%xmm7
2307 [       ]*[a-f0-9]+:    c5 c9 d1 d4             vpsrlw %xmm4,%xmm6,%xmm2
2308 [       ]*[a-f0-9]+:    c5 c9 d1 39             vpsrlw \(%rcx\),%xmm6,%xmm7
2309 [       ]*[a-f0-9]+:    c5 c9 d1 39             vpsrlw \(%rcx\),%xmm6,%xmm7
2310 [       ]*[a-f0-9]+:    c5 c9 d2 d4             vpsrld %xmm4,%xmm6,%xmm2
2311 [       ]*[a-f0-9]+:    c5 c9 d2 39             vpsrld \(%rcx\),%xmm6,%xmm7
2312 [       ]*[a-f0-9]+:    c5 c9 d2 39             vpsrld \(%rcx\),%xmm6,%xmm7
2313 [       ]*[a-f0-9]+:    c5 c9 d3 d4             vpsrlq %xmm4,%xmm6,%xmm2
2314 [       ]*[a-f0-9]+:    c5 c9 d3 39             vpsrlq \(%rcx\),%xmm6,%xmm7
2315 [       ]*[a-f0-9]+:    c5 c9 d3 39             vpsrlq \(%rcx\),%xmm6,%xmm7
2316 [       ]*[a-f0-9]+:    c5 c9 f8 d4             vpsubb %xmm4,%xmm6,%xmm2
2317 [       ]*[a-f0-9]+:    c5 c9 f8 39             vpsubb \(%rcx\),%xmm6,%xmm7
2318 [       ]*[a-f0-9]+:    c5 c9 f8 39             vpsubb \(%rcx\),%xmm6,%xmm7
2319 [       ]*[a-f0-9]+:    c5 c9 f9 d4             vpsubw %xmm4,%xmm6,%xmm2
2320 [       ]*[a-f0-9]+:    c5 c9 f9 39             vpsubw \(%rcx\),%xmm6,%xmm7
2321 [       ]*[a-f0-9]+:    c5 c9 f9 39             vpsubw \(%rcx\),%xmm6,%xmm7
2322 [       ]*[a-f0-9]+:    c5 c9 fa d4             vpsubd %xmm4,%xmm6,%xmm2
2323 [       ]*[a-f0-9]+:    c5 c9 fa 39             vpsubd \(%rcx\),%xmm6,%xmm7
2324 [       ]*[a-f0-9]+:    c5 c9 fa 39             vpsubd \(%rcx\),%xmm6,%xmm7
2325 [       ]*[a-f0-9]+:    c5 c9 fb d4             vpsubq %xmm4,%xmm6,%xmm2
2326 [       ]*[a-f0-9]+:    c5 c9 fb 39             vpsubq \(%rcx\),%xmm6,%xmm7
2327 [       ]*[a-f0-9]+:    c5 c9 fb 39             vpsubq \(%rcx\),%xmm6,%xmm7
2328 [       ]*[a-f0-9]+:    c5 c9 e8 d4             vpsubsb %xmm4,%xmm6,%xmm2
2329 [       ]*[a-f0-9]+:    c5 c9 e8 39             vpsubsb \(%rcx\),%xmm6,%xmm7
2330 [       ]*[a-f0-9]+:    c5 c9 e8 39             vpsubsb \(%rcx\),%xmm6,%xmm7
2331 [       ]*[a-f0-9]+:    c5 c9 e9 d4             vpsubsw %xmm4,%xmm6,%xmm2
2332 [       ]*[a-f0-9]+:    c5 c9 e9 39             vpsubsw \(%rcx\),%xmm6,%xmm7
2333 [       ]*[a-f0-9]+:    c5 c9 e9 39             vpsubsw \(%rcx\),%xmm6,%xmm7
2334 [       ]*[a-f0-9]+:    c5 c9 d8 d4             vpsubusb %xmm4,%xmm6,%xmm2
2335 [       ]*[a-f0-9]+:    c5 c9 d8 39             vpsubusb \(%rcx\),%xmm6,%xmm7
2336 [       ]*[a-f0-9]+:    c5 c9 d8 39             vpsubusb \(%rcx\),%xmm6,%xmm7
2337 [       ]*[a-f0-9]+:    c5 c9 d9 d4             vpsubusw %xmm4,%xmm6,%xmm2
2338 [       ]*[a-f0-9]+:    c5 c9 d9 39             vpsubusw \(%rcx\),%xmm6,%xmm7
2339 [       ]*[a-f0-9]+:    c5 c9 d9 39             vpsubusw \(%rcx\),%xmm6,%xmm7
2340 [       ]*[a-f0-9]+:    c5 c9 68 d4             vpunpckhbw %xmm4,%xmm6,%xmm2
2341 [       ]*[a-f0-9]+:    c5 c9 68 39             vpunpckhbw \(%rcx\),%xmm6,%xmm7
2342 [       ]*[a-f0-9]+:    c5 c9 68 39             vpunpckhbw \(%rcx\),%xmm6,%xmm7
2343 [       ]*[a-f0-9]+:    c5 c9 69 d4             vpunpckhwd %xmm4,%xmm6,%xmm2
2344 [       ]*[a-f0-9]+:    c5 c9 69 39             vpunpckhwd \(%rcx\),%xmm6,%xmm7
2345 [       ]*[a-f0-9]+:    c5 c9 69 39             vpunpckhwd \(%rcx\),%xmm6,%xmm7
2346 [       ]*[a-f0-9]+:    c5 c9 6a d4             vpunpckhdq %xmm4,%xmm6,%xmm2
2347 [       ]*[a-f0-9]+:    c5 c9 6a 39             vpunpckhdq \(%rcx\),%xmm6,%xmm7
2348 [       ]*[a-f0-9]+:    c5 c9 6a 39             vpunpckhdq \(%rcx\),%xmm6,%xmm7
2349 [       ]*[a-f0-9]+:    c5 c9 6d d4             vpunpckhqdq %xmm4,%xmm6,%xmm2
2350 [       ]*[a-f0-9]+:    c5 c9 6d 39             vpunpckhqdq \(%rcx\),%xmm6,%xmm7
2351 [       ]*[a-f0-9]+:    c5 c9 6d 39             vpunpckhqdq \(%rcx\),%xmm6,%xmm7
2352 [       ]*[a-f0-9]+:    c5 c9 60 d4             vpunpcklbw %xmm4,%xmm6,%xmm2
2353 [       ]*[a-f0-9]+:    c5 c9 60 39             vpunpcklbw \(%rcx\),%xmm6,%xmm7
2354 [       ]*[a-f0-9]+:    c5 c9 60 39             vpunpcklbw \(%rcx\),%xmm6,%xmm7
2355 [       ]*[a-f0-9]+:    c5 c9 61 d4             vpunpcklwd %xmm4,%xmm6,%xmm2
2356 [       ]*[a-f0-9]+:    c5 c9 61 39             vpunpcklwd \(%rcx\),%xmm6,%xmm7
2357 [       ]*[a-f0-9]+:    c5 c9 61 39             vpunpcklwd \(%rcx\),%xmm6,%xmm7
2358 [       ]*[a-f0-9]+:    c5 c9 62 d4             vpunpckldq %xmm4,%xmm6,%xmm2
2359 [       ]*[a-f0-9]+:    c5 c9 62 39             vpunpckldq \(%rcx\),%xmm6,%xmm7
2360 [       ]*[a-f0-9]+:    c5 c9 62 39             vpunpckldq \(%rcx\),%xmm6,%xmm7
2361 [       ]*[a-f0-9]+:    c5 c9 6c d4             vpunpcklqdq %xmm4,%xmm6,%xmm2
2362 [       ]*[a-f0-9]+:    c5 c9 6c 39             vpunpcklqdq \(%rcx\),%xmm6,%xmm7
2363 [       ]*[a-f0-9]+:    c5 c9 6c 39             vpunpcklqdq \(%rcx\),%xmm6,%xmm7
2364 [       ]*[a-f0-9]+:    c5 c9 ef d4             vpxor  %xmm4,%xmm6,%xmm2
2365 [       ]*[a-f0-9]+:    c5 c9 ef 39             vpxor  \(%rcx\),%xmm6,%xmm7
2366 [       ]*[a-f0-9]+:    c5 c9 ef 39             vpxor  \(%rcx\),%xmm6,%xmm7
2367 [       ]*[a-f0-9]+:    c5 c9 5c d4             vsubpd %xmm4,%xmm6,%xmm2
2368 [       ]*[a-f0-9]+:    c5 c9 5c 39             vsubpd \(%rcx\),%xmm6,%xmm7
2369 [       ]*[a-f0-9]+:    c5 c9 5c 39             vsubpd \(%rcx\),%xmm6,%xmm7
2370 [       ]*[a-f0-9]+:    c5 c8 5c d4             vsubps %xmm4,%xmm6,%xmm2
2371 [       ]*[a-f0-9]+:    c5 c8 5c 39             vsubps \(%rcx\),%xmm6,%xmm7
2372 [       ]*[a-f0-9]+:    c5 c8 5c 39             vsubps \(%rcx\),%xmm6,%xmm7
2373 [       ]*[a-f0-9]+:    c5 c9 15 d4             vunpckhpd %xmm4,%xmm6,%xmm2
2374 [       ]*[a-f0-9]+:    c5 c9 15 39             vunpckhpd \(%rcx\),%xmm6,%xmm7
2375 [       ]*[a-f0-9]+:    c5 c9 15 39             vunpckhpd \(%rcx\),%xmm6,%xmm7
2376 [       ]*[a-f0-9]+:    c5 c8 15 d4             vunpckhps %xmm4,%xmm6,%xmm2
2377 [       ]*[a-f0-9]+:    c5 c8 15 39             vunpckhps \(%rcx\),%xmm6,%xmm7
2378 [       ]*[a-f0-9]+:    c5 c8 15 39             vunpckhps \(%rcx\),%xmm6,%xmm7
2379 [       ]*[a-f0-9]+:    c5 c9 14 d4             vunpcklpd %xmm4,%xmm6,%xmm2
2380 [       ]*[a-f0-9]+:    c5 c9 14 39             vunpcklpd \(%rcx\),%xmm6,%xmm7
2381 [       ]*[a-f0-9]+:    c5 c9 14 39             vunpcklpd \(%rcx\),%xmm6,%xmm7
2382 [       ]*[a-f0-9]+:    c5 c8 14 d4             vunpcklps %xmm4,%xmm6,%xmm2
2383 [       ]*[a-f0-9]+:    c5 c8 14 39             vunpcklps \(%rcx\),%xmm6,%xmm7
2384 [       ]*[a-f0-9]+:    c5 c8 14 39             vunpcklps \(%rcx\),%xmm6,%xmm7
2385 [       ]*[a-f0-9]+:    c5 c9 57 d4             vxorpd %xmm4,%xmm6,%xmm2
2386 [       ]*[a-f0-9]+:    c5 c9 57 39             vxorpd \(%rcx\),%xmm6,%xmm7
2387 [       ]*[a-f0-9]+:    c5 c9 57 39             vxorpd \(%rcx\),%xmm6,%xmm7
2388 [       ]*[a-f0-9]+:    c5 c8 57 d4             vxorps %xmm4,%xmm6,%xmm2
2389 [       ]*[a-f0-9]+:    c5 c8 57 39             vxorps \(%rcx\),%xmm6,%xmm7
2390 [       ]*[a-f0-9]+:    c5 c8 57 39             vxorps \(%rcx\),%xmm6,%xmm7
2391 [       ]*[a-f0-9]+:    c4 e2 49 dc d4          vaesenc %xmm4,%xmm6,%xmm2
2392 [       ]*[a-f0-9]+:    c4 e2 49 dc 39          vaesenc \(%rcx\),%xmm6,%xmm7
2393 [       ]*[a-f0-9]+:    c4 e2 49 dc 39          vaesenc \(%rcx\),%xmm6,%xmm7
2394 [       ]*[a-f0-9]+:    c4 e2 49 dd d4          vaesenclast %xmm4,%xmm6,%xmm2
2395 [       ]*[a-f0-9]+:    c4 e2 49 dd 39          vaesenclast \(%rcx\),%xmm6,%xmm7
2396 [       ]*[a-f0-9]+:    c4 e2 49 dd 39          vaesenclast \(%rcx\),%xmm6,%xmm7
2397 [       ]*[a-f0-9]+:    c4 e2 49 de d4          vaesdec %xmm4,%xmm6,%xmm2
2398 [       ]*[a-f0-9]+:    c4 e2 49 de 39          vaesdec \(%rcx\),%xmm6,%xmm7
2399 [       ]*[a-f0-9]+:    c4 e2 49 de 39          vaesdec \(%rcx\),%xmm6,%xmm7
2400 [       ]*[a-f0-9]+:    c4 e2 49 df d4          vaesdeclast %xmm4,%xmm6,%xmm2
2401 [       ]*[a-f0-9]+:    c4 e2 49 df 39          vaesdeclast \(%rcx\),%xmm6,%xmm7
2402 [       ]*[a-f0-9]+:    c4 e2 49 df 39          vaesdeclast \(%rcx\),%xmm6,%xmm7
2403 [       ]*[a-f0-9]+:    c5 c9 c2 d4 00          vcmpeqpd %xmm4,%xmm6,%xmm2
2404 [       ]*[a-f0-9]+:    c5 c9 c2 39 00          vcmpeqpd \(%rcx\),%xmm6,%xmm7
2405 [       ]*[a-f0-9]+:    c5 c9 c2 39 00          vcmpeqpd \(%rcx\),%xmm6,%xmm7
2406 [       ]*[a-f0-9]+:    c5 c9 c2 d4 01          vcmpltpd %xmm4,%xmm6,%xmm2
2407 [       ]*[a-f0-9]+:    c5 c9 c2 39 01          vcmpltpd \(%rcx\),%xmm6,%xmm7
2408 [       ]*[a-f0-9]+:    c5 c9 c2 39 01          vcmpltpd \(%rcx\),%xmm6,%xmm7
2409 [       ]*[a-f0-9]+:    c5 c9 c2 d4 02          vcmplepd %xmm4,%xmm6,%xmm2
2410 [       ]*[a-f0-9]+:    c5 c9 c2 39 02          vcmplepd \(%rcx\),%xmm6,%xmm7
2411 [       ]*[a-f0-9]+:    c5 c9 c2 39 02          vcmplepd \(%rcx\),%xmm6,%xmm7
2412 [       ]*[a-f0-9]+:    c5 c9 c2 d4 03          vcmpunordpd %xmm4,%xmm6,%xmm2
2413 [       ]*[a-f0-9]+:    c5 c9 c2 39 03          vcmpunordpd \(%rcx\),%xmm6,%xmm7
2414 [       ]*[a-f0-9]+:    c5 c9 c2 39 03          vcmpunordpd \(%rcx\),%xmm6,%xmm7
2415 [       ]*[a-f0-9]+:    c5 c9 c2 d4 04          vcmpneqpd %xmm4,%xmm6,%xmm2
2416 [       ]*[a-f0-9]+:    c5 c9 c2 39 04          vcmpneqpd \(%rcx\),%xmm6,%xmm7
2417 [       ]*[a-f0-9]+:    c5 c9 c2 39 04          vcmpneqpd \(%rcx\),%xmm6,%xmm7
2418 [       ]*[a-f0-9]+:    c5 c9 c2 d4 05          vcmpnltpd %xmm4,%xmm6,%xmm2
2419 [       ]*[a-f0-9]+:    c5 c9 c2 39 05          vcmpnltpd \(%rcx\),%xmm6,%xmm7
2420 [       ]*[a-f0-9]+:    c5 c9 c2 39 05          vcmpnltpd \(%rcx\),%xmm6,%xmm7
2421 [       ]*[a-f0-9]+:    c5 c9 c2 d4 06          vcmpnlepd %xmm4,%xmm6,%xmm2
2422 [       ]*[a-f0-9]+:    c5 c9 c2 39 06          vcmpnlepd \(%rcx\),%xmm6,%xmm7
2423 [       ]*[a-f0-9]+:    c5 c9 c2 39 06          vcmpnlepd \(%rcx\),%xmm6,%xmm7
2424 [       ]*[a-f0-9]+:    c5 c9 c2 d4 07          vcmpordpd %xmm4,%xmm6,%xmm2
2425 [       ]*[a-f0-9]+:    c5 c9 c2 39 07          vcmpordpd \(%rcx\),%xmm6,%xmm7
2426 [       ]*[a-f0-9]+:    c5 c9 c2 39 07          vcmpordpd \(%rcx\),%xmm6,%xmm7
2427 [       ]*[a-f0-9]+:    c5 c9 c2 d4 08          vcmpeq_uqpd %xmm4,%xmm6,%xmm2
2428 [       ]*[a-f0-9]+:    c5 c9 c2 39 08          vcmpeq_uqpd \(%rcx\),%xmm6,%xmm7
2429 [       ]*[a-f0-9]+:    c5 c9 c2 39 08          vcmpeq_uqpd \(%rcx\),%xmm6,%xmm7
2430 [       ]*[a-f0-9]+:    c5 c9 c2 d4 09          vcmpngepd %xmm4,%xmm6,%xmm2
2431 [       ]*[a-f0-9]+:    c5 c9 c2 39 09          vcmpngepd \(%rcx\),%xmm6,%xmm7
2432 [       ]*[a-f0-9]+:    c5 c9 c2 39 09          vcmpngepd \(%rcx\),%xmm6,%xmm7
2433 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0a          vcmpngtpd %xmm4,%xmm6,%xmm2
2434 [       ]*[a-f0-9]+:    c5 c9 c2 39 0a          vcmpngtpd \(%rcx\),%xmm6,%xmm7
2435 [       ]*[a-f0-9]+:    c5 c9 c2 39 0a          vcmpngtpd \(%rcx\),%xmm6,%xmm7
2436 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0b          vcmpfalsepd %xmm4,%xmm6,%xmm2
2437 [       ]*[a-f0-9]+:    c5 c9 c2 39 0b          vcmpfalsepd \(%rcx\),%xmm6,%xmm7
2438 [       ]*[a-f0-9]+:    c5 c9 c2 39 0b          vcmpfalsepd \(%rcx\),%xmm6,%xmm7
2439 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0c          vcmpneq_oqpd %xmm4,%xmm6,%xmm2
2440 [       ]*[a-f0-9]+:    c5 c9 c2 39 0c          vcmpneq_oqpd \(%rcx\),%xmm6,%xmm7
2441 [       ]*[a-f0-9]+:    c5 c9 c2 39 0c          vcmpneq_oqpd \(%rcx\),%xmm6,%xmm7
2442 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0d          vcmpgepd %xmm4,%xmm6,%xmm2
2443 [       ]*[a-f0-9]+:    c5 c9 c2 39 0d          vcmpgepd \(%rcx\),%xmm6,%xmm7
2444 [       ]*[a-f0-9]+:    c5 c9 c2 39 0d          vcmpgepd \(%rcx\),%xmm6,%xmm7
2445 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0e          vcmpgtpd %xmm4,%xmm6,%xmm2
2446 [       ]*[a-f0-9]+:    c5 c9 c2 39 0e          vcmpgtpd \(%rcx\),%xmm6,%xmm7
2447 [       ]*[a-f0-9]+:    c5 c9 c2 39 0e          vcmpgtpd \(%rcx\),%xmm6,%xmm7
2448 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0f          vcmptruepd %xmm4,%xmm6,%xmm2
2449 [       ]*[a-f0-9]+:    c5 c9 c2 39 0f          vcmptruepd \(%rcx\),%xmm6,%xmm7
2450 [       ]*[a-f0-9]+:    c5 c9 c2 39 0f          vcmptruepd \(%rcx\),%xmm6,%xmm7
2451 [       ]*[a-f0-9]+:    c5 c9 c2 d4 10          vcmpeq_ospd %xmm4,%xmm6,%xmm2
2452 [       ]*[a-f0-9]+:    c5 c9 c2 39 10          vcmpeq_ospd \(%rcx\),%xmm6,%xmm7
2453 [       ]*[a-f0-9]+:    c5 c9 c2 39 10          vcmpeq_ospd \(%rcx\),%xmm6,%xmm7
2454 [       ]*[a-f0-9]+:    c5 c9 c2 d4 11          vcmplt_oqpd %xmm4,%xmm6,%xmm2
2455 [       ]*[a-f0-9]+:    c5 c9 c2 39 11          vcmplt_oqpd \(%rcx\),%xmm6,%xmm7
2456 [       ]*[a-f0-9]+:    c5 c9 c2 39 11          vcmplt_oqpd \(%rcx\),%xmm6,%xmm7
2457 [       ]*[a-f0-9]+:    c5 c9 c2 d4 12          vcmple_oqpd %xmm4,%xmm6,%xmm2
2458 [       ]*[a-f0-9]+:    c5 c9 c2 39 12          vcmple_oqpd \(%rcx\),%xmm6,%xmm7
2459 [       ]*[a-f0-9]+:    c5 c9 c2 39 12          vcmple_oqpd \(%rcx\),%xmm6,%xmm7
2460 [       ]*[a-f0-9]+:    c5 c9 c2 d4 13          vcmpunord_spd %xmm4,%xmm6,%xmm2
2461 [       ]*[a-f0-9]+:    c5 c9 c2 39 13          vcmpunord_spd \(%rcx\),%xmm6,%xmm7
2462 [       ]*[a-f0-9]+:    c5 c9 c2 39 13          vcmpunord_spd \(%rcx\),%xmm6,%xmm7
2463 [       ]*[a-f0-9]+:    c5 c9 c2 d4 14          vcmpneq_uspd %xmm4,%xmm6,%xmm2
2464 [       ]*[a-f0-9]+:    c5 c9 c2 39 14          vcmpneq_uspd \(%rcx\),%xmm6,%xmm7
2465 [       ]*[a-f0-9]+:    c5 c9 c2 39 14          vcmpneq_uspd \(%rcx\),%xmm6,%xmm7
2466 [       ]*[a-f0-9]+:    c5 c9 c2 d4 15          vcmpnlt_uqpd %xmm4,%xmm6,%xmm2
2467 [       ]*[a-f0-9]+:    c5 c9 c2 39 15          vcmpnlt_uqpd \(%rcx\),%xmm6,%xmm7
2468 [       ]*[a-f0-9]+:    c5 c9 c2 39 15          vcmpnlt_uqpd \(%rcx\),%xmm6,%xmm7
2469 [       ]*[a-f0-9]+:    c5 c9 c2 d4 16          vcmpnle_uqpd %xmm4,%xmm6,%xmm2
2470 [       ]*[a-f0-9]+:    c5 c9 c2 39 16          vcmpnle_uqpd \(%rcx\),%xmm6,%xmm7
2471 [       ]*[a-f0-9]+:    c5 c9 c2 39 16          vcmpnle_uqpd \(%rcx\),%xmm6,%xmm7
2472 [       ]*[a-f0-9]+:    c5 c9 c2 d4 17          vcmpord_spd %xmm4,%xmm6,%xmm2
2473 [       ]*[a-f0-9]+:    c5 c9 c2 39 17          vcmpord_spd \(%rcx\),%xmm6,%xmm7
2474 [       ]*[a-f0-9]+:    c5 c9 c2 39 17          vcmpord_spd \(%rcx\),%xmm6,%xmm7
2475 [       ]*[a-f0-9]+:    c5 c9 c2 d4 18          vcmpeq_uspd %xmm4,%xmm6,%xmm2
2476 [       ]*[a-f0-9]+:    c5 c9 c2 39 18          vcmpeq_uspd \(%rcx\),%xmm6,%xmm7
2477 [       ]*[a-f0-9]+:    c5 c9 c2 39 18          vcmpeq_uspd \(%rcx\),%xmm6,%xmm7
2478 [       ]*[a-f0-9]+:    c5 c9 c2 d4 19          vcmpnge_uqpd %xmm4,%xmm6,%xmm2
2479 [       ]*[a-f0-9]+:    c5 c9 c2 39 19          vcmpnge_uqpd \(%rcx\),%xmm6,%xmm7
2480 [       ]*[a-f0-9]+:    c5 c9 c2 39 19          vcmpnge_uqpd \(%rcx\),%xmm6,%xmm7
2481 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1a          vcmpngt_uqpd %xmm4,%xmm6,%xmm2
2482 [       ]*[a-f0-9]+:    c5 c9 c2 39 1a          vcmpngt_uqpd \(%rcx\),%xmm6,%xmm7
2483 [       ]*[a-f0-9]+:    c5 c9 c2 39 1a          vcmpngt_uqpd \(%rcx\),%xmm6,%xmm7
2484 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1b          vcmpfalse_ospd %xmm4,%xmm6,%xmm2
2485 [       ]*[a-f0-9]+:    c5 c9 c2 39 1b          vcmpfalse_ospd \(%rcx\),%xmm6,%xmm7
2486 [       ]*[a-f0-9]+:    c5 c9 c2 39 1b          vcmpfalse_ospd \(%rcx\),%xmm6,%xmm7
2487 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1c          vcmpneq_ospd %xmm4,%xmm6,%xmm2
2488 [       ]*[a-f0-9]+:    c5 c9 c2 39 1c          vcmpneq_ospd \(%rcx\),%xmm6,%xmm7
2489 [       ]*[a-f0-9]+:    c5 c9 c2 39 1c          vcmpneq_ospd \(%rcx\),%xmm6,%xmm7
2490 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1d          vcmpge_oqpd %xmm4,%xmm6,%xmm2
2491 [       ]*[a-f0-9]+:    c5 c9 c2 39 1d          vcmpge_oqpd \(%rcx\),%xmm6,%xmm7
2492 [       ]*[a-f0-9]+:    c5 c9 c2 39 1d          vcmpge_oqpd \(%rcx\),%xmm6,%xmm7
2493 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1e          vcmpgt_oqpd %xmm4,%xmm6,%xmm2
2494 [       ]*[a-f0-9]+:    c5 c9 c2 39 1e          vcmpgt_oqpd \(%rcx\),%xmm6,%xmm7
2495 [       ]*[a-f0-9]+:    c5 c9 c2 39 1e          vcmpgt_oqpd \(%rcx\),%xmm6,%xmm7
2496 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1f          vcmptrue_uspd %xmm4,%xmm6,%xmm2
2497 [       ]*[a-f0-9]+:    c5 c9 c2 39 1f          vcmptrue_uspd \(%rcx\),%xmm6,%xmm7
2498 [       ]*[a-f0-9]+:    c5 c9 c2 39 1f          vcmptrue_uspd \(%rcx\),%xmm6,%xmm7
2499 [       ]*[a-f0-9]+:    c5 c8 c2 d4 00          vcmpeqps %xmm4,%xmm6,%xmm2
2500 [       ]*[a-f0-9]+:    c5 c8 c2 39 00          vcmpeqps \(%rcx\),%xmm6,%xmm7
2501 [       ]*[a-f0-9]+:    c5 c8 c2 39 00          vcmpeqps \(%rcx\),%xmm6,%xmm7
2502 [       ]*[a-f0-9]+:    c5 c8 c2 d4 01          vcmpltps %xmm4,%xmm6,%xmm2
2503 [       ]*[a-f0-9]+:    c5 c8 c2 39 01          vcmpltps \(%rcx\),%xmm6,%xmm7
2504 [       ]*[a-f0-9]+:    c5 c8 c2 39 01          vcmpltps \(%rcx\),%xmm6,%xmm7
2505 [       ]*[a-f0-9]+:    c5 c8 c2 d4 02          vcmpleps %xmm4,%xmm6,%xmm2
2506 [       ]*[a-f0-9]+:    c5 c8 c2 39 02          vcmpleps \(%rcx\),%xmm6,%xmm7
2507 [       ]*[a-f0-9]+:    c5 c8 c2 39 02          vcmpleps \(%rcx\),%xmm6,%xmm7
2508 [       ]*[a-f0-9]+:    c5 c8 c2 d4 03          vcmpunordps %xmm4,%xmm6,%xmm2
2509 [       ]*[a-f0-9]+:    c5 c8 c2 39 03          vcmpunordps \(%rcx\),%xmm6,%xmm7
2510 [       ]*[a-f0-9]+:    c5 c8 c2 39 03          vcmpunordps \(%rcx\),%xmm6,%xmm7
2511 [       ]*[a-f0-9]+:    c5 c8 c2 d4 04          vcmpneqps %xmm4,%xmm6,%xmm2
2512 [       ]*[a-f0-9]+:    c5 c8 c2 39 04          vcmpneqps \(%rcx\),%xmm6,%xmm7
2513 [       ]*[a-f0-9]+:    c5 c8 c2 39 04          vcmpneqps \(%rcx\),%xmm6,%xmm7
2514 [       ]*[a-f0-9]+:    c5 c8 c2 d4 05          vcmpnltps %xmm4,%xmm6,%xmm2
2515 [       ]*[a-f0-9]+:    c5 c8 c2 39 05          vcmpnltps \(%rcx\),%xmm6,%xmm7
2516 [       ]*[a-f0-9]+:    c5 c8 c2 39 05          vcmpnltps \(%rcx\),%xmm6,%xmm7
2517 [       ]*[a-f0-9]+:    c5 c8 c2 d4 06          vcmpnleps %xmm4,%xmm6,%xmm2
2518 [       ]*[a-f0-9]+:    c5 c8 c2 39 06          vcmpnleps \(%rcx\),%xmm6,%xmm7
2519 [       ]*[a-f0-9]+:    c5 c8 c2 39 06          vcmpnleps \(%rcx\),%xmm6,%xmm7
2520 [       ]*[a-f0-9]+:    c5 c8 c2 d4 07          vcmpordps %xmm4,%xmm6,%xmm2
2521 [       ]*[a-f0-9]+:    c5 c8 c2 39 07          vcmpordps \(%rcx\),%xmm6,%xmm7
2522 [       ]*[a-f0-9]+:    c5 c8 c2 39 07          vcmpordps \(%rcx\),%xmm6,%xmm7
2523 [       ]*[a-f0-9]+:    c5 c8 c2 d4 08          vcmpeq_uqps %xmm4,%xmm6,%xmm2
2524 [       ]*[a-f0-9]+:    c5 c8 c2 39 08          vcmpeq_uqps \(%rcx\),%xmm6,%xmm7
2525 [       ]*[a-f0-9]+:    c5 c8 c2 39 08          vcmpeq_uqps \(%rcx\),%xmm6,%xmm7
2526 [       ]*[a-f0-9]+:    c5 c8 c2 d4 09          vcmpngeps %xmm4,%xmm6,%xmm2
2527 [       ]*[a-f0-9]+:    c5 c8 c2 39 09          vcmpngeps \(%rcx\),%xmm6,%xmm7
2528 [       ]*[a-f0-9]+:    c5 c8 c2 39 09          vcmpngeps \(%rcx\),%xmm6,%xmm7
2529 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0a          vcmpngtps %xmm4,%xmm6,%xmm2
2530 [       ]*[a-f0-9]+:    c5 c8 c2 39 0a          vcmpngtps \(%rcx\),%xmm6,%xmm7
2531 [       ]*[a-f0-9]+:    c5 c8 c2 39 0a          vcmpngtps \(%rcx\),%xmm6,%xmm7
2532 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0b          vcmpfalseps %xmm4,%xmm6,%xmm2
2533 [       ]*[a-f0-9]+:    c5 c8 c2 39 0b          vcmpfalseps \(%rcx\),%xmm6,%xmm7
2534 [       ]*[a-f0-9]+:    c5 c8 c2 39 0b          vcmpfalseps \(%rcx\),%xmm6,%xmm7
2535 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0c          vcmpneq_oqps %xmm4,%xmm6,%xmm2
2536 [       ]*[a-f0-9]+:    c5 c8 c2 39 0c          vcmpneq_oqps \(%rcx\),%xmm6,%xmm7
2537 [       ]*[a-f0-9]+:    c5 c8 c2 39 0c          vcmpneq_oqps \(%rcx\),%xmm6,%xmm7
2538 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0d          vcmpgeps %xmm4,%xmm6,%xmm2
2539 [       ]*[a-f0-9]+:    c5 c8 c2 39 0d          vcmpgeps \(%rcx\),%xmm6,%xmm7
2540 [       ]*[a-f0-9]+:    c5 c8 c2 39 0d          vcmpgeps \(%rcx\),%xmm6,%xmm7
2541 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0e          vcmpgtps %xmm4,%xmm6,%xmm2
2542 [       ]*[a-f0-9]+:    c5 c8 c2 39 0e          vcmpgtps \(%rcx\),%xmm6,%xmm7
2543 [       ]*[a-f0-9]+:    c5 c8 c2 39 0e          vcmpgtps \(%rcx\),%xmm6,%xmm7
2544 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0f          vcmptrueps %xmm4,%xmm6,%xmm2
2545 [       ]*[a-f0-9]+:    c5 c8 c2 39 0f          vcmptrueps \(%rcx\),%xmm6,%xmm7
2546 [       ]*[a-f0-9]+:    c5 c8 c2 39 0f          vcmptrueps \(%rcx\),%xmm6,%xmm7
2547 [       ]*[a-f0-9]+:    c5 c8 c2 d4 10          vcmpeq_osps %xmm4,%xmm6,%xmm2
2548 [       ]*[a-f0-9]+:    c5 c8 c2 39 10          vcmpeq_osps \(%rcx\),%xmm6,%xmm7
2549 [       ]*[a-f0-9]+:    c5 c8 c2 39 10          vcmpeq_osps \(%rcx\),%xmm6,%xmm7
2550 [       ]*[a-f0-9]+:    c5 c8 c2 d4 11          vcmplt_oqps %xmm4,%xmm6,%xmm2
2551 [       ]*[a-f0-9]+:    c5 c8 c2 39 11          vcmplt_oqps \(%rcx\),%xmm6,%xmm7
2552 [       ]*[a-f0-9]+:    c5 c8 c2 39 11          vcmplt_oqps \(%rcx\),%xmm6,%xmm7
2553 [       ]*[a-f0-9]+:    c5 c8 c2 d4 12          vcmple_oqps %xmm4,%xmm6,%xmm2
2554 [       ]*[a-f0-9]+:    c5 c8 c2 39 12          vcmple_oqps \(%rcx\),%xmm6,%xmm7
2555 [       ]*[a-f0-9]+:    c5 c8 c2 39 12          vcmple_oqps \(%rcx\),%xmm6,%xmm7
2556 [       ]*[a-f0-9]+:    c5 c8 c2 d4 13          vcmpunord_sps %xmm4,%xmm6,%xmm2
2557 [       ]*[a-f0-9]+:    c5 c8 c2 39 13          vcmpunord_sps \(%rcx\),%xmm6,%xmm7
2558 [       ]*[a-f0-9]+:    c5 c8 c2 39 13          vcmpunord_sps \(%rcx\),%xmm6,%xmm7
2559 [       ]*[a-f0-9]+:    c5 c8 c2 d4 14          vcmpneq_usps %xmm4,%xmm6,%xmm2
2560 [       ]*[a-f0-9]+:    c5 c8 c2 39 14          vcmpneq_usps \(%rcx\),%xmm6,%xmm7
2561 [       ]*[a-f0-9]+:    c5 c8 c2 39 14          vcmpneq_usps \(%rcx\),%xmm6,%xmm7
2562 [       ]*[a-f0-9]+:    c5 c8 c2 d4 15          vcmpnlt_uqps %xmm4,%xmm6,%xmm2
2563 [       ]*[a-f0-9]+:    c5 c8 c2 39 15          vcmpnlt_uqps \(%rcx\),%xmm6,%xmm7
2564 [       ]*[a-f0-9]+:    c5 c8 c2 39 15          vcmpnlt_uqps \(%rcx\),%xmm6,%xmm7
2565 [       ]*[a-f0-9]+:    c5 c8 c2 d4 16          vcmpnle_uqps %xmm4,%xmm6,%xmm2
2566 [       ]*[a-f0-9]+:    c5 c8 c2 39 16          vcmpnle_uqps \(%rcx\),%xmm6,%xmm7
2567 [       ]*[a-f0-9]+:    c5 c8 c2 39 16          vcmpnle_uqps \(%rcx\),%xmm6,%xmm7
2568 [       ]*[a-f0-9]+:    c5 c8 c2 d4 17          vcmpord_sps %xmm4,%xmm6,%xmm2
2569 [       ]*[a-f0-9]+:    c5 c8 c2 39 17          vcmpord_sps \(%rcx\),%xmm6,%xmm7
2570 [       ]*[a-f0-9]+:    c5 c8 c2 39 17          vcmpord_sps \(%rcx\),%xmm6,%xmm7
2571 [       ]*[a-f0-9]+:    c5 c8 c2 d4 18          vcmpeq_usps %xmm4,%xmm6,%xmm2
2572 [       ]*[a-f0-9]+:    c5 c8 c2 39 18          vcmpeq_usps \(%rcx\),%xmm6,%xmm7
2573 [       ]*[a-f0-9]+:    c5 c8 c2 39 18          vcmpeq_usps \(%rcx\),%xmm6,%xmm7
2574 [       ]*[a-f0-9]+:    c5 c8 c2 d4 19          vcmpnge_uqps %xmm4,%xmm6,%xmm2
2575 [       ]*[a-f0-9]+:    c5 c8 c2 39 19          vcmpnge_uqps \(%rcx\),%xmm6,%xmm7
2576 [       ]*[a-f0-9]+:    c5 c8 c2 39 19          vcmpnge_uqps \(%rcx\),%xmm6,%xmm7
2577 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1a          vcmpngt_uqps %xmm4,%xmm6,%xmm2
2578 [       ]*[a-f0-9]+:    c5 c8 c2 39 1a          vcmpngt_uqps \(%rcx\),%xmm6,%xmm7
2579 [       ]*[a-f0-9]+:    c5 c8 c2 39 1a          vcmpngt_uqps \(%rcx\),%xmm6,%xmm7
2580 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1b          vcmpfalse_osps %xmm4,%xmm6,%xmm2
2581 [       ]*[a-f0-9]+:    c5 c8 c2 39 1b          vcmpfalse_osps \(%rcx\),%xmm6,%xmm7
2582 [       ]*[a-f0-9]+:    c5 c8 c2 39 1b          vcmpfalse_osps \(%rcx\),%xmm6,%xmm7
2583 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1c          vcmpneq_osps %xmm4,%xmm6,%xmm2
2584 [       ]*[a-f0-9]+:    c5 c8 c2 39 1c          vcmpneq_osps \(%rcx\),%xmm6,%xmm7
2585 [       ]*[a-f0-9]+:    c5 c8 c2 39 1c          vcmpneq_osps \(%rcx\),%xmm6,%xmm7
2586 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1d          vcmpge_oqps %xmm4,%xmm6,%xmm2
2587 [       ]*[a-f0-9]+:    c5 c8 c2 39 1d          vcmpge_oqps \(%rcx\),%xmm6,%xmm7
2588 [       ]*[a-f0-9]+:    c5 c8 c2 39 1d          vcmpge_oqps \(%rcx\),%xmm6,%xmm7
2589 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1e          vcmpgt_oqps %xmm4,%xmm6,%xmm2
2590 [       ]*[a-f0-9]+:    c5 c8 c2 39 1e          vcmpgt_oqps \(%rcx\),%xmm6,%xmm7
2591 [       ]*[a-f0-9]+:    c5 c8 c2 39 1e          vcmpgt_oqps \(%rcx\),%xmm6,%xmm7
2592 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1f          vcmptrue_usps %xmm4,%xmm6,%xmm2
2593 [       ]*[a-f0-9]+:    c5 c8 c2 39 1f          vcmptrue_usps \(%rcx\),%xmm6,%xmm7
2594 [       ]*[a-f0-9]+:    c5 c8 c2 39 1f          vcmptrue_usps \(%rcx\),%xmm6,%xmm7
2595 [       ]*[a-f0-9]+:    c4 e2 59 2c 31          vmaskmovps \(%rcx\),%xmm4,%xmm6
2596 [       ]*[a-f0-9]+:    c4 e2 59 2c 31          vmaskmovps \(%rcx\),%xmm4,%xmm6
2597 [       ]*[a-f0-9]+:    c4 e2 59 2d 31          vmaskmovpd \(%rcx\),%xmm4,%xmm6
2598 [       ]*[a-f0-9]+:    c4 e2 59 2d 31          vmaskmovpd \(%rcx\),%xmm4,%xmm6
2599 [       ]*[a-f0-9]+:    c4 e3 79 df f4 07       vaeskeygenassist \$0x7,%xmm4,%xmm6
2600 [       ]*[a-f0-9]+:    c4 e3 79 df 31 07       vaeskeygenassist \$0x7,\(%rcx\),%xmm6
2601 [       ]*[a-f0-9]+:    c4 e3 79 df 31 07       vaeskeygenassist \$0x7,\(%rcx\),%xmm6
2602 [       ]*[a-f0-9]+:    c4 e3 79 61 f4 07       vpcmpestri \$0x7,%xmm4,%xmm6
2603 [       ]*[a-f0-9]+:    c4 e3 79 61 31 07       vpcmpestri \$0x7,\(%rcx\),%xmm6
2604 [       ]*[a-f0-9]+:    c4 e3 79 61 31 07       vpcmpestri \$0x7,\(%rcx\),%xmm6
2605 [       ]*[a-f0-9]+:    c4 e3 79 60 f4 07       vpcmpestrm \$0x7,%xmm4,%xmm6
2606 [       ]*[a-f0-9]+:    c4 e3 79 60 31 07       vpcmpestrm \$0x7,\(%rcx\),%xmm6
2607 [       ]*[a-f0-9]+:    c4 e3 79 60 31 07       vpcmpestrm \$0x7,\(%rcx\),%xmm6
2608 [       ]*[a-f0-9]+:    c4 e3 79 63 f4 07       vpcmpistri \$0x7,%xmm4,%xmm6
2609 [       ]*[a-f0-9]+:    c4 e3 79 63 31 07       vpcmpistri \$0x7,\(%rcx\),%xmm6
2610 [       ]*[a-f0-9]+:    c4 e3 79 63 31 07       vpcmpistri \$0x7,\(%rcx\),%xmm6
2611 [       ]*[a-f0-9]+:    c4 e3 79 62 f4 07       vpcmpistrm \$0x7,%xmm4,%xmm6
2612 [       ]*[a-f0-9]+:    c4 e3 79 62 31 07       vpcmpistrm \$0x7,\(%rcx\),%xmm6
2613 [       ]*[a-f0-9]+:    c4 e3 79 62 31 07       vpcmpistrm \$0x7,\(%rcx\),%xmm6
2614 [       ]*[a-f0-9]+:    c4 e3 79 05 f4 07       vpermilpd \$0x7,%xmm4,%xmm6
2615 [       ]*[a-f0-9]+:    c4 e3 79 05 31 07       vpermilpd \$0x7,\(%rcx\),%xmm6
2616 [       ]*[a-f0-9]+:    c4 e3 79 05 31 07       vpermilpd \$0x7,\(%rcx\),%xmm6
2617 [       ]*[a-f0-9]+:    c4 e3 79 04 f4 07       vpermilps \$0x7,%xmm4,%xmm6
2618 [       ]*[a-f0-9]+:    c4 e3 79 04 31 07       vpermilps \$0x7,\(%rcx\),%xmm6
2619 [       ]*[a-f0-9]+:    c4 e3 79 04 31 07       vpermilps \$0x7,\(%rcx\),%xmm6
2620 [       ]*[a-f0-9]+:    c5 f9 70 f4 07          vpshufd \$0x7,%xmm4,%xmm6
2621 [       ]*[a-f0-9]+:    c5 f9 70 31 07          vpshufd \$0x7,\(%rcx\),%xmm6
2622 [       ]*[a-f0-9]+:    c5 f9 70 31 07          vpshufd \$0x7,\(%rcx\),%xmm6
2623 [       ]*[a-f0-9]+:    c5 fa 70 f4 07          vpshufhw \$0x7,%xmm4,%xmm6
2624 [       ]*[a-f0-9]+:    c5 fa 70 31 07          vpshufhw \$0x7,\(%rcx\),%xmm6
2625 [       ]*[a-f0-9]+:    c5 fa 70 31 07          vpshufhw \$0x7,\(%rcx\),%xmm6
2626 [       ]*[a-f0-9]+:    c5 fb 70 f4 07          vpshuflw \$0x7,%xmm4,%xmm6
2627 [       ]*[a-f0-9]+:    c5 fb 70 31 07          vpshuflw \$0x7,\(%rcx\),%xmm6
2628 [       ]*[a-f0-9]+:    c5 fb 70 31 07          vpshuflw \$0x7,\(%rcx\),%xmm6
2629 [       ]*[a-f0-9]+:    c4 e3 79 09 f4 07       vroundpd \$0x7,%xmm4,%xmm6
2630 [       ]*[a-f0-9]+:    c4 e3 79 09 31 07       vroundpd \$0x7,\(%rcx\),%xmm6
2631 [       ]*[a-f0-9]+:    c4 e3 79 09 31 07       vroundpd \$0x7,\(%rcx\),%xmm6
2632 [       ]*[a-f0-9]+:    c4 e3 79 08 f4 07       vroundps \$0x7,%xmm4,%xmm6
2633 [       ]*[a-f0-9]+:    c4 e3 79 08 31 07       vroundps \$0x7,\(%rcx\),%xmm6
2634 [       ]*[a-f0-9]+:    c4 e3 79 08 31 07       vroundps \$0x7,\(%rcx\),%xmm6
2635 [       ]*[a-f0-9]+:    c4 e2 49 2e 21          vmaskmovps %xmm4,%xmm6,\(%rcx\)
2636 [       ]*[a-f0-9]+:    c4 e2 49 2e 21          vmaskmovps %xmm4,%xmm6,\(%rcx\)
2637 [       ]*[a-f0-9]+:    c4 e2 49 2f 21          vmaskmovpd %xmm4,%xmm6,\(%rcx\)
2638 [       ]*[a-f0-9]+:    c4 e2 49 2f 21          vmaskmovpd %xmm4,%xmm6,\(%rcx\)
2639 [       ]*[a-f0-9]+:    c4 e3 49 0d d4 07       vblendpd \$0x7,%xmm4,%xmm6,%xmm2
2640 [       ]*[a-f0-9]+:    c4 e3 49 0d 11 07       vblendpd \$0x7,\(%rcx\),%xmm6,%xmm2
2641 [       ]*[a-f0-9]+:    c4 e3 49 0d 11 07       vblendpd \$0x7,\(%rcx\),%xmm6,%xmm2
2642 [       ]*[a-f0-9]+:    c4 e3 49 0c d4 07       vblendps \$0x7,%xmm4,%xmm6,%xmm2
2643 [       ]*[a-f0-9]+:    c4 e3 49 0c 11 07       vblendps \$0x7,\(%rcx\),%xmm6,%xmm2
2644 [       ]*[a-f0-9]+:    c4 e3 49 0c 11 07       vblendps \$0x7,\(%rcx\),%xmm6,%xmm2
2645 [       ]*[a-f0-9]+:    c5 c9 c2 d4 07          vcmpordpd %xmm4,%xmm6,%xmm2
2646 [       ]*[a-f0-9]+:    c5 c9 c2 11 07          vcmpordpd \(%rcx\),%xmm6,%xmm2
2647 [       ]*[a-f0-9]+:    c5 c9 c2 11 07          vcmpordpd \(%rcx\),%xmm6,%xmm2
2648 [       ]*[a-f0-9]+:    c5 c8 c2 d4 07          vcmpordps %xmm4,%xmm6,%xmm2
2649 [       ]*[a-f0-9]+:    c5 c8 c2 11 07          vcmpordps \(%rcx\),%xmm6,%xmm2
2650 [       ]*[a-f0-9]+:    c5 c8 c2 11 07          vcmpordps \(%rcx\),%xmm6,%xmm2
2651 [       ]*[a-f0-9]+:    c4 e3 49 41 d4 07       vdppd  \$0x7,%xmm4,%xmm6,%xmm2
2652 [       ]*[a-f0-9]+:    c4 e3 49 41 11 07       vdppd  \$0x7,\(%rcx\),%xmm6,%xmm2
2653 [       ]*[a-f0-9]+:    c4 e3 49 41 11 07       vdppd  \$0x7,\(%rcx\),%xmm6,%xmm2
2654 [       ]*[a-f0-9]+:    c4 e3 49 40 d4 07       vdpps  \$0x7,%xmm4,%xmm6,%xmm2
2655 [       ]*[a-f0-9]+:    c4 e3 49 40 11 07       vdpps  \$0x7,\(%rcx\),%xmm6,%xmm2
2656 [       ]*[a-f0-9]+:    c4 e3 49 40 11 07       vdpps  \$0x7,\(%rcx\),%xmm6,%xmm2
2657 [       ]*[a-f0-9]+:    c4 e3 49 42 d4 07       vmpsadbw \$0x7,%xmm4,%xmm6,%xmm2
2658 [       ]*[a-f0-9]+:    c4 e3 49 42 11 07       vmpsadbw \$0x7,\(%rcx\),%xmm6,%xmm2
2659 [       ]*[a-f0-9]+:    c4 e3 49 42 11 07       vmpsadbw \$0x7,\(%rcx\),%xmm6,%xmm2
2660 [       ]*[a-f0-9]+:    c4 e3 49 0f d4 07       vpalignr \$0x7,%xmm4,%xmm6,%xmm2
2661 [       ]*[a-f0-9]+:    c4 e3 49 0f 11 07       vpalignr \$0x7,\(%rcx\),%xmm6,%xmm2
2662 [       ]*[a-f0-9]+:    c4 e3 49 0f 11 07       vpalignr \$0x7,\(%rcx\),%xmm6,%xmm2
2663 [       ]*[a-f0-9]+:    c4 e3 49 0e d4 07       vpblendw \$0x7,%xmm4,%xmm6,%xmm2
2664 [       ]*[a-f0-9]+:    c4 e3 49 0e 11 07       vpblendw \$0x7,\(%rcx\),%xmm6,%xmm2
2665 [       ]*[a-f0-9]+:    c4 e3 49 0e 11 07       vpblendw \$0x7,\(%rcx\),%xmm6,%xmm2
2666 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 07       vpclmulqdq \$0x7,%xmm4,%xmm6,%xmm2
2667 [       ]*[a-f0-9]+:    c4 e3 49 44 11 07       vpclmulqdq \$0x7,\(%rcx\),%xmm6,%xmm2
2668 [       ]*[a-f0-9]+:    c4 e3 49 44 11 07       vpclmulqdq \$0x7,\(%rcx\),%xmm6,%xmm2
2669 [       ]*[a-f0-9]+:    c5 c9 c6 d4 07          vshufpd \$0x7,%xmm4,%xmm6,%xmm2
2670 [       ]*[a-f0-9]+:    c5 c9 c6 11 07          vshufpd \$0x7,\(%rcx\),%xmm6,%xmm2
2671 [       ]*[a-f0-9]+:    c5 c9 c6 11 07          vshufpd \$0x7,\(%rcx\),%xmm6,%xmm2
2672 [       ]*[a-f0-9]+:    c5 c8 c6 d4 07          vshufps \$0x7,%xmm4,%xmm6,%xmm2
2673 [       ]*[a-f0-9]+:    c5 c8 c6 11 07          vshufps \$0x7,\(%rcx\),%xmm6,%xmm2
2674 [       ]*[a-f0-9]+:    c5 c8 c6 11 07          vshufps \$0x7,\(%rcx\),%xmm6,%xmm2
2675 [       ]*[a-f0-9]+:    c4 e3 69 4b fe 40       vblendvpd %xmm4,%xmm6,%xmm2,%xmm7
2676 [       ]*[a-f0-9]+:    c4 e3 69 4b 39 40       vblendvpd %xmm4,\(%rcx\),%xmm2,%xmm7
2677 [       ]*[a-f0-9]+:    c4 e3 69 4b 39 40       vblendvpd %xmm4,\(%rcx\),%xmm2,%xmm7
2678 [       ]*[a-f0-9]+:    c4 e3 69 4a fe 40       vblendvps %xmm4,%xmm6,%xmm2,%xmm7
2679 [       ]*[a-f0-9]+:    c4 e3 69 4a 39 40       vblendvps %xmm4,\(%rcx\),%xmm2,%xmm7
2680 [       ]*[a-f0-9]+:    c4 e3 69 4a 39 40       vblendvps %xmm4,\(%rcx\),%xmm2,%xmm7
2681 [       ]*[a-f0-9]+:    c4 e3 69 4c fe 40       vpblendvb %xmm4,%xmm6,%xmm2,%xmm7
2682 [       ]*[a-f0-9]+:    c4 e3 69 4c 39 40       vpblendvb %xmm4,\(%rcx\),%xmm2,%xmm7
2683 [       ]*[a-f0-9]+:    c4 e3 69 4c 39 40       vpblendvb %xmm4,\(%rcx\),%xmm2,%xmm7
2684 [       ]*[a-f0-9]+:    c4 e2 7d 19 21          vbroadcastsd \(%rcx\),%ymm4
2685 [       ]*[a-f0-9]+:    c4 e2 7d 19 21          vbroadcastsd \(%rcx\),%ymm4
2686 [       ]*[a-f0-9]+:    c5 f9 2f f4             vcomisd %xmm4,%xmm6
2687 [       ]*[a-f0-9]+:    c5 f9 2f 21             vcomisd \(%rcx\),%xmm4
2688 [       ]*[a-f0-9]+:    c5 f9 2f 21             vcomisd \(%rcx\),%xmm4
2689 [       ]*[a-f0-9]+:    c5 fa e6 f4             vcvtdq2pd %xmm4,%xmm6
2690 [       ]*[a-f0-9]+:    c5 fa e6 21             vcvtdq2pd \(%rcx\),%xmm4
2691 [       ]*[a-f0-9]+:    c5 fa e6 21             vcvtdq2pd \(%rcx\),%xmm4
2692 [       ]*[a-f0-9]+:    c5 f8 5a f4             vcvtps2pd %xmm4,%xmm6
2693 [       ]*[a-f0-9]+:    c5 f8 5a 21             vcvtps2pd \(%rcx\),%xmm4
2694 [       ]*[a-f0-9]+:    c5 f8 5a 21             vcvtps2pd \(%rcx\),%xmm4
2695 [       ]*[a-f0-9]+:    c5 fb 12 f4             vmovddup %xmm4,%xmm6
2696 [       ]*[a-f0-9]+:    c5 fb 12 21             vmovddup \(%rcx\),%xmm4
2697 [       ]*[a-f0-9]+:    c5 fb 12 21             vmovddup \(%rcx\),%xmm4
2698 [       ]*[a-f0-9]+:    c4 e2 79 20 f4          vpmovsxbw %xmm4,%xmm6
2699 [       ]*[a-f0-9]+:    c4 e2 79 20 21          vpmovsxbw \(%rcx\),%xmm4
2700 [       ]*[a-f0-9]+:    c4 e2 79 20 21          vpmovsxbw \(%rcx\),%xmm4
2701 [       ]*[a-f0-9]+:    c4 e2 79 23 f4          vpmovsxwd %xmm4,%xmm6
2702 [       ]*[a-f0-9]+:    c4 e2 79 23 21          vpmovsxwd \(%rcx\),%xmm4
2703 [       ]*[a-f0-9]+:    c4 e2 79 23 21          vpmovsxwd \(%rcx\),%xmm4
2704 [       ]*[a-f0-9]+:    c4 e2 79 25 f4          vpmovsxdq %xmm4,%xmm6
2705 [       ]*[a-f0-9]+:    c4 e2 79 25 21          vpmovsxdq \(%rcx\),%xmm4
2706 [       ]*[a-f0-9]+:    c4 e2 79 25 21          vpmovsxdq \(%rcx\),%xmm4
2707 [       ]*[a-f0-9]+:    c4 e2 79 30 f4          vpmovzxbw %xmm4,%xmm6
2708 [       ]*[a-f0-9]+:    c4 e2 79 30 21          vpmovzxbw \(%rcx\),%xmm4
2709 [       ]*[a-f0-9]+:    c4 e2 79 30 21          vpmovzxbw \(%rcx\),%xmm4
2710 [       ]*[a-f0-9]+:    c4 e2 79 33 f4          vpmovzxwd %xmm4,%xmm6
2711 [       ]*[a-f0-9]+:    c4 e2 79 33 21          vpmovzxwd \(%rcx\),%xmm4
2712 [       ]*[a-f0-9]+:    c4 e2 79 33 21          vpmovzxwd \(%rcx\),%xmm4
2713 [       ]*[a-f0-9]+:    c4 e2 79 35 f4          vpmovzxdq %xmm4,%xmm6
2714 [       ]*[a-f0-9]+:    c4 e2 79 35 21          vpmovzxdq \(%rcx\),%xmm4
2715 [       ]*[a-f0-9]+:    c4 e2 79 35 21          vpmovzxdq \(%rcx\),%xmm4
2716 [       ]*[a-f0-9]+:    c5 f9 2e f4             vucomisd %xmm4,%xmm6
2717 [       ]*[a-f0-9]+:    c5 f9 2e 21             vucomisd \(%rcx\),%xmm4
2718 [       ]*[a-f0-9]+:    c5 f9 2e 21             vucomisd \(%rcx\),%xmm4
2719 [       ]*[a-f0-9]+:    c5 fb 10 21             vmovsd \(%rcx\),%xmm4
2720 [       ]*[a-f0-9]+:    c5 fb 10 21             vmovsd \(%rcx\),%xmm4
2721 [       ]*[a-f0-9]+:    c5 f9 13 21             vmovlpd %xmm4,\(%rcx\)
2722 [       ]*[a-f0-9]+:    c5 f9 13 21             vmovlpd %xmm4,\(%rcx\)
2723 [       ]*[a-f0-9]+:    c5 f8 13 21             vmovlps %xmm4,\(%rcx\)
2724 [       ]*[a-f0-9]+:    c5 f8 13 21             vmovlps %xmm4,\(%rcx\)
2725 [       ]*[a-f0-9]+:    c5 f9 17 21             vmovhpd %xmm4,\(%rcx\)
2726 [       ]*[a-f0-9]+:    c5 f9 17 21             vmovhpd %xmm4,\(%rcx\)
2727 [       ]*[a-f0-9]+:    c5 f8 17 21             vmovhps %xmm4,\(%rcx\)
2728 [       ]*[a-f0-9]+:    c5 f8 17 21             vmovhps %xmm4,\(%rcx\)
2729 [       ]*[a-f0-9]+:    c5 fb 11 21             vmovsd %xmm4,\(%rcx\)
2730 [       ]*[a-f0-9]+:    c5 fb 11 21             vmovsd %xmm4,\(%rcx\)
2731 [       ]*[a-f0-9]+:    c4 e1 f9 7e e1          vmovq  %xmm4,%rcx
2732 [       ]*[a-f0-9]+:    c4 e1 f9 6e e1          vmovq  %rcx,%xmm4
2733 [       ]*[a-f0-9]+:    c5 f9 7e 21             vmovd  %xmm4,\(%rcx\)
2734 [       ]*[a-f0-9]+:    c5 f9 6e 21             vmovd  \(%rcx\),%xmm4
2735 [       ]*[a-f0-9]+:    c4 e1 f9 7e e1          vmovq  %xmm4,%rcx
2736 [       ]*[a-f0-9]+:    c4 e1 f9 6e e1          vmovq  %rcx,%xmm4
2737 [       ]*[a-f0-9]+:    c5 f9 d6 21             vmovq  %xmm4,\(%rcx\)
2738 [       ]*[a-f0-9]+:    c5 fa 7e 21             vmovq  \(%rcx\),%xmm4
2739 [       ]*[a-f0-9]+:    c5 f9 d6 21             vmovq  %xmm4,\(%rcx\)
2740 [       ]*[a-f0-9]+:    c5 fa 7e 21             vmovq  \(%rcx\),%xmm4
2741 [       ]*[a-f0-9]+:    c5 fb 2d cc             vcvtsd2si %xmm4,%ecx
2742 [       ]*[a-f0-9]+:    c5 fb 2d 09             vcvtsd2si \(%rcx\),%ecx
2743 [       ]*[a-f0-9]+:    c5 fb 2d 09             vcvtsd2si \(%rcx\),%ecx
2744 [       ]*[a-f0-9]+:    c5 fb 2c cc             vcvttsd2si %xmm4,%ecx
2745 [       ]*[a-f0-9]+:    c5 fb 2c 09             vcvttsd2si \(%rcx\),%ecx
2746 [       ]*[a-f0-9]+:    c5 fb 2c 09             vcvttsd2si \(%rcx\),%ecx
2747 [       ]*[a-f0-9]+:    c4 e1 fb 2d cc          vcvtsd2si %xmm4,%rcx
2748 [       ]*[a-f0-9]+:    c4 e1 fb 2d 09          vcvtsd2si \(%rcx\),%rcx
2749 [       ]*[a-f0-9]+:    c4 e1 fb 2d 09          vcvtsd2si \(%rcx\),%rcx
2750 [       ]*[a-f0-9]+:    c4 e1 fb 2c cc          vcvttsd2si %xmm4,%rcx
2751 [       ]*[a-f0-9]+:    c4 e1 fb 2c 09          vcvttsd2si \(%rcx\),%rcx
2752 [       ]*[a-f0-9]+:    c4 e1 fb 2c 09          vcvttsd2si \(%rcx\),%rcx
2753 [       ]*[a-f0-9]+:    c4 e1 db 2a f1          vcvtsi2sd %rcx,%xmm4,%xmm6
2754 [       ]*[a-f0-9]+:    c4 e1 db 2a 31          vcvtsi2sdq \(%rcx\),%xmm4,%xmm6
2755 [       ]*[a-f0-9]+:    c4 e1 db 2a 31          vcvtsi2sdq \(%rcx\),%xmm4,%xmm6
2756 [       ]*[a-f0-9]+:    c4 e1 da 2a f1          vcvtsi2ss %rcx,%xmm4,%xmm6
2757 [       ]*[a-f0-9]+:    c4 e1 da 2a 31          vcvtsi2ssq \(%rcx\),%xmm4,%xmm6
2758 [       ]*[a-f0-9]+:    c4 e1 da 2a 31          vcvtsi2ssq \(%rcx\),%xmm4,%xmm6
2759 [       ]*[a-f0-9]+:    c4 e3 d9 22 f1 07       vpinsrq \$0x7,%rcx,%xmm4,%xmm6
2760 [       ]*[a-f0-9]+:    c4 e3 d9 22 31 07       vpinsrq \$0x7,\(%rcx\),%xmm4,%xmm6
2761 [       ]*[a-f0-9]+:    c4 e3 d9 22 31 07       vpinsrq \$0x7,\(%rcx\),%xmm4,%xmm6
2762 [       ]*[a-f0-9]+:    c4 e3 f9 16 e1 07       vpextrq \$0x7,%xmm4,%rcx
2763 [       ]*[a-f0-9]+:    c4 e3 f9 16 21 07       vpextrq \$0x7,%xmm4,\(%rcx\)
2764 [       ]*[a-f0-9]+:    c4 e3 f9 16 21 07       vpextrq \$0x7,%xmm4,\(%rcx\)
2765 [       ]*[a-f0-9]+:    c5 d9 12 31             vmovlpd \(%rcx\),%xmm4,%xmm6
2766 [       ]*[a-f0-9]+:    c5 d9 12 31             vmovlpd \(%rcx\),%xmm4,%xmm6
2767 [       ]*[a-f0-9]+:    c5 d8 12 31             vmovlps \(%rcx\),%xmm4,%xmm6
2768 [       ]*[a-f0-9]+:    c5 d8 12 31             vmovlps \(%rcx\),%xmm4,%xmm6
2769 [       ]*[a-f0-9]+:    c5 d9 16 31             vmovhpd \(%rcx\),%xmm4,%xmm6
2770 [       ]*[a-f0-9]+:    c5 d9 16 31             vmovhpd \(%rcx\),%xmm4,%xmm6
2771 [       ]*[a-f0-9]+:    c5 d8 16 31             vmovhps \(%rcx\),%xmm4,%xmm6
2772 [       ]*[a-f0-9]+:    c5 d8 16 31             vmovhps \(%rcx\),%xmm4,%xmm6
2773 [       ]*[a-f0-9]+:    c5 cb c2 d4 07          vcmpordsd %xmm4,%xmm6,%xmm2
2774 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%rcx\),%xmm6,%xmm2
2775 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%rcx\),%xmm6,%xmm2
2776 [       ]*[a-f0-9]+:    c4 e3 49 0b d4 07       vroundsd \$0x7,%xmm4,%xmm6,%xmm2
2777 [       ]*[a-f0-9]+:    c4 e3 49 0b 11 07       vroundsd \$0x7,\(%rcx\),%xmm6,%xmm2
2778 [       ]*[a-f0-9]+:    c4 e3 49 0b 11 07       vroundsd \$0x7,\(%rcx\),%xmm6,%xmm2
2779 [       ]*[a-f0-9]+:    c5 cb 58 d4             vaddsd %xmm4,%xmm6,%xmm2
2780 [       ]*[a-f0-9]+:    c5 cb 58 11             vaddsd \(%rcx\),%xmm6,%xmm2
2781 [       ]*[a-f0-9]+:    c5 cb 58 11             vaddsd \(%rcx\),%xmm6,%xmm2
2782 [       ]*[a-f0-9]+:    c5 cb 5a d4             vcvtsd2ss %xmm4,%xmm6,%xmm2
2783 [       ]*[a-f0-9]+:    c5 cb 5a 11             vcvtsd2ss \(%rcx\),%xmm6,%xmm2
2784 [       ]*[a-f0-9]+:    c5 cb 5a 11             vcvtsd2ss \(%rcx\),%xmm6,%xmm2
2785 [       ]*[a-f0-9]+:    c5 cb 5e d4             vdivsd %xmm4,%xmm6,%xmm2
2786 [       ]*[a-f0-9]+:    c5 cb 5e 11             vdivsd \(%rcx\),%xmm6,%xmm2
2787 [       ]*[a-f0-9]+:    c5 cb 5e 11             vdivsd \(%rcx\),%xmm6,%xmm2
2788 [       ]*[a-f0-9]+:    c5 cb 5f d4             vmaxsd %xmm4,%xmm6,%xmm2
2789 [       ]*[a-f0-9]+:    c5 cb 5f 11             vmaxsd \(%rcx\),%xmm6,%xmm2
2790 [       ]*[a-f0-9]+:    c5 cb 5f 11             vmaxsd \(%rcx\),%xmm6,%xmm2
2791 [       ]*[a-f0-9]+:    c5 cb 5d d4             vminsd %xmm4,%xmm6,%xmm2
2792 [       ]*[a-f0-9]+:    c5 cb 5d 11             vminsd \(%rcx\),%xmm6,%xmm2
2793 [       ]*[a-f0-9]+:    c5 cb 5d 11             vminsd \(%rcx\),%xmm6,%xmm2
2794 [       ]*[a-f0-9]+:    c5 cb 59 d4             vmulsd %xmm4,%xmm6,%xmm2
2795 [       ]*[a-f0-9]+:    c5 cb 59 11             vmulsd \(%rcx\),%xmm6,%xmm2
2796 [       ]*[a-f0-9]+:    c5 cb 59 11             vmulsd \(%rcx\),%xmm6,%xmm2
2797 [       ]*[a-f0-9]+:    c5 cb 51 d4             vsqrtsd %xmm4,%xmm6,%xmm2
2798 [       ]*[a-f0-9]+:    c5 cb 51 11             vsqrtsd \(%rcx\),%xmm6,%xmm2
2799 [       ]*[a-f0-9]+:    c5 cb 51 11             vsqrtsd \(%rcx\),%xmm6,%xmm2
2800 [       ]*[a-f0-9]+:    c5 cb 5c d4             vsubsd %xmm4,%xmm6,%xmm2
2801 [       ]*[a-f0-9]+:    c5 cb 5c 11             vsubsd \(%rcx\),%xmm6,%xmm2
2802 [       ]*[a-f0-9]+:    c5 cb 5c 11             vsubsd \(%rcx\),%xmm6,%xmm2
2803 [       ]*[a-f0-9]+:    c5 cb c2 d4 00          vcmpeqsd %xmm4,%xmm6,%xmm2
2804 [       ]*[a-f0-9]+:    c5 cb c2 11 00          vcmpeqsd \(%rcx\),%xmm6,%xmm2
2805 [       ]*[a-f0-9]+:    c5 cb c2 11 00          vcmpeqsd \(%rcx\),%xmm6,%xmm2
2806 [       ]*[a-f0-9]+:    c5 cb c2 d4 01          vcmpltsd %xmm4,%xmm6,%xmm2
2807 [       ]*[a-f0-9]+:    c5 cb c2 11 01          vcmpltsd \(%rcx\),%xmm6,%xmm2
2808 [       ]*[a-f0-9]+:    c5 cb c2 11 01          vcmpltsd \(%rcx\),%xmm6,%xmm2
2809 [       ]*[a-f0-9]+:    c5 cb c2 d4 02          vcmplesd %xmm4,%xmm6,%xmm2
2810 [       ]*[a-f0-9]+:    c5 cb c2 11 02          vcmplesd \(%rcx\),%xmm6,%xmm2
2811 [       ]*[a-f0-9]+:    c5 cb c2 11 02          vcmplesd \(%rcx\),%xmm6,%xmm2
2812 [       ]*[a-f0-9]+:    c5 cb c2 d4 03          vcmpunordsd %xmm4,%xmm6,%xmm2
2813 [       ]*[a-f0-9]+:    c5 cb c2 11 03          vcmpunordsd \(%rcx\),%xmm6,%xmm2
2814 [       ]*[a-f0-9]+:    c5 cb c2 11 03          vcmpunordsd \(%rcx\),%xmm6,%xmm2
2815 [       ]*[a-f0-9]+:    c5 cb c2 d4 04          vcmpneqsd %xmm4,%xmm6,%xmm2
2816 [       ]*[a-f0-9]+:    c5 cb c2 11 04          vcmpneqsd \(%rcx\),%xmm6,%xmm2
2817 [       ]*[a-f0-9]+:    c5 cb c2 11 04          vcmpneqsd \(%rcx\),%xmm6,%xmm2
2818 [       ]*[a-f0-9]+:    c5 cb c2 d4 05          vcmpnltsd %xmm4,%xmm6,%xmm2
2819 [       ]*[a-f0-9]+:    c5 cb c2 11 05          vcmpnltsd \(%rcx\),%xmm6,%xmm2
2820 [       ]*[a-f0-9]+:    c5 cb c2 11 05          vcmpnltsd \(%rcx\),%xmm6,%xmm2
2821 [       ]*[a-f0-9]+:    c5 cb c2 d4 06          vcmpnlesd %xmm4,%xmm6,%xmm2
2822 [       ]*[a-f0-9]+:    c5 cb c2 11 06          vcmpnlesd \(%rcx\),%xmm6,%xmm2
2823 [       ]*[a-f0-9]+:    c5 cb c2 11 06          vcmpnlesd \(%rcx\),%xmm6,%xmm2
2824 [       ]*[a-f0-9]+:    c5 cb c2 d4 07          vcmpordsd %xmm4,%xmm6,%xmm2
2825 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%rcx\),%xmm6,%xmm2
2826 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%rcx\),%xmm6,%xmm2
2827 [       ]*[a-f0-9]+:    c5 cb c2 d4 08          vcmpeq_uqsd %xmm4,%xmm6,%xmm2
2828 [       ]*[a-f0-9]+:    c5 cb c2 11 08          vcmpeq_uqsd \(%rcx\),%xmm6,%xmm2
2829 [       ]*[a-f0-9]+:    c5 cb c2 11 08          vcmpeq_uqsd \(%rcx\),%xmm6,%xmm2
2830 [       ]*[a-f0-9]+:    c5 cb c2 d4 09          vcmpngesd %xmm4,%xmm6,%xmm2
2831 [       ]*[a-f0-9]+:    c5 cb c2 11 09          vcmpngesd \(%rcx\),%xmm6,%xmm2
2832 [       ]*[a-f0-9]+:    c5 cb c2 11 09          vcmpngesd \(%rcx\),%xmm6,%xmm2
2833 [       ]*[a-f0-9]+:    c5 cb c2 d4 0a          vcmpngtsd %xmm4,%xmm6,%xmm2
2834 [       ]*[a-f0-9]+:    c5 cb c2 11 0a          vcmpngtsd \(%rcx\),%xmm6,%xmm2
2835 [       ]*[a-f0-9]+:    c5 cb c2 11 0a          vcmpngtsd \(%rcx\),%xmm6,%xmm2
2836 [       ]*[a-f0-9]+:    c5 cb c2 d4 0b          vcmpfalsesd %xmm4,%xmm6,%xmm2
2837 [       ]*[a-f0-9]+:    c5 cb c2 11 0b          vcmpfalsesd \(%rcx\),%xmm6,%xmm2
2838 [       ]*[a-f0-9]+:    c5 cb c2 11 0b          vcmpfalsesd \(%rcx\),%xmm6,%xmm2
2839 [       ]*[a-f0-9]+:    c5 cb c2 d4 0c          vcmpneq_oqsd %xmm4,%xmm6,%xmm2
2840 [       ]*[a-f0-9]+:    c5 cb c2 11 0c          vcmpneq_oqsd \(%rcx\),%xmm6,%xmm2
2841 [       ]*[a-f0-9]+:    c5 cb c2 11 0c          vcmpneq_oqsd \(%rcx\),%xmm6,%xmm2
2842 [       ]*[a-f0-9]+:    c5 cb c2 d4 0d          vcmpgesd %xmm4,%xmm6,%xmm2
2843 [       ]*[a-f0-9]+:    c5 cb c2 11 0d          vcmpgesd \(%rcx\),%xmm6,%xmm2
2844 [       ]*[a-f0-9]+:    c5 cb c2 11 0d          vcmpgesd \(%rcx\),%xmm6,%xmm2
2845 [       ]*[a-f0-9]+:    c5 cb c2 d4 0e          vcmpgtsd %xmm4,%xmm6,%xmm2
2846 [       ]*[a-f0-9]+:    c5 cb c2 11 0e          vcmpgtsd \(%rcx\),%xmm6,%xmm2
2847 [       ]*[a-f0-9]+:    c5 cb c2 11 0e          vcmpgtsd \(%rcx\),%xmm6,%xmm2
2848 [       ]*[a-f0-9]+:    c5 cb c2 d4 0f          vcmptruesd %xmm4,%xmm6,%xmm2
2849 [       ]*[a-f0-9]+:    c5 cb c2 11 0f          vcmptruesd \(%rcx\),%xmm6,%xmm2
2850 [       ]*[a-f0-9]+:    c5 cb c2 11 0f          vcmptruesd \(%rcx\),%xmm6,%xmm2
2851 [       ]*[a-f0-9]+:    c5 cb c2 d4 10          vcmpeq_ossd %xmm4,%xmm6,%xmm2
2852 [       ]*[a-f0-9]+:    c5 cb c2 11 10          vcmpeq_ossd \(%rcx\),%xmm6,%xmm2
2853 [       ]*[a-f0-9]+:    c5 cb c2 11 10          vcmpeq_ossd \(%rcx\),%xmm6,%xmm2
2854 [       ]*[a-f0-9]+:    c5 cb c2 d4 11          vcmplt_oqsd %xmm4,%xmm6,%xmm2
2855 [       ]*[a-f0-9]+:    c5 cb c2 11 11          vcmplt_oqsd \(%rcx\),%xmm6,%xmm2
2856 [       ]*[a-f0-9]+:    c5 cb c2 11 11          vcmplt_oqsd \(%rcx\),%xmm6,%xmm2
2857 [       ]*[a-f0-9]+:    c5 cb c2 d4 12          vcmple_oqsd %xmm4,%xmm6,%xmm2
2858 [       ]*[a-f0-9]+:    c5 cb c2 11 12          vcmple_oqsd \(%rcx\),%xmm6,%xmm2
2859 [       ]*[a-f0-9]+:    c5 cb c2 11 12          vcmple_oqsd \(%rcx\),%xmm6,%xmm2
2860 [       ]*[a-f0-9]+:    c5 cb c2 d4 13          vcmpunord_ssd %xmm4,%xmm6,%xmm2
2861 [       ]*[a-f0-9]+:    c5 cb c2 11 13          vcmpunord_ssd \(%rcx\),%xmm6,%xmm2
2862 [       ]*[a-f0-9]+:    c5 cb c2 11 13          vcmpunord_ssd \(%rcx\),%xmm6,%xmm2
2863 [       ]*[a-f0-9]+:    c5 cb c2 d4 14          vcmpneq_ussd %xmm4,%xmm6,%xmm2
2864 [       ]*[a-f0-9]+:    c5 cb c2 11 14          vcmpneq_ussd \(%rcx\),%xmm6,%xmm2
2865 [       ]*[a-f0-9]+:    c5 cb c2 11 14          vcmpneq_ussd \(%rcx\),%xmm6,%xmm2
2866 [       ]*[a-f0-9]+:    c5 cb c2 d4 15          vcmpnlt_uqsd %xmm4,%xmm6,%xmm2
2867 [       ]*[a-f0-9]+:    c5 cb c2 11 15          vcmpnlt_uqsd \(%rcx\),%xmm6,%xmm2
2868 [       ]*[a-f0-9]+:    c5 cb c2 11 15          vcmpnlt_uqsd \(%rcx\),%xmm6,%xmm2
2869 [       ]*[a-f0-9]+:    c5 cb c2 d4 16          vcmpnle_uqsd %xmm4,%xmm6,%xmm2
2870 [       ]*[a-f0-9]+:    c5 cb c2 11 16          vcmpnle_uqsd \(%rcx\),%xmm6,%xmm2
2871 [       ]*[a-f0-9]+:    c5 cb c2 11 16          vcmpnle_uqsd \(%rcx\),%xmm6,%xmm2
2872 [       ]*[a-f0-9]+:    c5 cb c2 d4 17          vcmpord_ssd %xmm4,%xmm6,%xmm2
2873 [       ]*[a-f0-9]+:    c5 cb c2 11 17          vcmpord_ssd \(%rcx\),%xmm6,%xmm2
2874 [       ]*[a-f0-9]+:    c5 cb c2 11 17          vcmpord_ssd \(%rcx\),%xmm6,%xmm2
2875 [       ]*[a-f0-9]+:    c5 cb c2 d4 18          vcmpeq_ussd %xmm4,%xmm6,%xmm2
2876 [       ]*[a-f0-9]+:    c5 cb c2 11 18          vcmpeq_ussd \(%rcx\),%xmm6,%xmm2
2877 [       ]*[a-f0-9]+:    c5 cb c2 11 18          vcmpeq_ussd \(%rcx\),%xmm6,%xmm2
2878 [       ]*[a-f0-9]+:    c5 cb c2 d4 19          vcmpnge_uqsd %xmm4,%xmm6,%xmm2
2879 [       ]*[a-f0-9]+:    c5 cb c2 11 19          vcmpnge_uqsd \(%rcx\),%xmm6,%xmm2
2880 [       ]*[a-f0-9]+:    c5 cb c2 11 19          vcmpnge_uqsd \(%rcx\),%xmm6,%xmm2
2881 [       ]*[a-f0-9]+:    c5 cb c2 d4 1a          vcmpngt_uqsd %xmm4,%xmm6,%xmm2
2882 [       ]*[a-f0-9]+:    c5 cb c2 11 1a          vcmpngt_uqsd \(%rcx\),%xmm6,%xmm2
2883 [       ]*[a-f0-9]+:    c5 cb c2 11 1a          vcmpngt_uqsd \(%rcx\),%xmm6,%xmm2
2884 [       ]*[a-f0-9]+:    c5 cb c2 d4 1b          vcmpfalse_ossd %xmm4,%xmm6,%xmm2
2885 [       ]*[a-f0-9]+:    c5 cb c2 11 1b          vcmpfalse_ossd \(%rcx\),%xmm6,%xmm2
2886 [       ]*[a-f0-9]+:    c5 cb c2 11 1b          vcmpfalse_ossd \(%rcx\),%xmm6,%xmm2
2887 [       ]*[a-f0-9]+:    c5 cb c2 d4 1c          vcmpneq_ossd %xmm4,%xmm6,%xmm2
2888 [       ]*[a-f0-9]+:    c5 cb c2 11 1c          vcmpneq_ossd \(%rcx\),%xmm6,%xmm2
2889 [       ]*[a-f0-9]+:    c5 cb c2 11 1c          vcmpneq_ossd \(%rcx\),%xmm6,%xmm2
2890 [       ]*[a-f0-9]+:    c5 cb c2 d4 1d          vcmpge_oqsd %xmm4,%xmm6,%xmm2
2891 [       ]*[a-f0-9]+:    c5 cb c2 11 1d          vcmpge_oqsd \(%rcx\),%xmm6,%xmm2
2892 [       ]*[a-f0-9]+:    c5 cb c2 11 1d          vcmpge_oqsd \(%rcx\),%xmm6,%xmm2
2893 [       ]*[a-f0-9]+:    c5 cb c2 d4 1e          vcmpgt_oqsd %xmm4,%xmm6,%xmm2
2894 [       ]*[a-f0-9]+:    c5 cb c2 11 1e          vcmpgt_oqsd \(%rcx\),%xmm6,%xmm2
2895 [       ]*[a-f0-9]+:    c5 cb c2 11 1e          vcmpgt_oqsd \(%rcx\),%xmm6,%xmm2
2896 [       ]*[a-f0-9]+:    c5 cb c2 d4 1f          vcmptrue_ussd %xmm4,%xmm6,%xmm2
2897 [       ]*[a-f0-9]+:    c5 cb c2 11 1f          vcmptrue_ussd \(%rcx\),%xmm6,%xmm2
2898 [       ]*[a-f0-9]+:    c5 cb c2 11 1f          vcmptrue_ussd \(%rcx\),%xmm6,%xmm2
2899 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%rcx\)
2900 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%rcx\)
2901 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%rcx\)
2902 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%rcx\)
2903 [       ]*[a-f0-9]+:    c5 ca 58 d4             vaddss %xmm4,%xmm6,%xmm2
2904 [       ]*[a-f0-9]+:    c5 ca 58 11             vaddss \(%rcx\),%xmm6,%xmm2
2905 [       ]*[a-f0-9]+:    c5 ca 58 11             vaddss \(%rcx\),%xmm6,%xmm2
2906 [       ]*[a-f0-9]+:    c5 ca 5a d4             vcvtss2sd %xmm4,%xmm6,%xmm2
2907 [       ]*[a-f0-9]+:    c5 ca 5a 11             vcvtss2sd \(%rcx\),%xmm6,%xmm2
2908 [       ]*[a-f0-9]+:    c5 ca 5a 11             vcvtss2sd \(%rcx\),%xmm6,%xmm2
2909 [       ]*[a-f0-9]+:    c5 ca 5e d4             vdivss %xmm4,%xmm6,%xmm2
2910 [       ]*[a-f0-9]+:    c5 ca 5e 11             vdivss \(%rcx\),%xmm6,%xmm2
2911 [       ]*[a-f0-9]+:    c5 ca 5e 11             vdivss \(%rcx\),%xmm6,%xmm2
2912 [       ]*[a-f0-9]+:    c5 ca 5f d4             vmaxss %xmm4,%xmm6,%xmm2
2913 [       ]*[a-f0-9]+:    c5 ca 5f 11             vmaxss \(%rcx\),%xmm6,%xmm2
2914 [       ]*[a-f0-9]+:    c5 ca 5f 11             vmaxss \(%rcx\),%xmm6,%xmm2
2915 [       ]*[a-f0-9]+:    c5 ca 5d d4             vminss %xmm4,%xmm6,%xmm2
2916 [       ]*[a-f0-9]+:    c5 ca 5d 11             vminss \(%rcx\),%xmm6,%xmm2
2917 [       ]*[a-f0-9]+:    c5 ca 5d 11             vminss \(%rcx\),%xmm6,%xmm2
2918 [       ]*[a-f0-9]+:    c5 ca 59 d4             vmulss %xmm4,%xmm6,%xmm2
2919 [       ]*[a-f0-9]+:    c5 ca 59 11             vmulss \(%rcx\),%xmm6,%xmm2
2920 [       ]*[a-f0-9]+:    c5 ca 59 11             vmulss \(%rcx\),%xmm6,%xmm2
2921 [       ]*[a-f0-9]+:    c5 ca 53 d4             vrcpss %xmm4,%xmm6,%xmm2
2922 [       ]*[a-f0-9]+:    c5 ca 53 11             vrcpss \(%rcx\),%xmm6,%xmm2
2923 [       ]*[a-f0-9]+:    c5 ca 53 11             vrcpss \(%rcx\),%xmm6,%xmm2
2924 [       ]*[a-f0-9]+:    c5 ca 52 d4             vrsqrtss %xmm4,%xmm6,%xmm2
2925 [       ]*[a-f0-9]+:    c5 ca 52 11             vrsqrtss \(%rcx\),%xmm6,%xmm2
2926 [       ]*[a-f0-9]+:    c5 ca 52 11             vrsqrtss \(%rcx\),%xmm6,%xmm2
2927 [       ]*[a-f0-9]+:    c5 ca 51 d4             vsqrtss %xmm4,%xmm6,%xmm2
2928 [       ]*[a-f0-9]+:    c5 ca 51 11             vsqrtss \(%rcx\),%xmm6,%xmm2
2929 [       ]*[a-f0-9]+:    c5 ca 51 11             vsqrtss \(%rcx\),%xmm6,%xmm2
2930 [       ]*[a-f0-9]+:    c5 ca 5c d4             vsubss %xmm4,%xmm6,%xmm2
2931 [       ]*[a-f0-9]+:    c5 ca 5c 11             vsubss \(%rcx\),%xmm6,%xmm2
2932 [       ]*[a-f0-9]+:    c5 ca 5c 11             vsubss \(%rcx\),%xmm6,%xmm2
2933 [       ]*[a-f0-9]+:    c5 ca c2 d4 00          vcmpeqss %xmm4,%xmm6,%xmm2
2934 [       ]*[a-f0-9]+:    c5 ca c2 11 00          vcmpeqss \(%rcx\),%xmm6,%xmm2
2935 [       ]*[a-f0-9]+:    c5 ca c2 11 00          vcmpeqss \(%rcx\),%xmm6,%xmm2
2936 [       ]*[a-f0-9]+:    c5 ca c2 d4 01          vcmpltss %xmm4,%xmm6,%xmm2
2937 [       ]*[a-f0-9]+:    c5 ca c2 11 01          vcmpltss \(%rcx\),%xmm6,%xmm2
2938 [       ]*[a-f0-9]+:    c5 ca c2 11 01          vcmpltss \(%rcx\),%xmm6,%xmm2
2939 [       ]*[a-f0-9]+:    c5 ca c2 d4 02          vcmpless %xmm4,%xmm6,%xmm2
2940 [       ]*[a-f0-9]+:    c5 ca c2 11 02          vcmpless \(%rcx\),%xmm6,%xmm2
2941 [       ]*[a-f0-9]+:    c5 ca c2 11 02          vcmpless \(%rcx\),%xmm6,%xmm2
2942 [       ]*[a-f0-9]+:    c5 ca c2 d4 03          vcmpunordss %xmm4,%xmm6,%xmm2
2943 [       ]*[a-f0-9]+:    c5 ca c2 11 03          vcmpunordss \(%rcx\),%xmm6,%xmm2
2944 [       ]*[a-f0-9]+:    c5 ca c2 11 03          vcmpunordss \(%rcx\),%xmm6,%xmm2
2945 [       ]*[a-f0-9]+:    c5 ca c2 d4 04          vcmpneqss %xmm4,%xmm6,%xmm2
2946 [       ]*[a-f0-9]+:    c5 ca c2 11 04          vcmpneqss \(%rcx\),%xmm6,%xmm2
2947 [       ]*[a-f0-9]+:    c5 ca c2 11 04          vcmpneqss \(%rcx\),%xmm6,%xmm2
2948 [       ]*[a-f0-9]+:    c5 ca c2 d4 05          vcmpnltss %xmm4,%xmm6,%xmm2
2949 [       ]*[a-f0-9]+:    c5 ca c2 11 05          vcmpnltss \(%rcx\),%xmm6,%xmm2
2950 [       ]*[a-f0-9]+:    c5 ca c2 11 05          vcmpnltss \(%rcx\),%xmm6,%xmm2
2951 [       ]*[a-f0-9]+:    c5 ca c2 d4 06          vcmpnless %xmm4,%xmm6,%xmm2
2952 [       ]*[a-f0-9]+:    c5 ca c2 11 06          vcmpnless \(%rcx\),%xmm6,%xmm2
2953 [       ]*[a-f0-9]+:    c5 ca c2 11 06          vcmpnless \(%rcx\),%xmm6,%xmm2
2954 [       ]*[a-f0-9]+:    c5 ca c2 d4 07          vcmpordss %xmm4,%xmm6,%xmm2
2955 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%rcx\),%xmm6,%xmm2
2956 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%rcx\),%xmm6,%xmm2
2957 [       ]*[a-f0-9]+:    c5 ca c2 d4 08          vcmpeq_uqss %xmm4,%xmm6,%xmm2
2958 [       ]*[a-f0-9]+:    c5 ca c2 11 08          vcmpeq_uqss \(%rcx\),%xmm6,%xmm2
2959 [       ]*[a-f0-9]+:    c5 ca c2 11 08          vcmpeq_uqss \(%rcx\),%xmm6,%xmm2
2960 [       ]*[a-f0-9]+:    c5 ca c2 d4 09          vcmpngess %xmm4,%xmm6,%xmm2
2961 [       ]*[a-f0-9]+:    c5 ca c2 11 09          vcmpngess \(%rcx\),%xmm6,%xmm2
2962 [       ]*[a-f0-9]+:    c5 ca c2 11 09          vcmpngess \(%rcx\),%xmm6,%xmm2
2963 [       ]*[a-f0-9]+:    c5 ca c2 d4 0a          vcmpngtss %xmm4,%xmm6,%xmm2
2964 [       ]*[a-f0-9]+:    c5 ca c2 11 0a          vcmpngtss \(%rcx\),%xmm6,%xmm2
2965 [       ]*[a-f0-9]+:    c5 ca c2 11 0a          vcmpngtss \(%rcx\),%xmm6,%xmm2
2966 [       ]*[a-f0-9]+:    c5 ca c2 d4 0b          vcmpfalsess %xmm4,%xmm6,%xmm2
2967 [       ]*[a-f0-9]+:    c5 ca c2 11 0b          vcmpfalsess \(%rcx\),%xmm6,%xmm2
2968 [       ]*[a-f0-9]+:    c5 ca c2 11 0b          vcmpfalsess \(%rcx\),%xmm6,%xmm2
2969 [       ]*[a-f0-9]+:    c5 ca c2 d4 0c          vcmpneq_oqss %xmm4,%xmm6,%xmm2
2970 [       ]*[a-f0-9]+:    c5 ca c2 11 0c          vcmpneq_oqss \(%rcx\),%xmm6,%xmm2
2971 [       ]*[a-f0-9]+:    c5 ca c2 11 0c          vcmpneq_oqss \(%rcx\),%xmm6,%xmm2
2972 [       ]*[a-f0-9]+:    c5 ca c2 d4 0d          vcmpgess %xmm4,%xmm6,%xmm2
2973 [       ]*[a-f0-9]+:    c5 ca c2 11 0d          vcmpgess \(%rcx\),%xmm6,%xmm2
2974 [       ]*[a-f0-9]+:    c5 ca c2 11 0d          vcmpgess \(%rcx\),%xmm6,%xmm2
2975 [       ]*[a-f0-9]+:    c5 ca c2 d4 0e          vcmpgtss %xmm4,%xmm6,%xmm2
2976 [       ]*[a-f0-9]+:    c5 ca c2 11 0e          vcmpgtss \(%rcx\),%xmm6,%xmm2
2977 [       ]*[a-f0-9]+:    c5 ca c2 11 0e          vcmpgtss \(%rcx\),%xmm6,%xmm2
2978 [       ]*[a-f0-9]+:    c5 ca c2 d4 0f          vcmptruess %xmm4,%xmm6,%xmm2
2979 [       ]*[a-f0-9]+:    c5 ca c2 11 0f          vcmptruess \(%rcx\),%xmm6,%xmm2
2980 [       ]*[a-f0-9]+:    c5 ca c2 11 0f          vcmptruess \(%rcx\),%xmm6,%xmm2
2981 [       ]*[a-f0-9]+:    c5 ca c2 d4 10          vcmpeq_osss %xmm4,%xmm6,%xmm2
2982 [       ]*[a-f0-9]+:    c5 ca c2 11 10          vcmpeq_osss \(%rcx\),%xmm6,%xmm2
2983 [       ]*[a-f0-9]+:    c5 ca c2 11 10          vcmpeq_osss \(%rcx\),%xmm6,%xmm2
2984 [       ]*[a-f0-9]+:    c5 ca c2 d4 11          vcmplt_oqss %xmm4,%xmm6,%xmm2
2985 [       ]*[a-f0-9]+:    c5 ca c2 11 11          vcmplt_oqss \(%rcx\),%xmm6,%xmm2
2986 [       ]*[a-f0-9]+:    c5 ca c2 11 11          vcmplt_oqss \(%rcx\),%xmm6,%xmm2
2987 [       ]*[a-f0-9]+:    c5 ca c2 d4 12          vcmple_oqss %xmm4,%xmm6,%xmm2
2988 [       ]*[a-f0-9]+:    c5 ca c2 11 12          vcmple_oqss \(%rcx\),%xmm6,%xmm2
2989 [       ]*[a-f0-9]+:    c5 ca c2 11 12          vcmple_oqss \(%rcx\),%xmm6,%xmm2
2990 [       ]*[a-f0-9]+:    c5 ca c2 d4 13          vcmpunord_sss %xmm4,%xmm6,%xmm2
2991 [       ]*[a-f0-9]+:    c5 ca c2 11 13          vcmpunord_sss \(%rcx\),%xmm6,%xmm2
2992 [       ]*[a-f0-9]+:    c5 ca c2 11 13          vcmpunord_sss \(%rcx\),%xmm6,%xmm2
2993 [       ]*[a-f0-9]+:    c5 ca c2 d4 14          vcmpneq_usss %xmm4,%xmm6,%xmm2
2994 [       ]*[a-f0-9]+:    c5 ca c2 11 14          vcmpneq_usss \(%rcx\),%xmm6,%xmm2
2995 [       ]*[a-f0-9]+:    c5 ca c2 11 14          vcmpneq_usss \(%rcx\),%xmm6,%xmm2
2996 [       ]*[a-f0-9]+:    c5 ca c2 d4 15          vcmpnlt_uqss %xmm4,%xmm6,%xmm2
2997 [       ]*[a-f0-9]+:    c5 ca c2 11 15          vcmpnlt_uqss \(%rcx\),%xmm6,%xmm2
2998 [       ]*[a-f0-9]+:    c5 ca c2 11 15          vcmpnlt_uqss \(%rcx\),%xmm6,%xmm2
2999 [       ]*[a-f0-9]+:    c5 ca c2 d4 16          vcmpnle_uqss %xmm4,%xmm6,%xmm2
3000 [       ]*[a-f0-9]+:    c5 ca c2 11 16          vcmpnle_uqss \(%rcx\),%xmm6,%xmm2
3001 [       ]*[a-f0-9]+:    c5 ca c2 11 16          vcmpnle_uqss \(%rcx\),%xmm6,%xmm2
3002 [       ]*[a-f0-9]+:    c5 ca c2 d4 17          vcmpord_sss %xmm4,%xmm6,%xmm2
3003 [       ]*[a-f0-9]+:    c5 ca c2 11 17          vcmpord_sss \(%rcx\),%xmm6,%xmm2
3004 [       ]*[a-f0-9]+:    c5 ca c2 11 17          vcmpord_sss \(%rcx\),%xmm6,%xmm2
3005 [       ]*[a-f0-9]+:    c5 ca c2 d4 18          vcmpeq_usss %xmm4,%xmm6,%xmm2
3006 [       ]*[a-f0-9]+:    c5 ca c2 11 18          vcmpeq_usss \(%rcx\),%xmm6,%xmm2
3007 [       ]*[a-f0-9]+:    c5 ca c2 11 18          vcmpeq_usss \(%rcx\),%xmm6,%xmm2
3008 [       ]*[a-f0-9]+:    c5 ca c2 d4 19          vcmpnge_uqss %xmm4,%xmm6,%xmm2
3009 [       ]*[a-f0-9]+:    c5 ca c2 11 19          vcmpnge_uqss \(%rcx\),%xmm6,%xmm2
3010 [       ]*[a-f0-9]+:    c5 ca c2 11 19          vcmpnge_uqss \(%rcx\),%xmm6,%xmm2
3011 [       ]*[a-f0-9]+:    c5 ca c2 d4 1a          vcmpngt_uqss %xmm4,%xmm6,%xmm2
3012 [       ]*[a-f0-9]+:    c5 ca c2 11 1a          vcmpngt_uqss \(%rcx\),%xmm6,%xmm2
3013 [       ]*[a-f0-9]+:    c5 ca c2 11 1a          vcmpngt_uqss \(%rcx\),%xmm6,%xmm2
3014 [       ]*[a-f0-9]+:    c5 ca c2 d4 1b          vcmpfalse_osss %xmm4,%xmm6,%xmm2
3015 [       ]*[a-f0-9]+:    c5 ca c2 11 1b          vcmpfalse_osss \(%rcx\),%xmm6,%xmm2
3016 [       ]*[a-f0-9]+:    c5 ca c2 11 1b          vcmpfalse_osss \(%rcx\),%xmm6,%xmm2
3017 [       ]*[a-f0-9]+:    c5 ca c2 d4 1c          vcmpneq_osss %xmm4,%xmm6,%xmm2
3018 [       ]*[a-f0-9]+:    c5 ca c2 11 1c          vcmpneq_osss \(%rcx\),%xmm6,%xmm2
3019 [       ]*[a-f0-9]+:    c5 ca c2 11 1c          vcmpneq_osss \(%rcx\),%xmm6,%xmm2
3020 [       ]*[a-f0-9]+:    c5 ca c2 d4 1d          vcmpge_oqss %xmm4,%xmm6,%xmm2
3021 [       ]*[a-f0-9]+:    c5 ca c2 11 1d          vcmpge_oqss \(%rcx\),%xmm6,%xmm2
3022 [       ]*[a-f0-9]+:    c5 ca c2 11 1d          vcmpge_oqss \(%rcx\),%xmm6,%xmm2
3023 [       ]*[a-f0-9]+:    c5 ca c2 d4 1e          vcmpgt_oqss %xmm4,%xmm6,%xmm2
3024 [       ]*[a-f0-9]+:    c5 ca c2 11 1e          vcmpgt_oqss \(%rcx\),%xmm6,%xmm2
3025 [       ]*[a-f0-9]+:    c5 ca c2 11 1e          vcmpgt_oqss \(%rcx\),%xmm6,%xmm2
3026 [       ]*[a-f0-9]+:    c5 ca c2 d4 1f          vcmptrue_usss %xmm4,%xmm6,%xmm2
3027 [       ]*[a-f0-9]+:    c5 ca c2 11 1f          vcmptrue_usss \(%rcx\),%xmm6,%xmm2
3028 [       ]*[a-f0-9]+:    c5 ca c2 11 1f          vcmptrue_usss \(%rcx\),%xmm6,%xmm2
3029 [       ]*[a-f0-9]+:    c4 e2 7d 18 21          vbroadcastss \(%rcx\),%ymm4
3030 [       ]*[a-f0-9]+:    c4 e2 7d 18 21          vbroadcastss \(%rcx\),%ymm4
3031 [       ]*[a-f0-9]+:    c5 f8 2f f4             vcomiss %xmm4,%xmm6
3032 [       ]*[a-f0-9]+:    c5 f8 2f 21             vcomiss \(%rcx\),%xmm4
3033 [       ]*[a-f0-9]+:    c5 f8 2f 21             vcomiss \(%rcx\),%xmm4
3034 [       ]*[a-f0-9]+:    c4 e2 79 21 f4          vpmovsxbd %xmm4,%xmm6
3035 [       ]*[a-f0-9]+:    c4 e2 79 21 21          vpmovsxbd \(%rcx\),%xmm4
3036 [       ]*[a-f0-9]+:    c4 e2 79 21 21          vpmovsxbd \(%rcx\),%xmm4
3037 [       ]*[a-f0-9]+:    c4 e2 79 24 f4          vpmovsxwq %xmm4,%xmm6
3038 [       ]*[a-f0-9]+:    c4 e2 79 24 21          vpmovsxwq \(%rcx\),%xmm4
3039 [       ]*[a-f0-9]+:    c4 e2 79 24 21          vpmovsxwq \(%rcx\),%xmm4
3040 [       ]*[a-f0-9]+:    c4 e2 79 31 f4          vpmovzxbd %xmm4,%xmm6
3041 [       ]*[a-f0-9]+:    c4 e2 79 31 21          vpmovzxbd \(%rcx\),%xmm4
3042 [       ]*[a-f0-9]+:    c4 e2 79 31 21          vpmovzxbd \(%rcx\),%xmm4
3043 [       ]*[a-f0-9]+:    c4 e2 79 34 f4          vpmovzxwq %xmm4,%xmm6
3044 [       ]*[a-f0-9]+:    c4 e2 79 34 21          vpmovzxwq \(%rcx\),%xmm4
3045 [       ]*[a-f0-9]+:    c4 e2 79 34 21          vpmovzxwq \(%rcx\),%xmm4
3046 [       ]*[a-f0-9]+:    c5 f8 2e f4             vucomiss %xmm4,%xmm6
3047 [       ]*[a-f0-9]+:    c5 f8 2e 21             vucomiss \(%rcx\),%xmm4
3048 [       ]*[a-f0-9]+:    c5 f8 2e 21             vucomiss \(%rcx\),%xmm4
3049 [       ]*[a-f0-9]+:    c4 e2 79 18 21          vbroadcastss \(%rcx\),%xmm4
3050 [       ]*[a-f0-9]+:    c4 e2 79 18 21          vbroadcastss \(%rcx\),%xmm4
3051 [       ]*[a-f0-9]+:    c5 fa 10 21             vmovss \(%rcx\),%xmm4
3052 [       ]*[a-f0-9]+:    c5 fa 10 21             vmovss \(%rcx\),%xmm4
3053 [       ]*[a-f0-9]+:    c5 fa 11 21             vmovss %xmm4,\(%rcx\)
3054 [       ]*[a-f0-9]+:    c5 fa 11 21             vmovss %xmm4,\(%rcx\)
3055 [       ]*[a-f0-9]+:    c5 f9 7e e1             vmovd  %xmm4,%ecx
3056 [       ]*[a-f0-9]+:    c5 f9 7e 21             vmovd  %xmm4,\(%rcx\)
3057 [       ]*[a-f0-9]+:    c5 f9 6e e1             vmovd  %ecx,%xmm4
3058 [       ]*[a-f0-9]+:    c5 f9 6e 21             vmovd  \(%rcx\),%xmm4
3059 [       ]*[a-f0-9]+:    c5 f9 7e 21             vmovd  %xmm4,\(%rcx\)
3060 [       ]*[a-f0-9]+:    c5 f9 6e 21             vmovd  \(%rcx\),%xmm4
3061 [       ]*[a-f0-9]+:    c5 fa 2d cc             vcvtss2si %xmm4,%ecx
3062 [       ]*[a-f0-9]+:    c5 fa 2d 09             vcvtss2si \(%rcx\),%ecx
3063 [       ]*[a-f0-9]+:    c5 fa 2d 09             vcvtss2si \(%rcx\),%ecx
3064 [       ]*[a-f0-9]+:    c5 fa 2c cc             vcvttss2si %xmm4,%ecx
3065 [       ]*[a-f0-9]+:    c5 fa 2c 09             vcvttss2si \(%rcx\),%ecx
3066 [       ]*[a-f0-9]+:    c5 fa 2c 09             vcvttss2si \(%rcx\),%ecx
3067 [       ]*[a-f0-9]+:    c4 e1 fa 2d cc          vcvtss2si %xmm4,%rcx
3068 [       ]*[a-f0-9]+:    c4 e1 fa 2d 09          vcvtss2si \(%rcx\),%rcx
3069 [       ]*[a-f0-9]+:    c4 e1 fa 2d 09          vcvtss2si \(%rcx\),%rcx
3070 [       ]*[a-f0-9]+:    c4 e1 fa 2c cc          vcvttss2si %xmm4,%rcx
3071 [       ]*[a-f0-9]+:    c4 e1 fa 2c 09          vcvttss2si \(%rcx\),%rcx
3072 [       ]*[a-f0-9]+:    c4 e1 fa 2c 09          vcvttss2si \(%rcx\),%rcx
3073 [       ]*[a-f0-9]+:    c5 f9 50 cc             vmovmskpd %xmm4,%ecx
3074 [       ]*[a-f0-9]+:    c5 f8 50 cc             vmovmskps %xmm4,%ecx
3075 [       ]*[a-f0-9]+:    c5 f9 d7 cc             vpmovmskb %xmm4,%ecx
3076 [       ]*[a-f0-9]+:    c4 e3 79 17 e1 07       vextractps \$0x7,%xmm4,%ecx
3077 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%rcx\)
3078 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%rcx\)
3079 [       ]*[a-f0-9]+:    c4 e3 79 16 e1 07       vpextrd \$0x7,%xmm4,%ecx
3080 [       ]*[a-f0-9]+:    c4 e3 79 16 21 07       vpextrd \$0x7,%xmm4,\(%rcx\)
3081 [       ]*[a-f0-9]+:    c4 e3 79 16 21 07       vpextrd \$0x7,%xmm4,\(%rcx\)
3082 [       ]*[a-f0-9]+:    c4 e3 79 17 e1 07       vextractps \$0x7,%xmm4,%ecx
3083 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%rcx\)
3084 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%rcx\)
3085 [       ]*[a-f0-9]+:    c5 db 2a f1             vcvtsi2sd %ecx,%xmm4,%xmm6
3086 [       ]*[a-f0-9]+:    c5 db 2a 31             vcvtsi2sdl \(%rcx\),%xmm4,%xmm6
3087 [       ]*[a-f0-9]+:    c5 da 2a f1             vcvtsi2ss %ecx,%xmm4,%xmm6
3088 [       ]*[a-f0-9]+:    c5 da 2a 31             vcvtsi2ssl \(%rcx\),%xmm4,%xmm6
3089 [       ]*[a-f0-9]+:    c5 ca c2 d4 07          vcmpordss %xmm4,%xmm6,%xmm2
3090 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%rcx\),%xmm6,%xmm2
3091 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%rcx\),%xmm6,%xmm2
3092 [       ]*[a-f0-9]+:    c4 e3 49 21 d4 07       vinsertps \$0x7,%xmm4,%xmm6,%xmm2
3093 [       ]*[a-f0-9]+:    c4 e3 49 21 11 07       vinsertps \$0x7,\(%rcx\),%xmm6,%xmm2
3094 [       ]*[a-f0-9]+:    c4 e3 49 21 11 07       vinsertps \$0x7,\(%rcx\),%xmm6,%xmm2
3095 [       ]*[a-f0-9]+:    c4 e3 49 0a d4 07       vroundss \$0x7,%xmm4,%xmm6,%xmm2
3096 [       ]*[a-f0-9]+:    c4 e3 49 0a 11 07       vroundss \$0x7,\(%rcx\),%xmm6,%xmm2
3097 [       ]*[a-f0-9]+:    c4 e3 49 0a 11 07       vroundss \$0x7,\(%rcx\),%xmm6,%xmm2
3098 [       ]*[a-f0-9]+:    c4 e2 79 22 f4          vpmovsxbq %xmm4,%xmm6
3099 [       ]*[a-f0-9]+:    c4 e2 79 22 21          vpmovsxbq \(%rcx\),%xmm4
3100 [       ]*[a-f0-9]+:    c4 e2 79 22 21          vpmovsxbq \(%rcx\),%xmm4
3101 [       ]*[a-f0-9]+:    c4 e2 79 32 f4          vpmovzxbq %xmm4,%xmm6
3102 [       ]*[a-f0-9]+:    c4 e2 79 32 21          vpmovzxbq \(%rcx\),%xmm4
3103 [       ]*[a-f0-9]+:    c4 e2 79 32 21          vpmovzxbq \(%rcx\),%xmm4
3104 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
3105 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%rcx\)
3106 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%rcx\)
3107 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
3108 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%rcx\)
3109 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%rcx\)
3110 [       ]*[a-f0-9]+:    c5 d9 c4 f1 07          vpinsrw \$0x7,%ecx,%xmm4,%xmm6
3111 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%rcx\),%xmm4,%xmm6
3112 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%rcx\),%xmm4,%xmm6
3113 [       ]*[a-f0-9]+:    c5 d9 c4 f1 07          vpinsrw \$0x7,%ecx,%xmm4,%xmm6
3114 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%rcx\),%xmm4,%xmm6
3115 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%rcx\),%xmm4,%xmm6
3116 [       ]*[a-f0-9]+:    c4 e3 79 14 e1 07       vpextrb \$0x7,%xmm4,%ecx
3117 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%rcx\)
3118 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%rcx\)
3119 [       ]*[a-f0-9]+:    c4 e3 59 20 f1 07       vpinsrb \$0x7,%ecx,%xmm4,%xmm6
3120 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%rcx\),%xmm4,%xmm6
3121 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%rcx\),%xmm4,%xmm6
3122 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
3123 [       ]*[a-f0-9]+:    c4 e3 79 14 e1 07       vpextrb \$0x7,%xmm4,%ecx
3124 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%rcx\)
3125 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%rcx\)
3126 [       ]*[a-f0-9]+:    c4 e3 59 20 f1 07       vpinsrb \$0x7,%ecx,%xmm4,%xmm6
3127 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%rcx\),%xmm4,%xmm6
3128 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%rcx\),%xmm4,%xmm6
3129 [       ]*[a-f0-9]+:    c5 f9 f7 f4             vmaskmovdqu %xmm4,%xmm6
3130 [       ]*[a-f0-9]+:    c5 fa 7e f4             vmovq  %xmm4,%xmm6
3131 [       ]*[a-f0-9]+:    c5 f9 50 cc             vmovmskpd %xmm4,%ecx
3132 [       ]*[a-f0-9]+:    c5 f8 50 cc             vmovmskps %xmm4,%ecx
3133 [       ]*[a-f0-9]+:    c5 f9 d7 cc             vpmovmskb %xmm4,%ecx
3134 [       ]*[a-f0-9]+:    c5 c8 12 d4             vmovhlps %xmm4,%xmm6,%xmm2
3135 [       ]*[a-f0-9]+:    c5 c8 16 d4             vmovlhps %xmm4,%xmm6,%xmm2
3136 [       ]*[a-f0-9]+:    c5 cb 10 d4             vmovsd %xmm4,%xmm6,%xmm2
3137 [       ]*[a-f0-9]+:    c5 ca 10 d4             vmovss %xmm4,%xmm6,%xmm2
3138 [       ]*[a-f0-9]+:    c5 c9 72 f4 07          vpslld \$0x7,%xmm4,%xmm6
3139 [       ]*[a-f0-9]+:    c5 c9 73 fc 07          vpslldq \$0x7,%xmm4,%xmm6
3140 [       ]*[a-f0-9]+:    c5 c9 73 f4 07          vpsllq \$0x7,%xmm4,%xmm6
3141 [       ]*[a-f0-9]+:    c5 c9 71 f4 07          vpsllw \$0x7,%xmm4,%xmm6
3142 [       ]*[a-f0-9]+:    c5 c9 72 e4 07          vpsrad \$0x7,%xmm4,%xmm6
3143 [       ]*[a-f0-9]+:    c5 c9 71 e4 07          vpsraw \$0x7,%xmm4,%xmm6
3144 [       ]*[a-f0-9]+:    c5 c9 72 d4 07          vpsrld \$0x7,%xmm4,%xmm6
3145 [       ]*[a-f0-9]+:    c5 c9 73 dc 07          vpsrldq \$0x7,%xmm4,%xmm6
3146 [       ]*[a-f0-9]+:    c5 c9 73 d4 07          vpsrlq \$0x7,%xmm4,%xmm6
3147 [       ]*[a-f0-9]+:    c5 c9 71 d4 07          vpsrlw \$0x7,%xmm4,%xmm6
3148 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
3149 [       ]*[a-f0-9]+:    c5 fd 50 cc             vmovmskpd %ymm4,%ecx
3150 [       ]*[a-f0-9]+:    c5 fc 50 cc             vmovmskps %ymm4,%ecx
3151 [       ]*[a-f0-9]+:    c5 fd 50 cc             vmovmskpd %ymm4,%ecx
3152 [       ]*[a-f0-9]+:    c5 fc 50 cc             vmovmskps %ymm4,%ecx
3153 [       ]*[a-f0-9]+:    c5 fb e6 f4             vcvtpd2dq %xmm4,%xmm6
3154 [       ]*[a-f0-9]+:    c5 ff e6 f4             vcvtpd2dq %ymm4,%xmm6
3155 [       ]*[a-f0-9]+:    c5 f9 5a f4             vcvtpd2ps %xmm4,%xmm6
3156 [       ]*[a-f0-9]+:    c5 fd 5a f4             vcvtpd2ps %ymm4,%xmm6
3157 [       ]*[a-f0-9]+:    c5 f9 e6 f4             vcvttpd2dq %xmm4,%xmm6
3158 [       ]*[a-f0-9]+:    c5 fd e6 f4             vcvttpd2dq %ymm4,%xmm6
3159 [       ]*[a-f0-9]+:    c5 f8 ae 14 25 78 56 34 12      vldmxcsr 0x12345678
3160 [       ]*[a-f0-9]+:    c5 79 6f 04 25 78 56 34 12      vmovdqa 0x12345678,%xmm8
3161 [       ]*[a-f0-9]+:    c5 79 7f 04 25 78 56 34 12      vmovdqa %xmm8,0x12345678
3162 [       ]*[a-f0-9]+:    c5 79 7e 04 25 78 56 34 12      vmovd  %xmm8,0x12345678
3163 [       ]*[a-f0-9]+:    c5 7b 2d 04 25 78 56 34 12      vcvtsd2si 0x12345678,%r8d
3164 [       ]*[a-f0-9]+:    c5 7e e6 04 25 78 56 34 12      vcvtdq2pd 0x12345678,%ymm8
3165 [       ]*[a-f0-9]+:    c5 7d 5a 04 25 78 56 34 12      vcvtpd2psy 0x12345678,%xmm8
3166 [       ]*[a-f0-9]+:    c5 39 e0 3c 25 78 56 34 12      vpavgb 0x12345678,%xmm8,%xmm15
3167 [       ]*[a-f0-9]+:    c4 63 79 df 04 25 78 56 34 12 07        vaeskeygenassist \$0x7,0x12345678,%xmm8
3168 [       ]*[a-f0-9]+:    c4 63 79 14 04 25 78 56 34 12 07        vpextrb \$0x7,%xmm8,0x12345678
3169 [       ]*[a-f0-9]+:    c5 3b 2a 3c 25 78 56 34 12      vcvtsi2sdl 0x12345678,%xmm8,%xmm15
3170 [       ]*[a-f0-9]+:    c4 63 39 44 3c 25 78 56 34 12 07        vpclmulqdq \$0x7,0x12345678,%xmm8,%xmm15
3171 [       ]*[a-f0-9]+:    c4 63 19 4a 34 25 78 56 34 12 80        vblendvps %xmm8,0x12345678,%xmm12,%xmm14
3172 [       ]*[a-f0-9]+:    c4 63 39 20 3c 25 78 56 34 12 07        vpinsrb \$0x7,0x12345678,%xmm8,%xmm15
3173 [       ]*[a-f0-9]+:    c5 7d 6f 04 25 78 56 34 12      vmovdqa 0x12345678,%ymm8
3174 [       ]*[a-f0-9]+:    c5 7d 7f 04 25 78 56 34 12      vmovdqa %ymm8,0x12345678
3175 [       ]*[a-f0-9]+:    c4 62 3d 0d 3c 25 78 56 34 12   vpermilpd 0x12345678,%ymm8,%ymm15
3176 [       ]*[a-f0-9]+:    c4 63 7d 09 04 25 78 56 34 12 07        vroundpd \$0x7,0x12345678,%ymm8
3177 [       ]*[a-f0-9]+:    c4 63 7d 19 04 25 78 56 34 12 07        vextractf128 \$0x7,%ymm8,0x12345678
3178 [       ]*[a-f0-9]+:    c4 63 3d 06 3c 25 78 56 34 12 07        vperm2f128 \$0x7,0x12345678,%ymm8,%ymm15
3179 [       ]*[a-f0-9]+:    c4 63 1d 4b 34 25 78 56 34 12 80        vblendvpd %ymm8,0x12345678,%ymm12,%ymm14
3180 [       ]*[a-f0-9]+:    c5 f8 ae 55 00          vldmxcsr 0x0\(%rbp\)
3181 [       ]*[a-f0-9]+:    c5 79 6f 45 00          vmovdqa 0x0\(%rbp\),%xmm8
3182 [       ]*[a-f0-9]+:    c5 79 7f 45 00          vmovdqa %xmm8,0x0\(%rbp\)
3183 [       ]*[a-f0-9]+:    c5 79 7e 45 00          vmovd  %xmm8,0x0\(%rbp\)
3184 [       ]*[a-f0-9]+:    c5 7b 2d 45 00          vcvtsd2si 0x0\(%rbp\),%r8d
3185 [       ]*[a-f0-9]+:    c5 7e e6 45 00          vcvtdq2pd 0x0\(%rbp\),%ymm8
3186 [       ]*[a-f0-9]+:    c5 7d 5a 45 00          vcvtpd2psy 0x0\(%rbp\),%xmm8
3187 [       ]*[a-f0-9]+:    c5 39 e0 7d 00          vpavgb 0x0\(%rbp\),%xmm8,%xmm15
3188 [       ]*[a-f0-9]+:    c4 63 79 df 45 00 07    vaeskeygenassist \$0x7,0x0\(%rbp\),%xmm8
3189 [       ]*[a-f0-9]+:    c4 63 79 14 45 00 07    vpextrb \$0x7,%xmm8,0x0\(%rbp\)
3190 [       ]*[a-f0-9]+:    c5 3b 2a 7d 00          vcvtsi2sdl 0x0\(%rbp\),%xmm8,%xmm15
3191 [       ]*[a-f0-9]+:    c4 63 39 44 7d 00 07    vpclmulqdq \$0x7,0x0\(%rbp\),%xmm8,%xmm15
3192 [       ]*[a-f0-9]+:    c4 63 19 4a 75 00 80    vblendvps %xmm8,0x0\(%rbp\),%xmm12,%xmm14
3193 [       ]*[a-f0-9]+:    c4 63 39 20 7d 00 07    vpinsrb \$0x7,0x0\(%rbp\),%xmm8,%xmm15
3194 [       ]*[a-f0-9]+:    c5 7d 6f 45 00          vmovdqa 0x0\(%rbp\),%ymm8
3195 [       ]*[a-f0-9]+:    c5 7d 7f 45 00          vmovdqa %ymm8,0x0\(%rbp\)
3196 [       ]*[a-f0-9]+:    c4 62 3d 0d 7d 00       vpermilpd 0x0\(%rbp\),%ymm8,%ymm15
3197 [       ]*[a-f0-9]+:    c4 63 7d 09 45 00 07    vroundpd \$0x7,0x0\(%rbp\),%ymm8
3198 [       ]*[a-f0-9]+:    c4 63 7d 19 45 00 07    vextractf128 \$0x7,%ymm8,0x0\(%rbp\)
3199 [       ]*[a-f0-9]+:    c4 63 3d 06 7d 00 07    vperm2f128 \$0x7,0x0\(%rbp\),%ymm8,%ymm15
3200 [       ]*[a-f0-9]+:    c4 63 1d 4b 75 00 80    vblendvpd %ymm8,0x0\(%rbp\),%ymm12,%ymm14
3201 [       ]*[a-f0-9]+:    c5 f8 ae 95 99 00 00 00         vldmxcsr 0x99\(%rbp\)
3202 [       ]*[a-f0-9]+:    c5 79 6f 85 99 00 00 00         vmovdqa 0x99\(%rbp\),%xmm8
3203 [       ]*[a-f0-9]+:    c5 79 7f 85 99 00 00 00         vmovdqa %xmm8,0x99\(%rbp\)
3204 [       ]*[a-f0-9]+:    c5 79 7e 85 99 00 00 00         vmovd  %xmm8,0x99\(%rbp\)
3205 [       ]*[a-f0-9]+:    c5 7b 2d 85 99 00 00 00         vcvtsd2si 0x99\(%rbp\),%r8d
3206 [       ]*[a-f0-9]+:    c5 7e e6 85 99 00 00 00         vcvtdq2pd 0x99\(%rbp\),%ymm8
3207 [       ]*[a-f0-9]+:    c5 7d 5a 85 99 00 00 00         vcvtpd2psy 0x99\(%rbp\),%xmm8
3208 [       ]*[a-f0-9]+:    c5 39 e0 bd 99 00 00 00         vpavgb 0x99\(%rbp\),%xmm8,%xmm15
3209 [       ]*[a-f0-9]+:    c4 63 79 df 85 99 00 00 00 07   vaeskeygenassist \$0x7,0x99\(%rbp\),%xmm8
3210 [       ]*[a-f0-9]+:    c4 63 79 14 85 99 00 00 00 07   vpextrb \$0x7,%xmm8,0x99\(%rbp\)
3211 [       ]*[a-f0-9]+:    c5 3b 2a bd 99 00 00 00         vcvtsi2sdl 0x99\(%rbp\),%xmm8,%xmm15
3212 [       ]*[a-f0-9]+:    c4 63 39 44 bd 99 00 00 00 07   vpclmulqdq \$0x7,0x99\(%rbp\),%xmm8,%xmm15
3213 [       ]*[a-f0-9]+:    c4 63 19 4a b5 99 00 00 00 80   vblendvps %xmm8,0x99\(%rbp\),%xmm12,%xmm14
3214 [       ]*[a-f0-9]+:    c4 63 39 20 bd 99 00 00 00 07   vpinsrb \$0x7,0x99\(%rbp\),%xmm8,%xmm15
3215 [       ]*[a-f0-9]+:    c5 7d 6f 85 99 00 00 00         vmovdqa 0x99\(%rbp\),%ymm8
3216 [       ]*[a-f0-9]+:    c5 7d 7f 85 99 00 00 00         vmovdqa %ymm8,0x99\(%rbp\)
3217 [       ]*[a-f0-9]+:    c4 62 3d 0d bd 99 00 00 00      vpermilpd 0x99\(%rbp\),%ymm8,%ymm15
3218 [       ]*[a-f0-9]+:    c4 63 7d 09 85 99 00 00 00 07   vroundpd \$0x7,0x99\(%rbp\),%ymm8
3219 [       ]*[a-f0-9]+:    c4 63 7d 19 85 99 00 00 00 07   vextractf128 \$0x7,%ymm8,0x99\(%rbp\)
3220 [       ]*[a-f0-9]+:    c4 63 3d 06 bd 99 00 00 00 07   vperm2f128 \$0x7,0x99\(%rbp\),%ymm8,%ymm15
3221 [       ]*[a-f0-9]+:    c4 63 1d 4b b5 99 00 00 00 80   vblendvpd %ymm8,0x99\(%rbp\),%ymm12,%ymm14
3222 [       ]*[a-f0-9]+:    c4 c1 78 ae 97 99 00 00 00      vldmxcsr 0x99\(%r15\)
3223 [       ]*[a-f0-9]+:    c4 41 79 6f 87 99 00 00 00      vmovdqa 0x99\(%r15\),%xmm8
3224 [       ]*[a-f0-9]+:    c4 41 79 7f 87 99 00 00 00      vmovdqa %xmm8,0x99\(%r15\)
3225 [       ]*[a-f0-9]+:    c4 41 79 7e 87 99 00 00 00      vmovd  %xmm8,0x99\(%r15\)
3226 [       ]*[a-f0-9]+:    c4 41 7b 2d 87 99 00 00 00      vcvtsd2si 0x99\(%r15\),%r8d
3227 [       ]*[a-f0-9]+:    c4 41 7e e6 87 99 00 00 00      vcvtdq2pd 0x99\(%r15\),%ymm8
3228 [       ]*[a-f0-9]+:    c4 41 7d 5a 87 99 00 00 00      vcvtpd2psy 0x99\(%r15\),%xmm8
3229 [       ]*[a-f0-9]+:    c4 41 39 e0 bf 99 00 00 00      vpavgb 0x99\(%r15\),%xmm8,%xmm15
3230 [       ]*[a-f0-9]+:    c4 43 79 df 87 99 00 00 00 07   vaeskeygenassist \$0x7,0x99\(%r15\),%xmm8
3231 [       ]*[a-f0-9]+:    c4 43 79 14 87 99 00 00 00 07   vpextrb \$0x7,%xmm8,0x99\(%r15\)
3232 [       ]*[a-f0-9]+:    c4 41 3b 2a bf 99 00 00 00      vcvtsi2sdl 0x99\(%r15\),%xmm8,%xmm15
3233 [       ]*[a-f0-9]+:    c4 43 39 44 bf 99 00 00 00 07   vpclmulqdq \$0x7,0x99\(%r15\),%xmm8,%xmm15
3234 [       ]*[a-f0-9]+:    c4 43 19 4a b7 99 00 00 00 80   vblendvps %xmm8,0x99\(%r15\),%xmm12,%xmm14
3235 [       ]*[a-f0-9]+:    c4 43 39 20 bf 99 00 00 00 07   vpinsrb \$0x7,0x99\(%r15\),%xmm8,%xmm15
3236 [       ]*[a-f0-9]+:    c4 41 7d 6f 87 99 00 00 00      vmovdqa 0x99\(%r15\),%ymm8
3237 [       ]*[a-f0-9]+:    c4 41 7d 7f 87 99 00 00 00      vmovdqa %ymm8,0x99\(%r15\)
3238 [       ]*[a-f0-9]+:    c4 42 3d 0d bf 99 00 00 00      vpermilpd 0x99\(%r15\),%ymm8,%ymm15
3239 [       ]*[a-f0-9]+:    c4 43 7d 09 87 99 00 00 00 07   vroundpd \$0x7,0x99\(%r15\),%ymm8
3240 [       ]*[a-f0-9]+:    c4 43 7d 19 87 99 00 00 00 07   vextractf128 \$0x7,%ymm8,0x99\(%r15\)
3241 [       ]*[a-f0-9]+:    c4 43 3d 06 bf 99 00 00 00 07   vperm2f128 \$0x7,0x99\(%r15\),%ymm8,%ymm15
3242 [       ]*[a-f0-9]+:    c4 43 1d 4b b7 99 00 00 00 80   vblendvpd %ymm8,0x99\(%r15\),%ymm12,%ymm14
3243 [       ]*[a-f0-9]+:    c5 f8 ae 15 99 00 00 00         vldmxcsr 0x99\(%rip\)        # 435d <_start\+0x435d>
3244 [       ]*[a-f0-9]+:    c5 79 6f 05 99 00 00 00         vmovdqa 0x99\(%rip\),%xmm8        # 4365 <_start\+0x4365>
3245 [       ]*[a-f0-9]+:    c5 79 7f 05 99 00 00 00         vmovdqa %xmm8,0x99\(%rip\)        # 436d <_start\+0x436d>
3246 [       ]*[a-f0-9]+:    c5 79 7e 05 99 00 00 00         vmovd  %xmm8,0x99\(%rip\)        # 4375 <_start\+0x4375>
3247 [       ]*[a-f0-9]+:    c5 7b 2d 05 99 00 00 00         vcvtsd2si 0x99\(%rip\),%r8d        # 437d <_start\+0x437d>
3248 [       ]*[a-f0-9]+:    c5 7e e6 05 99 00 00 00         vcvtdq2pd 0x99\(%rip\),%ymm8        # 4385 <_start\+0x4385>
3249 [       ]*[a-f0-9]+:    c5 7d 5a 05 99 00 00 00         vcvtpd2psy 0x99\(%rip\),%xmm8        # 438d <_start\+0x438d>
3250 [       ]*[a-f0-9]+:    c5 39 e0 3d 99 00 00 00         vpavgb 0x99\(%rip\),%xmm8,%xmm15        # 4395 <_start\+0x4395>
3251 [       ]*[a-f0-9]+:    c4 63 79 df 05 99 00 00 00 07   vaeskeygenassist \$0x7,0x99\(%rip\),%xmm8        # 439f <_start\+0x439f>
3252 [       ]*[a-f0-9]+:    c4 63 79 14 05 99 00 00 00 07   vpextrb \$0x7,%xmm8,0x99\(%rip\)        # 43a9 <_start\+0x43a9>
3253 [       ]*[a-f0-9]+:    c5 3b 2a 3d 99 00 00 00         vcvtsi2sdl 0x99\(%rip\),%xmm8,%xmm15        # 43b1 <_start\+0x43b1>
3254 [       ]*[a-f0-9]+:    c4 63 39 44 3d 99 00 00 00 07   vpclmulqdq \$0x7,0x99\(%rip\),%xmm8,%xmm15        # 43bb <_start\+0x43bb>
3255 [       ]*[a-f0-9]+:    c4 63 19 4a 35 99 00 00 00 80   vblendvps %xmm8,0x99\(%rip\),%xmm12,%xmm14        # 43c5 <_start\+0x43c5>
3256 [       ]*[a-f0-9]+:    c4 63 39 20 3d 99 00 00 00 07   vpinsrb \$0x7,0x99\(%rip\),%xmm8,%xmm15        # 43cf <_start\+0x43cf>
3257 [       ]*[a-f0-9]+:    c5 7d 6f 05 99 00 00 00         vmovdqa 0x99\(%rip\),%ymm8        # 43d7 <_start\+0x43d7>
3258 [       ]*[a-f0-9]+:    c5 7d 7f 05 99 00 00 00         vmovdqa %ymm8,0x99\(%rip\)        # 43df <_start\+0x43df>
3259 [       ]*[a-f0-9]+:    c4 62 3d 0d 3d 99 00 00 00      vpermilpd 0x99\(%rip\),%ymm8,%ymm15        # 43e8 <_start\+0x43e8>
3260 [       ]*[a-f0-9]+:    c4 63 7d 09 05 99 00 00 00 07   vroundpd \$0x7,0x99\(%rip\),%ymm8        # 43f2 <_start\+0x43f2>
3261 [       ]*[a-f0-9]+:    c4 63 7d 19 05 99 00 00 00 07   vextractf128 \$0x7,%ymm8,0x99\(%rip\)        # 43fc <_start\+0x43fc>
3262 [       ]*[a-f0-9]+:    c4 63 3d 06 3d 99 00 00 00 07   vperm2f128 \$0x7,0x99\(%rip\),%ymm8,%ymm15        # 4406 <_start\+0x4406>
3263 [       ]*[a-f0-9]+:    c4 63 1d 4b 35 99 00 00 00 80   vblendvpd %ymm8,0x99\(%rip\),%ymm12,%ymm14        # 4410 <_start\+0x4410>
3264 [       ]*[a-f0-9]+:    c5 f8 ae 94 24 99 00 00 00      vldmxcsr 0x99\(%rsp\)
3265 [       ]*[a-f0-9]+:    c5 79 6f 84 24 99 00 00 00      vmovdqa 0x99\(%rsp\),%xmm8
3266 [       ]*[a-f0-9]+:    c5 79 7f 84 24 99 00 00 00      vmovdqa %xmm8,0x99\(%rsp\)
3267 [       ]*[a-f0-9]+:    c5 79 7e 84 24 99 00 00 00      vmovd  %xmm8,0x99\(%rsp\)
3268 [       ]*[a-f0-9]+:    c5 7b 2d 84 24 99 00 00 00      vcvtsd2si 0x99\(%rsp\),%r8d
3269 [       ]*[a-f0-9]+:    c5 7e e6 84 24 99 00 00 00      vcvtdq2pd 0x99\(%rsp\),%ymm8
3270 [       ]*[a-f0-9]+:    c5 7d 5a 84 24 99 00 00 00      vcvtpd2psy 0x99\(%rsp\),%xmm8
3271 [       ]*[a-f0-9]+:    c5 39 e0 bc 24 99 00 00 00      vpavgb 0x99\(%rsp\),%xmm8,%xmm15
3272 [       ]*[a-f0-9]+:    c4 63 79 df 84 24 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%rsp\),%xmm8
3273 [       ]*[a-f0-9]+:    c4 63 79 14 84 24 99 00 00 00 07        vpextrb \$0x7,%xmm8,0x99\(%rsp\)
3274 [       ]*[a-f0-9]+:    c5 3b 2a bc 24 99 00 00 00      vcvtsi2sdl 0x99\(%rsp\),%xmm8,%xmm15
3275 [       ]*[a-f0-9]+:    c4 63 39 44 bc 24 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%rsp\),%xmm8,%xmm15
3276 [       ]*[a-f0-9]+:    c4 63 19 4a b4 24 99 00 00 00 80        vblendvps %xmm8,0x99\(%rsp\),%xmm12,%xmm14
3277 [       ]*[a-f0-9]+:    c4 63 39 20 bc 24 99 00 00 00 07        vpinsrb \$0x7,0x99\(%rsp\),%xmm8,%xmm15
3278 [       ]*[a-f0-9]+:    c5 7d 6f 84 24 99 00 00 00      vmovdqa 0x99\(%rsp\),%ymm8
3279 [       ]*[a-f0-9]+:    c5 7d 7f 84 24 99 00 00 00      vmovdqa %ymm8,0x99\(%rsp\)
3280 [       ]*[a-f0-9]+:    c4 62 3d 0d bc 24 99 00 00 00   vpermilpd 0x99\(%rsp\),%ymm8,%ymm15
3281 [       ]*[a-f0-9]+:    c4 63 7d 09 84 24 99 00 00 00 07        vroundpd \$0x7,0x99\(%rsp\),%ymm8
3282 [       ]*[a-f0-9]+:    c4 63 7d 19 84 24 99 00 00 00 07        vextractf128 \$0x7,%ymm8,0x99\(%rsp\)
3283 [       ]*[a-f0-9]+:    c4 63 3d 06 bc 24 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%rsp\),%ymm8,%ymm15
3284 [       ]*[a-f0-9]+:    c4 63 1d 4b b4 24 99 00 00 00 80        vblendvpd %ymm8,0x99\(%rsp\),%ymm12,%ymm14
3285 [       ]*[a-f0-9]+:    c4 c1 78 ae 94 24 99 00 00 00   vldmxcsr 0x99\(%r12\)
3286 [       ]*[a-f0-9]+:    c4 41 79 6f 84 24 99 00 00 00   vmovdqa 0x99\(%r12\),%xmm8
3287 [       ]*[a-f0-9]+:    c4 41 79 7f 84 24 99 00 00 00   vmovdqa %xmm8,0x99\(%r12\)
3288 [       ]*[a-f0-9]+:    c4 41 79 7e 84 24 99 00 00 00   vmovd  %xmm8,0x99\(%r12\)
3289 [       ]*[a-f0-9]+:    c4 41 7b 2d 84 24 99 00 00 00   vcvtsd2si 0x99\(%r12\),%r8d
3290 [       ]*[a-f0-9]+:    c4 41 7e e6 84 24 99 00 00 00   vcvtdq2pd 0x99\(%r12\),%ymm8
3291 [       ]*[a-f0-9]+:    c4 41 7d 5a 84 24 99 00 00 00   vcvtpd2psy 0x99\(%r12\),%xmm8
3292 [       ]*[a-f0-9]+:    c4 41 39 e0 bc 24 99 00 00 00   vpavgb 0x99\(%r12\),%xmm8,%xmm15
3293 [       ]*[a-f0-9]+:    c4 43 79 df 84 24 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%r12\),%xmm8
3294 [       ]*[a-f0-9]+:    c4 43 79 14 84 24 99 00 00 00 07        vpextrb \$0x7,%xmm8,0x99\(%r12\)
3295 [       ]*[a-f0-9]+:    c4 41 3b 2a bc 24 99 00 00 00   vcvtsi2sdl 0x99\(%r12\),%xmm8,%xmm15
3296 [       ]*[a-f0-9]+:    c4 43 39 44 bc 24 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%r12\),%xmm8,%xmm15
3297 [       ]*[a-f0-9]+:    c4 43 19 4a b4 24 99 00 00 00 80        vblendvps %xmm8,0x99\(%r12\),%xmm12,%xmm14
3298 [       ]*[a-f0-9]+:    c4 43 39 20 bc 24 99 00 00 00 07        vpinsrb \$0x7,0x99\(%r12\),%xmm8,%xmm15
3299 [       ]*[a-f0-9]+:    c4 41 7d 6f 84 24 99 00 00 00   vmovdqa 0x99\(%r12\),%ymm8
3300 [       ]*[a-f0-9]+:    c4 41 7d 7f 84 24 99 00 00 00   vmovdqa %ymm8,0x99\(%r12\)
3301 [       ]*[a-f0-9]+:    c4 42 3d 0d bc 24 99 00 00 00   vpermilpd 0x99\(%r12\),%ymm8,%ymm15
3302 [       ]*[a-f0-9]+:    c4 43 7d 09 84 24 99 00 00 00 07        vroundpd \$0x7,0x99\(%r12\),%ymm8
3303 [       ]*[a-f0-9]+:    c4 43 7d 19 84 24 99 00 00 00 07        vextractf128 \$0x7,%ymm8,0x99\(%r12\)
3304 [       ]*[a-f0-9]+:    c4 43 3d 06 bc 24 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%r12\),%ymm8,%ymm15
3305 [       ]*[a-f0-9]+:    c4 43 1d 4b b4 24 99 00 00 00 80        vblendvpd %ymm8,0x99\(%r12\),%ymm12,%ymm14
3306 [       ]*[a-f0-9]+:    c5 f8 ae 14 25 67 ff ff ff      vldmxcsr 0xffffffffffffff67
3307 [       ]*[a-f0-9]+:    c5 79 6f 04 25 67 ff ff ff      vmovdqa 0xffffffffffffff67,%xmm8
3308 [       ]*[a-f0-9]+:    c5 79 7f 04 25 67 ff ff ff      vmovdqa %xmm8,0xffffffffffffff67
3309 [       ]*[a-f0-9]+:    c5 79 7e 04 25 67 ff ff ff      vmovd  %xmm8,0xffffffffffffff67
3310 [       ]*[a-f0-9]+:    c5 7b 2d 04 25 67 ff ff ff      vcvtsd2si 0xffffffffffffff67,%r8d
3311 [       ]*[a-f0-9]+:    c5 7e e6 04 25 67 ff ff ff      vcvtdq2pd 0xffffffffffffff67,%ymm8
3312 [       ]*[a-f0-9]+:    c5 7d 5a 04 25 67 ff ff ff      vcvtpd2psy 0xffffffffffffff67,%xmm8
3313 [       ]*[a-f0-9]+:    c5 39 e0 3c 25 67 ff ff ff      vpavgb 0xffffffffffffff67,%xmm8,%xmm15
3314 [       ]*[a-f0-9]+:    c4 63 79 df 04 25 67 ff ff ff 07        vaeskeygenassist \$0x7,0xffffffffffffff67,%xmm8
3315 [       ]*[a-f0-9]+:    c4 63 79 14 04 25 67 ff ff ff 07        vpextrb \$0x7,%xmm8,0xffffffffffffff67
3316 [       ]*[a-f0-9]+:    c5 3b 2a 3c 25 67 ff ff ff      vcvtsi2sdl 0xffffffffffffff67,%xmm8,%xmm15
3317 [       ]*[a-f0-9]+:    c4 63 39 44 3c 25 67 ff ff ff 07        vpclmulqdq \$0x7,0xffffffffffffff67,%xmm8,%xmm15
3318 [       ]*[a-f0-9]+:    c4 63 19 4a 34 25 67 ff ff ff 80        vblendvps %xmm8,0xffffffffffffff67,%xmm12,%xmm14
3319 [       ]*[a-f0-9]+:    c4 63 39 20 3c 25 67 ff ff ff 07        vpinsrb \$0x7,0xffffffffffffff67,%xmm8,%xmm15
3320 [       ]*[a-f0-9]+:    c5 7d 6f 04 25 67 ff ff ff      vmovdqa 0xffffffffffffff67,%ymm8
3321 [       ]*[a-f0-9]+:    c5 7d 7f 04 25 67 ff ff ff      vmovdqa %ymm8,0xffffffffffffff67
3322 [       ]*[a-f0-9]+:    c4 62 3d 0d 3c 25 67 ff ff ff   vpermilpd 0xffffffffffffff67,%ymm8,%ymm15
3323 [       ]*[a-f0-9]+:    c4 63 7d 09 04 25 67 ff ff ff 07        vroundpd \$0x7,0xffffffffffffff67,%ymm8
3324 [       ]*[a-f0-9]+:    c4 63 7d 19 04 25 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,0xffffffffffffff67
3325 [       ]*[a-f0-9]+:    c4 63 3d 06 3c 25 67 ff ff ff 07        vperm2f128 \$0x7,0xffffffffffffff67,%ymm8,%ymm15
3326 [       ]*[a-f0-9]+:    c4 63 1d 4b 34 25 67 ff ff ff 80        vblendvpd %ymm8,0xffffffffffffff67,%ymm12,%ymm14
3327 [       ]*[a-f0-9]+:    c5 f8 ae 14 65 67 ff ff ff      vldmxcsr -0x99\(,%riz,2\)
3328 [       ]*[a-f0-9]+:    c5 79 6f 04 65 67 ff ff ff      vmovdqa -0x99\(,%riz,2\),%xmm8
3329 [       ]*[a-f0-9]+:    c5 79 7f 04 65 67 ff ff ff      vmovdqa %xmm8,-0x99\(,%riz,2\)
3330 [       ]*[a-f0-9]+:    c5 79 7e 04 65 67 ff ff ff      vmovd  %xmm8,-0x99\(,%riz,2\)
3331 [       ]*[a-f0-9]+:    c5 7b 2d 04 65 67 ff ff ff      vcvtsd2si -0x99\(,%riz,2\),%r8d
3332 [       ]*[a-f0-9]+:    c5 7e e6 04 65 67 ff ff ff      vcvtdq2pd -0x99\(,%riz,2\),%ymm8
3333 [       ]*[a-f0-9]+:    c5 7d 5a 04 65 67 ff ff ff      vcvtpd2psy -0x99\(,%riz,2\),%xmm8
3334 [       ]*[a-f0-9]+:    c5 39 e0 3c 65 67 ff ff ff      vpavgb -0x99\(,%riz,2\),%xmm8,%xmm15
3335 [       ]*[a-f0-9]+:    c4 63 79 df 04 65 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(,%riz,2\),%xmm8
3336 [       ]*[a-f0-9]+:    c4 63 79 14 04 65 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(,%riz,2\)
3337 [       ]*[a-f0-9]+:    c5 3b 2a 3c 65 67 ff ff ff      vcvtsi2sdl -0x99\(,%riz,2\),%xmm8,%xmm15
3338 [       ]*[a-f0-9]+:    c4 63 39 44 3c 65 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(,%riz,2\),%xmm8,%xmm15
3339 [       ]*[a-f0-9]+:    c4 63 19 4a 34 65 67 ff ff ff 80        vblendvps %xmm8,-0x99\(,%riz,2\),%xmm12,%xmm14
3340 [       ]*[a-f0-9]+:    c4 63 39 20 3c 65 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(,%riz,2\),%xmm8,%xmm15
3341 [       ]*[a-f0-9]+:    c5 7d 6f 04 65 67 ff ff ff      vmovdqa -0x99\(,%riz,2\),%ymm8
3342 [       ]*[a-f0-9]+:    c5 7d 7f 04 65 67 ff ff ff      vmovdqa %ymm8,-0x99\(,%riz,2\)
3343 [       ]*[a-f0-9]+:    c4 62 3d 0d 3c 65 67 ff ff ff   vpermilpd -0x99\(,%riz,2\),%ymm8,%ymm15
3344 [       ]*[a-f0-9]+:    c4 63 7d 09 04 65 67 ff ff ff 07        vroundpd \$0x7,-0x99\(,%riz,2\),%ymm8
3345 [       ]*[a-f0-9]+:    c4 63 7d 19 04 65 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(,%riz,2\)
3346 [       ]*[a-f0-9]+:    c4 63 3d 06 3c 65 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(,%riz,2\),%ymm8,%ymm15
3347 [       ]*[a-f0-9]+:    c4 63 1d 4b 34 65 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(,%riz,2\),%ymm12,%ymm14
3348 [       ]*[a-f0-9]+:    c5 f8 ae 94 23 67 ff ff ff      vldmxcsr -0x99\(%rbx,%riz,1\)
3349 [       ]*[a-f0-9]+:    c5 79 6f 84 23 67 ff ff ff      vmovdqa -0x99\(%rbx,%riz,1\),%xmm8
3350 [       ]*[a-f0-9]+:    c5 79 7f 84 23 67 ff ff ff      vmovdqa %xmm8,-0x99\(%rbx,%riz,1\)
3351 [       ]*[a-f0-9]+:    c5 79 7e 84 23 67 ff ff ff      vmovd  %xmm8,-0x99\(%rbx,%riz,1\)
3352 [       ]*[a-f0-9]+:    c5 7b 2d 84 23 67 ff ff ff      vcvtsd2si -0x99\(%rbx,%riz,1\),%r8d
3353 [       ]*[a-f0-9]+:    c5 7e e6 84 23 67 ff ff ff      vcvtdq2pd -0x99\(%rbx,%riz,1\),%ymm8
3354 [       ]*[a-f0-9]+:    c5 7d 5a 84 23 67 ff ff ff      vcvtpd2psy -0x99\(%rbx,%riz,1\),%xmm8
3355 [       ]*[a-f0-9]+:    c5 39 e0 bc 23 67 ff ff ff      vpavgb -0x99\(%rbx,%riz,1\),%xmm8,%xmm15
3356 [       ]*[a-f0-9]+:    c4 63 79 df 84 23 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%rbx,%riz,1\),%xmm8
3357 [       ]*[a-f0-9]+:    c4 63 79 14 84 23 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%rbx,%riz,1\)
3358 [       ]*[a-f0-9]+:    c5 3b 2a bc 23 67 ff ff ff      vcvtsi2sdl -0x99\(%rbx,%riz,1\),%xmm8,%xmm15
3359 [       ]*[a-f0-9]+:    c4 63 39 44 bc 23 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%rbx,%riz,1\),%xmm8,%xmm15
3360 [       ]*[a-f0-9]+:    c4 63 19 4a b4 23 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%rbx,%riz,1\),%xmm12,%xmm14
3361 [       ]*[a-f0-9]+:    c4 63 39 20 bc 23 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%rbx,%riz,1\),%xmm8,%xmm15
3362 [       ]*[a-f0-9]+:    c5 7d 6f 84 23 67 ff ff ff      vmovdqa -0x99\(%rbx,%riz,1\),%ymm8
3363 [       ]*[a-f0-9]+:    c5 7d 7f 84 23 67 ff ff ff      vmovdqa %ymm8,-0x99\(%rbx,%riz,1\)
3364 [       ]*[a-f0-9]+:    c4 62 3d 0d bc 23 67 ff ff ff   vpermilpd -0x99\(%rbx,%riz,1\),%ymm8,%ymm15
3365 [       ]*[a-f0-9]+:    c4 63 7d 09 84 23 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%rbx,%riz,1\),%ymm8
3366 [       ]*[a-f0-9]+:    c4 63 7d 19 84 23 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%rbx,%riz,1\)
3367 [       ]*[a-f0-9]+:    c4 63 3d 06 bc 23 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%rbx,%riz,1\),%ymm8,%ymm15
3368 [       ]*[a-f0-9]+:    c4 63 1d 4b b4 23 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%rbx,%riz,1\),%ymm12,%ymm14
3369 [       ]*[a-f0-9]+:    c5 f8 ae 94 63 67 ff ff ff      vldmxcsr -0x99\(%rbx,%riz,2\)
3370 [       ]*[a-f0-9]+:    c5 79 6f 84 63 67 ff ff ff      vmovdqa -0x99\(%rbx,%riz,2\),%xmm8
3371 [       ]*[a-f0-9]+:    c5 79 7f 84 63 67 ff ff ff      vmovdqa %xmm8,-0x99\(%rbx,%riz,2\)
3372 [       ]*[a-f0-9]+:    c5 79 7e 84 63 67 ff ff ff      vmovd  %xmm8,-0x99\(%rbx,%riz,2\)
3373 [       ]*[a-f0-9]+:    c5 7b 2d 84 63 67 ff ff ff      vcvtsd2si -0x99\(%rbx,%riz,2\),%r8d
3374 [       ]*[a-f0-9]+:    c5 7e e6 84 63 67 ff ff ff      vcvtdq2pd -0x99\(%rbx,%riz,2\),%ymm8
3375 [       ]*[a-f0-9]+:    c5 7d 5a 84 63 67 ff ff ff      vcvtpd2psy -0x99\(%rbx,%riz,2\),%xmm8
3376 [       ]*[a-f0-9]+:    c5 39 e0 bc 63 67 ff ff ff      vpavgb -0x99\(%rbx,%riz,2\),%xmm8,%xmm15
3377 [       ]*[a-f0-9]+:    c4 63 79 df 84 63 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%rbx,%riz,2\),%xmm8
3378 [       ]*[a-f0-9]+:    c4 63 79 14 84 63 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%rbx,%riz,2\)
3379 [       ]*[a-f0-9]+:    c5 3b 2a bc 63 67 ff ff ff      vcvtsi2sdl -0x99\(%rbx,%riz,2\),%xmm8,%xmm15
3380 [       ]*[a-f0-9]+:    c4 63 39 44 bc 63 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%rbx,%riz,2\),%xmm8,%xmm15
3381 [       ]*[a-f0-9]+:    c4 63 19 4a b4 63 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%rbx,%riz,2\),%xmm12,%xmm14
3382 [       ]*[a-f0-9]+:    c4 63 39 20 bc 63 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%rbx,%riz,2\),%xmm8,%xmm15
3383 [       ]*[a-f0-9]+:    c5 7d 6f 84 63 67 ff ff ff      vmovdqa -0x99\(%rbx,%riz,2\),%ymm8
3384 [       ]*[a-f0-9]+:    c5 7d 7f 84 63 67 ff ff ff      vmovdqa %ymm8,-0x99\(%rbx,%riz,2\)
3385 [       ]*[a-f0-9]+:    c4 62 3d 0d bc 63 67 ff ff ff   vpermilpd -0x99\(%rbx,%riz,2\),%ymm8,%ymm15
3386 [       ]*[a-f0-9]+:    c4 63 7d 09 84 63 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%rbx,%riz,2\),%ymm8
3387 [       ]*[a-f0-9]+:    c4 63 7d 19 84 63 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%rbx,%riz,2\)
3388 [       ]*[a-f0-9]+:    c4 63 3d 06 bc 63 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%rbx,%riz,2\),%ymm8,%ymm15
3389 [       ]*[a-f0-9]+:    c4 63 1d 4b b4 63 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%rbx,%riz,2\),%ymm12,%ymm14
3390 [       ]*[a-f0-9]+:    c4 81 78 ae 94 bc 67 ff ff ff   vldmxcsr -0x99\(%r12,%r15,4\)
3391 [       ]*[a-f0-9]+:    c4 01 79 6f 84 bc 67 ff ff ff   vmovdqa -0x99\(%r12,%r15,4\),%xmm8
3392 [       ]*[a-f0-9]+:    c4 01 79 7f 84 bc 67 ff ff ff   vmovdqa %xmm8,-0x99\(%r12,%r15,4\)
3393 [       ]*[a-f0-9]+:    c4 01 79 7e 84 bc 67 ff ff ff   vmovd  %xmm8,-0x99\(%r12,%r15,4\)
3394 [       ]*[a-f0-9]+:    c4 01 7b 2d 84 bc 67 ff ff ff   vcvtsd2si -0x99\(%r12,%r15,4\),%r8d
3395 [       ]*[a-f0-9]+:    c4 01 7e e6 84 bc 67 ff ff ff   vcvtdq2pd -0x99\(%r12,%r15,4\),%ymm8
3396 [       ]*[a-f0-9]+:    c4 01 7d 5a 84 bc 67 ff ff ff   vcvtpd2psy -0x99\(%r12,%r15,4\),%xmm8
3397 [       ]*[a-f0-9]+:    c4 01 39 e0 bc bc 67 ff ff ff   vpavgb -0x99\(%r12,%r15,4\),%xmm8,%xmm15
3398 [       ]*[a-f0-9]+:    c4 03 79 df 84 bc 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%r12,%r15,4\),%xmm8
3399 [       ]*[a-f0-9]+:    c4 03 79 14 84 bc 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%r12,%r15,4\)
3400 [       ]*[a-f0-9]+:    c4 01 3b 2a bc bc 67 ff ff ff   vcvtsi2sdl -0x99\(%r12,%r15,4\),%xmm8,%xmm15
3401 [       ]*[a-f0-9]+:    c4 03 39 44 bc bc 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%r12,%r15,4\),%xmm8,%xmm15
3402 [       ]*[a-f0-9]+:    c4 03 19 4a b4 bc 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%r12,%r15,4\),%xmm12,%xmm14
3403 [       ]*[a-f0-9]+:    c4 03 39 20 bc bc 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%r12,%r15,4\),%xmm8,%xmm15
3404 [       ]*[a-f0-9]+:    c4 01 7d 6f 84 bc 67 ff ff ff   vmovdqa -0x99\(%r12,%r15,4\),%ymm8
3405 [       ]*[a-f0-9]+:    c4 01 7d 7f 84 bc 67 ff ff ff   vmovdqa %ymm8,-0x99\(%r12,%r15,4\)
3406 [       ]*[a-f0-9]+:    c4 02 3d 0d bc bc 67 ff ff ff   vpermilpd -0x99\(%r12,%r15,4\),%ymm8,%ymm15
3407 [       ]*[a-f0-9]+:    c4 03 7d 09 84 bc 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%r12,%r15,4\),%ymm8
3408 [       ]*[a-f0-9]+:    c4 03 7d 19 84 bc 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%r12,%r15,4\)
3409 [       ]*[a-f0-9]+:    c4 03 3d 06 bc bc 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%r12,%r15,4\),%ymm8,%ymm15
3410 [       ]*[a-f0-9]+:    c4 03 1d 4b b4 bc 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%r12,%r15,4\),%ymm12,%ymm14
3411 [       ]*[a-f0-9]+:    c4 81 78 ae 94 f8 67 ff ff ff   vldmxcsr -0x99\(%r8,%r15,8\)
3412 [       ]*[a-f0-9]+:    c4 01 79 6f 84 f8 67 ff ff ff   vmovdqa -0x99\(%r8,%r15,8\),%xmm8
3413 [       ]*[a-f0-9]+:    c4 01 79 7f 84 f8 67 ff ff ff   vmovdqa %xmm8,-0x99\(%r8,%r15,8\)
3414 [       ]*[a-f0-9]+:    c4 01 79 7e 84 f8 67 ff ff ff   vmovd  %xmm8,-0x99\(%r8,%r15,8\)
3415 [       ]*[a-f0-9]+:    c4 01 7b 2d 84 f8 67 ff ff ff   vcvtsd2si -0x99\(%r8,%r15,8\),%r8d
3416 [       ]*[a-f0-9]+:    c4 01 7e e6 84 f8 67 ff ff ff   vcvtdq2pd -0x99\(%r8,%r15,8\),%ymm8
3417 [       ]*[a-f0-9]+:    c4 01 7d 5a 84 f8 67 ff ff ff   vcvtpd2psy -0x99\(%r8,%r15,8\),%xmm8
3418 [       ]*[a-f0-9]+:    c4 01 39 e0 bc f8 67 ff ff ff   vpavgb -0x99\(%r8,%r15,8\),%xmm8,%xmm15
3419 [       ]*[a-f0-9]+:    c4 03 79 df 84 f8 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%r8,%r15,8\),%xmm8
3420 [       ]*[a-f0-9]+:    c4 03 79 14 84 f8 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%r8,%r15,8\)
3421 [       ]*[a-f0-9]+:    c4 01 3b 2a bc f8 67 ff ff ff   vcvtsi2sdl -0x99\(%r8,%r15,8\),%xmm8,%xmm15
3422 [       ]*[a-f0-9]+:    c4 03 39 44 bc f8 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%r8,%r15,8\),%xmm8,%xmm15
3423 [       ]*[a-f0-9]+:    c4 03 19 4a b4 f8 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%r8,%r15,8\),%xmm12,%xmm14
3424 [       ]*[a-f0-9]+:    c4 03 39 20 bc f8 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%r8,%r15,8\),%xmm8,%xmm15
3425 [       ]*[a-f0-9]+:    c4 01 7d 6f 84 f8 67 ff ff ff   vmovdqa -0x99\(%r8,%r15,8\),%ymm8
3426 [       ]*[a-f0-9]+:    c4 01 7d 7f 84 f8 67 ff ff ff   vmovdqa %ymm8,-0x99\(%r8,%r15,8\)
3427 [       ]*[a-f0-9]+:    c4 02 3d 0d bc f8 67 ff ff ff   vpermilpd -0x99\(%r8,%r15,8\),%ymm8,%ymm15
3428 [       ]*[a-f0-9]+:    c4 03 7d 09 84 f8 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%r8,%r15,8\),%ymm8
3429 [       ]*[a-f0-9]+:    c4 03 7d 19 84 f8 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%r8,%r15,8\)
3430 [       ]*[a-f0-9]+:    c4 03 3d 06 bc f8 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%r8,%r15,8\),%ymm8,%ymm15
3431 [       ]*[a-f0-9]+:    c4 03 1d 4b b4 f8 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%r8,%r15,8\),%ymm12,%ymm14
3432 [       ]*[a-f0-9]+:    c4 a1 78 ae 94 a5 67 ff ff ff   vldmxcsr -0x99\(%rbp,%r12,4\)
3433 [       ]*[a-f0-9]+:    c4 21 79 6f 84 a5 67 ff ff ff   vmovdqa -0x99\(%rbp,%r12,4\),%xmm8
3434 [       ]*[a-f0-9]+:    c4 21 79 7f 84 a5 67 ff ff ff   vmovdqa %xmm8,-0x99\(%rbp,%r12,4\)
3435 [       ]*[a-f0-9]+:    c4 21 79 7e 84 a5 67 ff ff ff   vmovd  %xmm8,-0x99\(%rbp,%r12,4\)
3436 [       ]*[a-f0-9]+:    c4 21 7b 2d 84 a5 67 ff ff ff   vcvtsd2si -0x99\(%rbp,%r12,4\),%r8d
3437 [       ]*[a-f0-9]+:    c4 21 7e e6 84 a5 67 ff ff ff   vcvtdq2pd -0x99\(%rbp,%r12,4\),%ymm8
3438 [       ]*[a-f0-9]+:    c4 21 7d 5a 84 a5 67 ff ff ff   vcvtpd2psy -0x99\(%rbp,%r12,4\),%xmm8
3439 [       ]*[a-f0-9]+:    c4 21 39 e0 bc a5 67 ff ff ff   vpavgb -0x99\(%rbp,%r12,4\),%xmm8,%xmm15
3440 [       ]*[a-f0-9]+:    c4 23 79 df 84 a5 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%rbp,%r12,4\),%xmm8
3441 [       ]*[a-f0-9]+:    c4 23 79 14 84 a5 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%rbp,%r12,4\)
3442 [       ]*[a-f0-9]+:    c4 21 3b 2a bc a5 67 ff ff ff   vcvtsi2sdl -0x99\(%rbp,%r12,4\),%xmm8,%xmm15
3443 [       ]*[a-f0-9]+:    c4 23 39 44 bc a5 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%rbp,%r12,4\),%xmm8,%xmm15
3444 [       ]*[a-f0-9]+:    c4 23 19 4a b4 a5 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%rbp,%r12,4\),%xmm12,%xmm14
3445 [       ]*[a-f0-9]+:    c4 23 39 20 bc a5 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%rbp,%r12,4\),%xmm8,%xmm15
3446 [       ]*[a-f0-9]+:    c4 21 7d 6f 84 a5 67 ff ff ff   vmovdqa -0x99\(%rbp,%r12,4\),%ymm8
3447 [       ]*[a-f0-9]+:    c4 21 7d 7f 84 a5 67 ff ff ff   vmovdqa %ymm8,-0x99\(%rbp,%r12,4\)
3448 [       ]*[a-f0-9]+:    c4 22 3d 0d bc a5 67 ff ff ff   vpermilpd -0x99\(%rbp,%r12,4\),%ymm8,%ymm15
3449 [       ]*[a-f0-9]+:    c4 23 7d 09 84 a5 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%rbp,%r12,4\),%ymm8
3450 [       ]*[a-f0-9]+:    c4 23 7d 19 84 a5 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%rbp,%r12,4\)
3451 [       ]*[a-f0-9]+:    c4 23 3d 06 bc a5 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%rbp,%r12,4\),%ymm8,%ymm15
3452 [       ]*[a-f0-9]+:    c4 23 1d 4b b4 a5 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%rbp,%r12,4\),%ymm12,%ymm14
3453 [       ]*[a-f0-9]+:    c4 a1 78 ae 94 2c 67 ff ff ff   vldmxcsr -0x99\(%rsp,%r13,1\)
3454 [       ]*[a-f0-9]+:    c4 21 79 6f 84 2c 67 ff ff ff   vmovdqa -0x99\(%rsp,%r13,1\),%xmm8
3455 [       ]*[a-f0-9]+:    c4 21 79 7f 84 2c 67 ff ff ff   vmovdqa %xmm8,-0x99\(%rsp,%r13,1\)
3456 [       ]*[a-f0-9]+:    c4 21 79 7e 84 2c 67 ff ff ff   vmovd  %xmm8,-0x99\(%rsp,%r13,1\)
3457 [       ]*[a-f0-9]+:    c4 21 7b 2d 84 2c 67 ff ff ff   vcvtsd2si -0x99\(%rsp,%r13,1\),%r8d
3458 [       ]*[a-f0-9]+:    c4 21 7e e6 84 2c 67 ff ff ff   vcvtdq2pd -0x99\(%rsp,%r13,1\),%ymm8
3459 [       ]*[a-f0-9]+:    c4 21 7d 5a 84 2c 67 ff ff ff   vcvtpd2psy -0x99\(%rsp,%r13,1\),%xmm8
3460 [       ]*[a-f0-9]+:    c4 21 39 e0 bc 2c 67 ff ff ff   vpavgb -0x99\(%rsp,%r13,1\),%xmm8,%xmm15
3461 [       ]*[a-f0-9]+:    c4 23 79 df 84 2c 67 ff ff ff 07        vaeskeygenassist \$0x7,-0x99\(%rsp,%r13,1\),%xmm8
3462 [       ]*[a-f0-9]+:    c4 23 79 14 84 2c 67 ff ff ff 07        vpextrb \$0x7,%xmm8,-0x99\(%rsp,%r13,1\)
3463 [       ]*[a-f0-9]+:    c4 21 3b 2a bc 2c 67 ff ff ff   vcvtsi2sdl -0x99\(%rsp,%r13,1\),%xmm8,%xmm15
3464 [       ]*[a-f0-9]+:    c4 23 39 44 bc 2c 67 ff ff ff 07        vpclmulqdq \$0x7,-0x99\(%rsp,%r13,1\),%xmm8,%xmm15
3465 [       ]*[a-f0-9]+:    c4 23 19 4a b4 2c 67 ff ff ff 80        vblendvps %xmm8,-0x99\(%rsp,%r13,1\),%xmm12,%xmm14
3466 [       ]*[a-f0-9]+:    c4 23 39 20 bc 2c 67 ff ff ff 07        vpinsrb \$0x7,-0x99\(%rsp,%r13,1\),%xmm8,%xmm15
3467 [       ]*[a-f0-9]+:    c4 21 7d 6f 84 2c 67 ff ff ff   vmovdqa -0x99\(%rsp,%r13,1\),%ymm8
3468 [       ]*[a-f0-9]+:    c4 21 7d 7f 84 2c 67 ff ff ff   vmovdqa %ymm8,-0x99\(%rsp,%r13,1\)
3469 [       ]*[a-f0-9]+:    c4 22 3d 0d bc 2c 67 ff ff ff   vpermilpd -0x99\(%rsp,%r13,1\),%ymm8,%ymm15
3470 [       ]*[a-f0-9]+:    c4 23 7d 09 84 2c 67 ff ff ff 07        vroundpd \$0x7,-0x99\(%rsp,%r13,1\),%ymm8
3471 [       ]*[a-f0-9]+:    c4 23 7d 19 84 2c 67 ff ff ff 07        vextractf128 \$0x7,%ymm8,-0x99\(%rsp,%r13,1\)
3472 [       ]*[a-f0-9]+:    c4 23 3d 06 bc 2c 67 ff ff ff 07        vperm2f128 \$0x7,-0x99\(%rsp,%r13,1\),%ymm8,%ymm15
3473 [       ]*[a-f0-9]+:    c4 23 1d 4b b4 2c 67 ff ff ff 80        vblendvpd %ymm8,-0x99\(%rsp,%r13,1\),%ymm12,%ymm14
3474 [       ]*[a-f0-9]+:    c4 41 79 50 c0          vmovmskpd %xmm8,%r8d
3475 [       ]*[a-f0-9]+:    c4 c1 01 72 f0 07       vpslld \$0x7,%xmm8,%xmm15
3476 [       ]*[a-f0-9]+:    c4 41 7c 50 c0          vmovmskps %ymm8,%r8d
3477 [       ]*[a-f0-9]+:    c4 41 79 6f f8          vmovdqa %xmm8,%xmm15
3478 [       ]*[a-f0-9]+:    c4 41 79 7e c0          vmovd  %xmm8,%r8d
3479 [       ]*[a-f0-9]+:    c4 41 7b 2d c0          vcvtsd2si %xmm8,%r8d
3480 [       ]*[a-f0-9]+:    c4 41 7e e6 c0          vcvtdq2pd %xmm8,%ymm8
3481 [       ]*[a-f0-9]+:    c4 41 7d 5a c0          vcvtpd2ps %ymm8,%xmm8
3482 [       ]*[a-f0-9]+:    c4 43 79 df f8 07       vaeskeygenassist \$0x7,%xmm8,%xmm15
3483 [       ]*[a-f0-9]+:    c4 43 79 14 c0 07       vpextrb \$0x7,%xmm8,%r8d
3484 [       ]*[a-f0-9]+:    c4 41 3b 2a f8          vcvtsi2sd %r8d,%xmm8,%xmm15
3485 [       ]*[a-f0-9]+:    c4 43 01 44 e0 07       vpclmulqdq \$0x7,%xmm8,%xmm15,%xmm12
3486 [       ]*[a-f0-9]+:    c4 43 19 4a f0 80       vblendvps %xmm8,%xmm8,%xmm12,%xmm14
3487 [       ]*[a-f0-9]+:    c4 43 39 20 f8 07       vpinsrb \$0x7,%r8d,%xmm8,%xmm15
3488 [       ]*[a-f0-9]+:    c4 41 7d 6f f8          vmovdqa %ymm8,%ymm15
3489 [       ]*[a-f0-9]+:    c4 42 05 0d e0          vpermilpd %ymm8,%ymm15,%ymm12
3490 [       ]*[a-f0-9]+:    c4 43 7d 09 f8 07       vroundpd \$0x7,%ymm8,%ymm15
3491 [       ]*[a-f0-9]+:    c4 43 7d 19 c0 07       vextractf128 \$0x7,%ymm8,%xmm8
3492 [       ]*[a-f0-9]+:    c4 43 05 06 e0 07       vperm2f128 \$0x7,%ymm8,%ymm15,%ymm12
3493 [       ]*[a-f0-9]+:    c4 43 1d 4b f7 80       vblendvpd %ymm8,%ymm15,%ymm12,%ymm14
3494 [       ]*[a-f0-9]+:    c4 43 3d 18 f8 07       vinsertf128 \$0x7,%xmm8,%ymm8,%ymm15
3495 [       ]*[a-f0-9]+:    c4 61 fb 2d 01          vcvtsd2si \(%rcx\),%r8
3496 [       ]*[a-f0-9]+:    c4 43 79 17 c0 0a       vextractps \$0xa,%xmm8,%r8d
3497 [       ]*[a-f0-9]+:    c4 61 fa 2d 01          vcvtss2si \(%rcx\),%r8
3498 [       ]*[a-f0-9]+:    c4 41 01 c4 c0 07       vpinsrw \$0x7,%r8d,%xmm15,%xmm8
3499 #pass