platform/upstream/mesa.git
2023-04-29 antoninoRevert "zink: set when pipeline dirty flag when multisa...
2023-04-29 Mike Blumenkrantzzink: add extendedDynamicState3DepthClipNegativeOneToOn...
2023-04-29 Mike Blumenkrantzzink: update amdpro fails
2023-04-29 Mike Blumenkrantzllvmpipe: fix native vector width init
2023-04-29 Dave Airliegallivm: reorder some texture/image members.
2023-04-29 Dave Airliegallium: consolidate jit image types between draw/llvmpipe
2023-04-29 Dave Airliegallivm: consolidate llvmpipe/draw sampler types.
2023-04-29 Dave Airliegallivm: consolidate draw/lp texture type.
2023-04-29 Dave Airlielp_jit: use pipe max for the lp_jit texture levels.
2023-04-29 Mike Blumenkrantzci: disable all a306/a530/a630 jobs
2023-04-28 David Heidelbergci/dzn: add flaking test
2023-04-28 Ruijing Dongradeonsi/vcn: correct cropping for hevc case
2023-04-28 Samuel Pitoisetac/nir: fix 8-bit/10-bit PS exports clamping
2023-04-28 Samuel Pitoisetac,radv,radeonsi: rename thread_trace to sqtt everywhere
2023-04-28 Samuel Pitoisetac/rgp: remove ac_thread_trace_data from ac_thread_trace
2023-04-28 Samuel Pitoisetradv: do not abort when the SQTT buffer resize failed
2023-04-28 Samuel Pitoisetac/sqtt: add ac_sqtt_get_trace() helper
2023-04-28 Samuel Pitoisetac/sqtt: add ac_sqtt_se_is_disabled() helper
2023-04-28 Matt Costerpvr: Fixup format features
2023-04-28 Matt Costerpvr: Remove false assumption from pvr_write_draw_indire...
2023-04-28 Eric Engestromv3d: fix various minor issues in gen_pack_header.py
2023-04-28 José Roberto... iris: Allow shared scanout buffer to be placed in smem...
2023-04-28 Gert Wollnyr600/sfn: Tie in address load splitting
2023-04-28 Gert Wollnyr600/sfn: prepare for emitting AR loads
2023-04-28 Gert Wollnyr600/sfn: factor out index loading for non-alu instructions
2023-04-28 Gert Wollnyr600/sfn: Can't use an indirect array access as source...
2023-04-28 Gert Wollnyr600/sfn: print failing block when scheduling fails
2023-04-28 Gert Wollnyr600/sfn: Add more tests and update to use address...
2023-04-28 Gert Wollnyr600/sfn: scheduled instructions are always ready
2023-04-28 Gert Wollnyr600/sfn: Fix copy-prop with array access
2023-04-28 Gert Wollnyr600/sfn: Override Array access handling in backend...
2023-04-28 Gert Wollnyr600/sfn: Add handling for R600 indirect access alias...
2023-04-28 Gert Wollnyr600/sfn: Add chip family to shader class
2023-04-28 Gert Wollnyr600/sfn: Start a new ALU CF on index use, not on index...
2023-04-28 Gert Wollnyr600/sfn: set CF force flag always when starting a...
2023-04-28 Gert Wollnyr600/sfn: Add test for multiple index load
2023-04-28 Gert Wollnyr600/sfn: Don't copy-propagate indirect access into...
2023-04-28 Gert Wollnyr600/sfn: Add more tests and update to use address...
2023-04-28 Gert Wollnyr600/sfn: take address loads into account when scheduling
2023-04-28 Gert Wollnyr600/sfn: Add function to check whether a group loads...
2023-04-28 Gert Wollnyr600/sfn: Add pass to split addess and index register...
2023-04-28 Gert Wollnyr600/sfn: Add interface to count AR uses in ALU op
2023-04-28 Gert Wollnyr600/sfn: Add a RW get function of IF predicate access
2023-04-28 Gert Wollnyr600/sfn: AR and IDX don't need the write flag, but...
2023-04-28 Gert Wollnyr600/sfn: Be able to track expected AR uses
2023-04-28 Gert Wollnyr600/sfn: Update resource based instruction index mode...
2023-04-28 Gert Wollnyr600/sfn: Add function to insert op in block
2023-04-28 Gert Wollnyr600/sfn: add method to update indirect address to...
2023-04-28 Gert Wollnyr600/sfn: handle AR and IDX register in shader from...
2023-04-28 Gert Wollnyr600/sfn: Prepare uniforms and local arrays for better...
2023-04-28 Gert Wollnyr600: Allow both index registers for all CF types
2023-04-28 Gert Wollnyr600/sfn: don't allow more than one AR per instruction
2023-04-28 Gert Wollnyr600/sfn: Rework query for indirect access in alu instr...
2023-04-28 Gert Wollnyr600/sfn: Add address and index registers creation...
2023-04-28 Gert Wollnyr600/sfn/tests: Cleanup and move some code around
2023-04-28 Gert Wollnyr600/sfn: Handle MOVA_INT in sfn assembler
2023-04-28 Gert Wollnyr600/sfn: don't track address registers in live ranges
2023-04-28 Gert Wollnyr600/sfn: Add a type for address registers
2023-04-28 Matt Costerpvr: Fully declare support for VK_EXT_private_data
2023-04-28 Karmjit Mahilpvr: Fix static assert check
2023-04-28 Karmjit Mahilpvr: Change push_constants_shader_stages to type pvr_st...
2023-04-28 Karmjit Mahilpvr: Fix pvr_csb_bake() list return.
2023-04-28 Felix DeGrooddocs: Add INTEL_DEBUG_BATCH_FRAME_START/_STOP
2023-04-28 Felix DeGroodiris: Enable INTEL_DEBUG_BATCH_FRAME_START/_STOP
2023-04-28 Felix DeGroodanv: Enable INTEL_DEBUG_BATCH_FRAME_START/_STOP
2023-04-28 Felix DeGroodintel/debug: Control start/stop frame of batch debug
2023-04-28 Qiang Yuaco: allow no export instruction for gfx10+ fs
2023-04-28 Qiang Yuaco: get scratch addr from symbol for radeonsi
2023-04-28 Qiang Yuaco,radv: support symbol relocation in aco
2023-04-28 Jesse Nataliedzn: Expose core VK1.2 extensions that aren't optional
2023-04-28 Jesse Nataliedzn: Expose core VK1.1 extensions that aren't optional
2023-04-27 Lone_Wolfclc: Add clang frontendhlsl module to fix build of...
2023-04-27 Lone_Wolfclc: Add clangASTMatchers to fix static llvm build...
2023-04-27 Lone_Wolfcompiler/clc: Fix embedded clang headers (microsoft...
2023-04-27 Eric Engestromv3d: fix tfu_supports_tex_format() param type, and...
2023-04-27 Danylo Piliaievir3: documents (ss) flag for cat7 instructions
2023-04-27 Mark Collinsir3/a7xx: Add definitions for (last) src GPR attribute
2023-04-27 Danylo Piliaievir3/a7xx: Document "alias" instruction
2023-04-27 Danylo Piliaievir3: Document that stc has higher DST upper bound than...
2023-04-27 Danylo Piliaievir3/a7xx: Add STSC definition
2023-04-27 Danylo Piliaievir3/a7xx: Add new form of stg.a/ldg.a addressing
2023-04-27 Danylo Piliaievir3/a7xx: Add new lock/unlock CS instructions
2023-04-27 Danylo Piliaievir3/a7xx: NOPs may have some no-op bits set
2023-04-27 Danylo Piliaievfreedreno: Early exit in device matching if id doesn...
2023-04-27 Lionel Landwerlinintel/fs: fix per vertex input clamping
2023-04-27 Mike Blumenkrantzdraw: fix robust ubo size calc
2023-04-27 Rob Clarkdri/android: Fix MSAA resolve
2023-04-27 antoninozink: set when pipeline dirty flag when multisample...
2023-04-27 Thong Thaitgsi: use locale independent float and double parsing
2023-04-27 Thong Thaiutil: check and initialize locale before using it
2023-04-27 Thong Thaimesa/main: rework locale setup/teardown
2023-04-27 Ruijing Dongfrontends/va: define va av1 encoding caps
2023-04-27 Ruijing Dongradeonsi/vcn: use PIPE_ENC_FEATURE enum
2023-04-27 Ruijing Dongfrontends/va: adding va av1 encoding functions
2023-04-27 Ruijing Dongradeonsi/vcn: add av1 encoding ib packages and get_info
2023-04-27 Ruijing Dongradeonsi/vcn: add some av1 encoding function
2023-04-27 Ruijing Dongradeonsi/vcn: add av1 enc data structure
2023-04-27 Ruijing Donggallium/pipe: add av1 encoding data structure in pipe
2023-04-27 Ruijing Dongradeonsi/vcn: add av1 dpb variables and cdf table
2023-04-27 Ruijing Dongradeonsi/vcn: remove extra zero bytes from bitstream
next