platform/upstream/mesa.git
2022-11-15 Matt Costeramd: Unify naming of CONCAT/PASTE macros
2022-11-15 Erik Faye-Lunddocs: move generic gallium envvars to root doc
2022-11-15 Erik Faye-Lunddocs: remove duplicate envvars
2022-11-15 Erik Faye-Lunddocs: fix badly encoded envvar-roles
2022-11-15 Erik Faye-Lunddocs: use envvar directive
2022-11-15 Erik Faye-Lunddocs: remove stale envvar-reference
2022-11-15 Erik Faye-Lunddocs: drop custom envvar directive
2022-11-15 Erik Faye-Lunddocs: properly nest envvar descriptions
2022-11-15 Erik Faye-Lunddocs: remove rogue "the"
2022-11-15 Erik Faye-Lunddocs: add missing extension-link
2022-11-15 Erik Faye-Lunddocs: do not mention EGL_MESA_drm_display
2022-11-15 Erik Faye-Lunddocs/tgsi: xDArray -> xD_ARRAY
2022-11-15 Erik Faye-Lunddocs/gallium: move period out of quotes
2022-11-15 Erik Faye-Lunddocs: lifecycle -> life cycle
2022-11-15 Erik Faye-Lunddocs: dll -> DLL
2022-11-15 Erik Faye-Lunddocs: un-committed -> uncommitted
2022-11-15 Erik Faye-Lunddocs: IPE -> PIPE
2022-11-15 Erik Faye-Lunddocs/asahi: use console blocks for console commands
2022-11-15 Erik Faye-Lunddocs/asahi: use literal-block for build-options
2022-11-15 Erik Faye-Lunddocs/asahi: fix indent
2022-11-15 Lucas Stachetnaviv: don't always emit PE_DEPTH_CONFIG on shader...
2022-11-15 Lucas Stachetnaviv: switch to late Z when linear PE is used
2022-11-15 Lucas Stachetnaviv: fix shader register control with MSAA
2022-11-15 vabr-ggallium: Avoid nullptr-with-nonzero-offset
2022-11-15 David Heidelbergci/update_traces_checksum.py: make output more clean...
2022-11-15 David Heidelbergci/update_traces_checksum.py: check if checksum is...
2022-11-15 Qiang Yuac/llvm: fix gfx11 fs input load for 16bit varying
2022-11-15 Qiang Yuac/nir/ngg: remove nuw for negative value add
2022-11-15 Qiang Yuac/nir/ngg: fix nogs culling with nuw add
2022-11-15 Caio Oliveiraanv: Don't use REQUIRE_8 for Bindless Shaders
2022-11-15 Caio Oliveiraintel/compiler: Use SIMD selection helpers in compile_s...
2022-11-15 Caio Oliveiraintel/compiler: Prepare SIMD selection helpers to handl...
2022-11-15 Caio Oliveiraintel/compiler: Keep track of compiled/spilled in brw_s...
2022-11-15 Caio Oliveiraintel/compiler: Add a SIMD_COUNT constant
2022-11-15 Caio Oliveiraintel/compiler: Create a struct to hold SIMD selection...
2022-11-15 Caio Oliveiraintel/compiler: Simplify usage of brw_simd_select_for_w...
2022-11-15 Caio Oliveiraintel/compiler: Make brw_private.h and simd selector...
2022-11-15 Dave Airliegallivm: add coro malloc hooks earlier and always.
2022-11-15 Timothy Arceriglsl: remove unused intrinsics
2022-11-15 Jesse Natalienir_scale_fdiv: Respect vector swizzles
2022-11-15 Marek Olšákradeonsi: print the number of shader outputs for shader-db
2022-11-14 Jose Fonsecalavapipe: Prevent integer overflow adding index buffer...
2022-11-14 Jose Fonsecadraw,util: Refactor draw_overflow_uadd into util.
2022-11-14 Jose Fonsecautil/disk_cache: Prevent ‘sha1’ may be used uninitializ...
2022-11-14 Ruijing Dongradeonsi/vcn: adding av1 decoding film grain block
2022-11-14 Ruijing Dongfrontends/va: fixed av1 decoding 10bit ffmpeg output...
2022-11-14 Emma Anholtci/llvmpipe: Switch rusticl and clover testing over...
2022-11-14 Guilherme Galloci: Update to deqp-runner v0.16.0 for SKQP and CL support.
2022-11-14 Konstantin... radv/rt: Clone specialization infos
2022-11-14 Konstantin... radv/rt: Clone entrypoint names
2022-11-14 Konstantin... radv: Use ralloc for allocating pipeline library resources
2022-11-14 Konstantin... vulkan,radv: Remove vk_shader_module_clone
2022-11-14 David Heidelbergreplace sys/poll.h with poll.h
2022-11-14 David Heidelbergintel/crocus: do not redefine PAGE_SIZE
2022-11-14 David Heidelbergkopper: guard unused variable behind ifdef
2022-11-14 Eric Engestromdocs/releasing: add convenient command to rebase everyt...
2022-11-14 Eric Engestromdocs/releasing: checkout the staging branch at the...
2022-11-14 Eric Engestromdocs/releasing: update branchpoint instructions to...
2022-11-14 Eric Engestromdocs/releasing: fix instructions to create a branch...
2022-11-14 Eric Engestromdocs/releasing: add VERSION variable to avoid typos...
2022-11-14 Caio Oliveiraintel/compiler: Fix missing tie-breaker in brw_nir_anal...
2022-11-14 Caio Oliveiraintel/compiler: Fix dynarray usage in intel_clc
2022-11-14 Konstantin... radv/rt: Get rid of null index AABB intersection handling
2022-11-14 Gert Wollnyr600/sfn: Call lower_flrp from driver code
2022-11-14 Gert Wollnyr600/sfn: use only one undef in tex lowering
2022-11-14 Gert Wollnyr600/sfn: Use a bitfield for some register properties
2022-11-14 Gert Wollnyr600/sfn: handle possible inline const as array base...
2022-11-14 Gert Wollnyr600/sfn: Simlify check for zero and one
2022-11-14 Gert Wollnyr600/sfn: implement finalize_nir
2022-11-14 Alejandro Piñeirov3dv/bo: reset bo and then call gem close
2022-11-14 Eric EngestromRevert "ci/broadcom: v3dv-rpi4-vk:arm64 flakes too...
2022-11-14 Erik Faye-Lundzink: remove descriptor-mode selection infrastructure
2022-11-14 Samuel Pitoisetradv: enable lowering of subgroup shuffle in NIR on...
2022-11-14 Filip Gawinr300: enable channel merging on r300/r400 VS
2022-11-14 Pavel Ondračkar300: better register allocator for vertex shaders
2022-11-14 Pavel Ondračkar300: another fix for reader detection in the presence...
2022-11-14 Pavel Ondračkar300: properly account for per-channel negates when...
2022-11-14 Pavel Ondračkar300: don't skip source rewrite if RC_FILE_NONE in...
2022-11-14 Pavel Ondračkar300: generalize rc_init_regalloc_state
2022-11-14 Pavel Ondračkar300: add array with conflict values for the vp registe...
2022-11-14 Pavel Ondračkar300: add new register class list for vertex shaders
2022-11-14 Pavel Ondračkar300: add FP suffix to the current class list
2022-11-14 Pavel Ondračkar300: increase maximum number of writemask combinations
2022-11-14 Pavel Ondračkar300: move building of the interference graph to a...
2022-11-14 Pavel Ondračkar300: generalize rc_find_class
2022-11-14 Pavel Ondračkar300: move some functions out of radeon_pair_regalloc
2022-11-14 Pavel Ondračkar300: save pointer to register class list in the regall...
2022-11-13 Timothy Arcerinir: add another common ffract -> ffloor pattern
2022-11-13 Jason Ekstrandvulkan: Unconditionally add barriers for missing extern...
2022-11-13 Jason Ekstrandvulkan: Handle VK_SUBPASS_EXTERNAL at the end of a...
2022-11-13 Gert Wollnyr600/sfn: Fix f2u32 and remove backend lowring of f2u64...
2022-11-13 Gert Wollnyr600/sfn: Fix source modifiers for ffract64
2022-11-13 Caio Oliveiraspirv: Make vtn_fail and friends trap in debug mode
2022-11-12 Lionel Landwerlinanv: bump pool bucket max allocation size
2022-11-12 Simon Servulkan/wsi/wayland: fix unaligned load of dev_t
2022-11-12 Eric Engestromllvmpipe: drop cache stats code
2022-11-12 Eric Engestromdisk_cache: add env var to show stats
2022-11-12 Yiwei Zhangvenus: handle VK_QUERY_TYPE_PRIMITIVES_GENERATED_EXT
2022-11-12 Jami Kettunenfreedreno/pm4: Use unsigned instead of uint to fix...
2022-11-11 Eric Engestromci: avoid triggering vc4 & v3d tests on v3dv-only MRs
next