led: led_pwm: typo 'iverted' on code comment