fsl_ddr: Adds 16 bit DDR Data width option