From d4a587a4ed828eaf3273b435e328120e73bbb2cc Mon Sep 17 00:00:00 2001 From: Mike Frysinger Date: Fri, 25 Dec 2015 05:51:46 -0500 Subject: [PATCH] sim: cris: set up sane default path to rvdummy Much like we autodetect the path to the run program when there is none set explicitly, do the same for the rvdummy program. Otherwise the default make check fails to execute the helper properly. --- sim/testsuite/sim/cris/ChangeLog | 4 ++++ sim/testsuite/sim/cris/hw/rv-n-cris/rvc.exp | 11 ++++++++++- 2 files changed, 14 insertions(+), 1 deletion(-) diff --git a/sim/testsuite/sim/cris/ChangeLog b/sim/testsuite/sim/cris/ChangeLog index 3304f22..22fb88a 100644 --- a/sim/testsuite/sim/cris/ChangeLog +++ b/sim/testsuite/sim/cris/ChangeLog @@ -1,3 +1,7 @@ +2015-12-25 Mike Frysinger + + * hw/rv-n-cris/rvc.exp (rvdummy): Set up sane default. + 2012-03-24 Mike Frysinger * c/clone5.c: Update output to ignore decoded signal string. diff --git a/sim/testsuite/sim/cris/hw/rv-n-cris/rvc.exp b/sim/testsuite/sim/cris/hw/rv-n-cris/rvc.exp index 2b792da..1c172af 100644 --- a/sim/testsuite/sim/cris/hw/rv-n-cris/rvc.exp +++ b/sim/testsuite/sim/cris/hw/rv-n-cris/rvc.exp @@ -120,7 +120,16 @@ if [istarget cris*-*-*] { set saved_global_sim_options $global_sim_options set saved_global_ld_options $global_ld_options - set rvdummy "[file dirname [board_info target sim]]/rvdummy" + + # See the logic in sim-defs.exp for more details. + set sim [board_info target sim] + if [string equal "" $sim] { + global objdir + global arch + set rvdummy "$objdir/../$arch/rvdummy" + } else { + set rvdummy "[file dirname [board_info target sim]]/rvdummy" + } # All machines we test and the corresponding assembler option. # We'll only ever test v10 and higher here. -- 2.7.4