From c0b471e1970fa6ae523c65aba5c2414a327c3d95 Mon Sep 17 00:00:00 2001 From: Lennart Poettering Date: Fri, 29 Mar 2019 12:34:22 +0100 Subject: [PATCH] sd-bus: add missing empty line --- src/libsystemd/sd-bus/bus-control.c | 1 + 1 file changed, 1 insertion(+) diff --git a/src/libsystemd/sd-bus/bus-control.c b/src/libsystemd/sd-bus/bus-control.c index 7775d2b..5f25020 100644 --- a/src/libsystemd/sd-bus/bus-control.c +++ b/src/libsystemd/sd-bus/bus-control.c @@ -825,6 +825,7 @@ int bus_add_match_internal( "s", e); } + int bus_add_match_internal_async( sd_bus *bus, sd_bus_slot **ret_slot, -- 2.7.4