From 7bc55e407575689114836d8a9eadfc411f0efae6 Mon Sep 17 00:00:00 2001 From: Evandro Menezes Date: Sat, 12 Jan 2019 01:06:43 +0000 Subject: [PATCH] [ARM] Fix typo Fix typo in r350952. llvm-svn: 350986 --- llvm/lib/Target/ARM/ARM.td | 1 - 1 file changed, 1 deletion(-) diff --git a/llvm/lib/Target/ARM/ARM.td b/llvm/lib/Target/ARM/ARM.td index 5d5b4c8..3db60f1 100644 --- a/llvm/lib/Target/ARM/ARM.td +++ b/llvm/lib/Target/ARM/ARM.td @@ -1078,7 +1078,6 @@ def : ProcessorModel<"cyclone", SwiftModel, [ARMv8a, ProcSwift, def : ProcNoItin<"exynos-m1", [ARMv8a, ProcExynos]>; def : ProcNoItin<"exynos-m2", [ARMv8a, ProcExynos]>; def : ProcNoItin<"exynos-m3", [ARMv8a, ProcExynos]>; -def : ProcNoItin<"exynos-m4", [ARMv8a, ProcExynos]>; def : ProcNoItin<"exynos-m4", [ARMv82a, ProcExynos, FeatureFullFP16, FeatureDotProd]>; -- 2.7.4