From 69e114c1abf91241a0eeecf1ecceab4711b8aa62 Mon Sep 17 00:00:00 2001 From: =?utf8?q?Tom=C3=A1=C5=A1=20Rylek?= Date: Tue, 8 Dec 2020 03:19:44 +0100 Subject: [PATCH] December infra rollout - remove duplicated 'src' from coreclr subrepo (src/coreclr/src becomes src/coreclr) (#44973) * Move src/coreclr/src/Directory.Build.targets to src/coreclr Merge src/coreclr/src/CMakeLists.txt into src/coreclr/CMakeLists.txt * Mechanical move of src/coreclr/src to src/coreclr * Scripts adjustments to reflect the changed paths --- .gitignore | 33 ++--- Directory.Build.props | 2 +- eng/Subsets.props | 24 ++-- src/coreclr/{src => }/.nuget/Directory.Build.props | 0 .../{src => }/.nuget/Directory.Build.targets | 0 .../Microsoft.CrossOsDiag.Private.CoreCLR.pkgproj | 0 .../Microsoft.CrossOsDiag.Private.CoreCLR.proj | 0 .../Microsoft.ILVerification.pkgproj | 0 .../Microsoft.NET.Sdk.IL.pkgproj | 0 .../.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.props | 0 .../.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.targets | 0 .../targets/Microsoft.NET.Sdk.IL.Common.targets | 0 .../targets/Microsoft.NET.Sdk.IL.targets | 0 .../Microsoft.NETCore.ILAsm.pkgproj | 0 .../Microsoft.NETCore.ILAsm.proj | 0 .../Microsoft.NETCore.ILDAsm.pkgproj | 0 .../Microsoft.NETCore.ILDAsm.proj | 0 .../Microsoft.NETCore.TestHost.pkgproj | 0 .../Microsoft.NETCore.TestHost.proj | 0 src/coreclr/{src => }/.nuget/_.pdb | 0 src/coreclr/{src => }/.nuget/builds.targets | 0 src/coreclr/{src => }/.nuget/coreclr-packages.proj | 0 src/coreclr/{src => }/.nuget/descriptions.json | 0 .../.nuget/dotnet-ilverify/DotnetToolSettings.xml | 0 .../.nuget/dotnet-ilverify/dotnet-ilverify.pkgproj | 0 .../{src => }/.nuget/optdata/Directory.Build.props | 0 .../.nuget/optdata/Directory.Build.targets | 0 .../{src => }/.nuget/optdata/optdata.csproj | 0 src/coreclr/{src => }/.nuget/packageIndex.json | 0 src/coreclr/{src => }/.nuget/packaging.props | 0 src/coreclr/{src => }/.nuget/versioning.targets | 0 src/coreclr/CMakeLists.txt | 134 ++++++++++++++++++--- src/coreclr/Directory.Build.targets | 20 +++ .../CreateRuntimeRootILLinkDescriptorFile.targets | 0 .../MembersMustExist.AnalyzerData | 0 .../System.Private.CoreLib.csproj | 6 +- .../System.Private.CoreLib.sln | 0 .../Tools/GenUnicodeProp/CategoryCasingInfo.cs | 0 .../Tools/GenUnicodeProp/DataTable.cs | 0 .../Tools/GenUnicodeProp/GenUnicodeProp.csproj | 0 .../Tools/GenUnicodeProp/NumericGraphemeInfo.cs | 0 .../Tools/GenUnicodeProp/Program.cs | 0 .../Tools/GenUnicodeProp/Readme.md | 0 .../Tools/GenUnicodeProp/StrongBidiCategory.cs | 0 .../Tools/GenUnicodeProp/TableLevels.cs | 0 .../src/ILLink/ILLinkTrim.xml | 0 .../Runtime/InteropServices/ComActivator.cs | 0 .../Runtime/InteropServices/ComponentActivator.cs | 0 .../InteropServices/InMemoryAssemblyLoader.cs | 0 .../IsolatedComponentLoadContext.cs | 0 .../src/Interop/Unix/Interop.Libraries.cs | 0 .../src/Microsoft/Win32/OAVariantLib.cs | 0 .../src/System/ArgIterator.cs | 0 .../src/System/Array.CoreCLR.cs | 0 .../src/System/Attribute.CoreCLR.cs | 0 .../src/System/BadImageFormatException.CoreCLR.cs | 0 .../src/System/Buffer.CoreCLR.cs | 0 .../System.Private.CoreLib/src/System/CLRConfig.cs | 0 .../Collections/EmptyReadOnlyDictionaryInternal.cs | 0 .../Collections/Generic/ArraySortHelper.CoreCLR.cs | 0 .../System/Collections/Generic/Comparer.CoreCLR.cs | 0 .../System/Collections/Generic/ComparerHelpers.cs | 0 .../Generic/EqualityComparer.CoreCLR.cs | 0 .../Collections/ObjectModel/ReadOnlyDictionary.cs | 0 .../System.Private.CoreLib/src/System/Currency.cs | 0 .../src/System/DateTime.Unix.CoreCLR.cs | 0 .../src/System/DateTime.Windows.CoreCLR.cs | 0 .../src/System/Delegate.CoreCLR.cs | 0 .../src/System/Diagnostics/Debugger.cs | 0 .../System/Diagnostics/EditAndContinueHelper.cs | 0 .../Diagnostics/Eventing/EventPipe.CoreCLR.cs | 0 .../Diagnostics/ICustomDebuggerNotification.cs | 0 .../src/System/Diagnostics/StackFrame.CoreCLR.cs | 0 .../src/System/Diagnostics/StackFrameHelper.cs | 0 .../src/System/Diagnostics/StackTrace.CoreCLR.cs | 0 .../System/Diagnostics/SymbolStore/ISymWriter.cs | 0 .../Diagnostics/SymbolStore/SymAddressKind.cs | 0 .../src/System/Diagnostics/SymbolStore/Token.cs | 0 .../src/System/Enum.CoreCLR.cs | 0 .../src/System/Environment.CoreCLR.cs | 0 .../src/System/Exception.CoreCLR.cs | 0 .../System.Private.CoreLib/src/System/GC.cs | 0 .../src/System/IO/FileLoadException.CoreCLR.cs | 0 .../src/System/IO/FileNotFoundException.CoreCLR.cs | 0 .../src/System/IO/Stream.CoreCLR.cs | 0 .../src/System/Math.CoreCLR.cs | 0 .../src/System/MathF.CoreCLR.cs | 0 .../src/System/MissingMemberException.CoreCLR.cs | 0 .../src/System/MulticastDelegate.cs | 0 .../src/System/Object.CoreCLR.cs | 0 .../src/System/OleAutBinder.cs | 0 .../src/System/Reflection/Assembly.CoreCLR.cs | 0 .../src/System/Reflection/AssemblyName.CoreCLR.cs | 0 .../src/System/Reflection/Associates.cs | 0 .../System/Reflection/ConstructorInfo.CoreCLR.cs | 0 .../src/System/Reflection/CustomAttribute.cs | 0 .../src/System/Reflection/Emit/AssemblyBuilder.cs | 0 .../System/Reflection/Emit/AssemblyBuilderData.cs | 0 .../System/Reflection/Emit/ConstructorBuilder.cs | 0 .../Reflection/Emit/CustomAttributeBuilder.cs | 0 .../System/Reflection/Emit/DynamicILGenerator.cs | 0 .../src/System/Reflection/Emit/DynamicMethod.cs | 0 .../src/System/Reflection/Emit/EnumBuilder.cs | 0 .../src/System/Reflection/Emit/EventBuilder.cs | 0 .../src/System/Reflection/Emit/FieldBuilder.cs | 0 .../Reflection/Emit/GenericTypeParameterBuilder.cs | 0 .../src/System/Reflection/Emit/ILGenerator.cs | 0 .../src/System/Reflection/Emit/ISymWrapperCore.cs | 0 .../src/System/Reflection/Emit/LocalBuilder.cs | 0 .../src/System/Reflection/Emit/MethodBuilder.cs | 0 .../Reflection/Emit/MethodBuilderInstantiation.cs | 0 .../src/System/Reflection/Emit/ModuleBuilder.cs | 0 .../System/Reflection/Emit/ModuleBuilderData.cs | 0 .../src/System/Reflection/Emit/ParameterBuilder.cs | 0 .../src/System/Reflection/Emit/PropertyBuilder.cs | 0 .../src/System/Reflection/Emit/SignatureHelper.cs | 0 .../src/System/Reflection/Emit/SymbolMethod.cs | 0 .../src/System/Reflection/Emit/SymbolType.cs | 0 .../src/System/Reflection/Emit/TypeBuilder.cs | 0 .../Reflection/Emit/TypeBuilderInstantiation.cs | 0 .../Emit/XXXOnTypeBuilderInstantiation.cs | 0 .../src/System/Reflection/FieldInfo.CoreCLR.cs | 0 .../src/System/Reflection/INVOCATION_FLAGS.cs | 0 .../src/System/Reflection/LoaderAllocator.cs | 0 .../src/System/Reflection/MdConstant.cs | 0 .../src/System/Reflection/MdFieldInfo.cs | 0 .../src/System/Reflection/MdImport.cs | 0 .../src/System/Reflection/MemberInfo.Internal.cs | 0 .../Reflection/Metadata/AssemblyExtensions.cs | 0 .../src/System/Reflection/MethodBase.CoreCLR.cs | 0 .../src/System/Reflection/RtFieldInfo.cs | 0 .../src/System/Reflection/RuntimeAssembly.cs | 0 .../System/Reflection/RuntimeConstructorInfo.cs | 0 .../src/System/Reflection/RuntimeEventInfo.cs | 0 .../Reflection/RuntimeExceptionHandlingClause.cs | 0 .../src/System/Reflection/RuntimeFieldInfo.cs | 0 .../System/Reflection/RuntimeLocalVariableInfo.cs | 0 .../src/System/Reflection/RuntimeMethodBody.cs | 0 .../src/System/Reflection/RuntimeMethodInfo.cs | 0 .../src/System/Reflection/RuntimeModule.cs | 0 .../src/System/Reflection/RuntimeParameterInfo.cs | 0 .../src/System/Reflection/RuntimePropertyInfo.cs | 0 .../ManifestBasedResourceGroveler.CoreCLR.cs | 0 .../System/Runtime/CompilerServices/CastHelpers.cs | 0 .../CrossLoaderAllocatorHashHelpers.cs | 0 .../Runtime/CompilerServices/DependentHandle.cs | 0 .../System/Runtime/CompilerServices/GCHeapHash.cs | 0 .../Runtime/CompilerServices/ICastableHelpers.cs | 0 .../CompilerServices/RuntimeFeature.CoreCLR.cs | 0 .../CompilerServices/RuntimeHelpers.CoreCLR.cs | 0 .../CompilerServices/TypeDependencyAttribute.cs | 0 .../src/System/Runtime/GCSettings.CoreCLR.cs | 0 .../Runtime/InteropServices/ComEventsHelper.cs | 0 .../Runtime/InteropServices/ComEventsInfo.cs | 0 .../InteropServices/ComTypes/IEnumerable.cs | 0 .../InteropServices/ComTypes/IEnumerator.cs | 0 .../System/Runtime/InteropServices/ComWrappers.cs | 0 .../CustomMarshalers/ComDataHelpers.cs | 0 .../EnumVariantViewOfEnumerator.cs | 0 .../EnumerableToDispatchMarshaler.cs | 0 .../CustomMarshalers/EnumerableViewOfDispatch.cs | 0 .../EnumeratorToEnumVariantMarshaler.cs | 0 .../EnumeratorViewOfEnumVariant.cs | 0 .../ExpandoToDispatchExMarshaler.cs | 0 .../CustomMarshalers/TypeToTypeInfoMarshaler.cs | 0 .../DynamicInterfaceCastableHelpers.cs | 0 .../Runtime/InteropServices/GCHandle.CoreCLR.cs | 0 .../System/Runtime/InteropServices/IException.cs | 0 .../Runtime/InteropServices/Marshal.CoreCLR.cs | 0 .../InteropServices/MemoryMarshal.CoreCLR.cs | 0 .../InteropServices/NativeLibrary.CoreCLR.cs | 0 .../Runtime/Intrinsics/X86/X86Base.CoreCLR.cs | 0 .../Runtime/Loader/AssemblyLoadContext.CoreCLR.cs | 0 .../Runtime/Versioning/CompatibilitySwitch.cs | 0 .../src/System/RuntimeArgumentHandle.cs | 0 .../src/System/RuntimeHandles.cs | 0 .../src/System/RuntimeType.ActivatorCache.cs | 0 .../src/System/RuntimeType.CoreCLR.cs | 0 .../Security/DynamicSecurityMethodAttribute.cs | 0 .../src/System/StartupHookProvider.cs | 0 .../src/System/String.CoreCLR.cs | 0 .../src/System/StubHelpers.cs | 0 .../src/System/Text/StringBuilder.CoreCLR.cs | 0 .../Threading/ClrThreadPoolBoundHandle.Unix.cs | 0 .../Threading/ClrThreadPoolBoundHandle.Windows.cs | 0 .../System/Threading/ClrThreadPoolBoundHandle.cs | 0 .../ClrThreadPoolBoundHandleOverlapped.cs | 0 .../ClrThreadPoolPreAllocatedOverlapped.cs | 0 .../src/System/Threading/Interlocked.CoreCLR.cs | 0 .../System/Threading/LowLevelLifoSemaphore.Unix.cs | 0 .../src/System/Threading/Monitor.CoreCLR.cs | 0 .../src/System/Threading/Overlapped.cs | 0 .../src/System/Threading/ProcessorIdCache.cs | 0 .../src/System/Threading/StackCrawlMark.cs | 0 .../Threading/SynchronizationContext.CoreCLR.cs | 0 .../src/System/Threading/Thread.CoreCLR.cs | 0 .../src/System/Threading/ThreadPool.CoreCLR.cs | 0 .../src/System/Threading/Timer.CoreCLR.cs | 0 .../src/System/Threading/WaitHandle.CoreCLR.cs | 0 .../src/System/Type.CoreCLR.cs | 0 .../src/System/TypeLoadException.CoreCLR.cs | 0 .../src/System/TypeNameParser.cs | 0 .../src/System/TypedReference.cs | 0 .../System.Private.CoreLib/src/System/ValueType.cs | 0 .../System.Private.CoreLib/src/System/Variant.cs | 0 .../src/System/WeakReference.CoreCLR.cs | 0 .../src/System/WeakReference.T.CoreCLR.cs | 0 .../System.Private.CoreLib/src/System/__Canon.cs | 0 .../src/System/__ComObject.cs | 0 src/coreclr/{src => }/ToolBox/CMakeLists.txt | 0 src/coreclr/{src => }/ToolBox/SOS/CMakeLists.txt | 0 src/coreclr/{src => }/ToolBox/SOS/DIALib/DIALib.il | 0 .../{src => }/ToolBox/SOS/DIALib/DIALib.ilproj | 0 .../ToolBox/SOS/DacTableGen/DacTableGen.csproj | 0 .../ToolBox/SOS/DacTableGen/MapSymbolProvider.cs | 0 .../{src => }/ToolBox/SOS/DacTableGen/cvconst.cs | 0 .../{src => }/ToolBox/SOS/DacTableGen/diautil.cs | 0 .../{src => }/ToolBox/SOS/DacTableGen/main.cs | 0 .../{src => }/ToolBox/SOS/Directory.Build.props | 0 src/coreclr/{src => }/ToolBox/SOS/SOS_README.md | 0 .../{src/jit => ToolBox/superpmi}/.clang-format | 0 .../{src => }/ToolBox/superpmi/CMakeLists.txt | 0 .../{src => }/ToolBox/superpmi/mcs/CMakeLists.txt | 0 .../{src => }/ToolBox/superpmi/mcs/commandline.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/commandline.h | 0 src/coreclr/{src => }/ToolBox/superpmi/mcs/mcs.cpp | 0 src/coreclr/{src => }/ToolBox/superpmi/mcs/mcs.h | 0 .../{src => }/ToolBox/superpmi/mcs/removedup.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/removedup.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbasmdump.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbasmdump.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbconcat.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbconcat.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbdump.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbdump.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbdumpmap.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbdumpmap.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbdumptoc.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbdumptoc.h | 0 .../ToolBox/superpmi/mcs/verbfracture.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbfracture.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbildump.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbildump.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbinteg.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbinteg.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbmerge.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbmerge.h | 0 .../ToolBox/superpmi/mcs/verbprintjiteeversion.cpp | 0 .../ToolBox/superpmi/mcs/verbprintjiteeversion.h | 0 .../ToolBox/superpmi/mcs/verbremovedup.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbremovedup.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbstat.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbstat.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbstrip.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbstrip.h | 0 .../{src => }/ToolBox/superpmi/mcs/verbtoc.cpp | 0 .../{src => }/ToolBox/superpmi/mcs/verbtoc.h | 0 src/coreclr/{src => }/ToolBox/superpmi/readme.md | 0 .../ToolBox/superpmi/superpmi-shared/asmdumper.cpp | 0 .../ToolBox/superpmi/superpmi-shared/asmdumper.h | 0 .../ToolBox/superpmi/superpmi-shared/callutils.cpp | 0 .../ToolBox/superpmi/superpmi-shared/callutils.h | 0 .../superpmi/superpmi-shared/compileresult.cpp | 0 .../superpmi/superpmi-shared/compileresult.h | 0 .../ToolBox/superpmi/superpmi-shared/crlwmlist.h | 0 .../superpmi/superpmi-shared/errorhandling.cpp | 0 .../superpmi/superpmi-shared/errorhandling.h | 0 .../ToolBox/superpmi/superpmi-shared/hash.cpp | 0 .../ToolBox/superpmi/superpmi-shared/hash.h | 0 .../superpmi/superpmi-shared/icorjitcompilerimpl.h | 0 .../superpmi/superpmi-shared/icorjithostimpl.h | 0 .../superpmi/superpmi-shared/icorjitinfoimpl.h | 0 .../superpmi/superpmi-shared/lightweightmap.h | 0 .../ToolBox/superpmi/superpmi-shared/logging.cpp | 0 .../ToolBox/superpmi/superpmi-shared/logging.h | 0 .../ToolBox/superpmi/superpmi-shared/lwmlist.h | 0 .../ToolBox/superpmi/superpmi-shared/mclist.cpp | 0 .../ToolBox/superpmi/superpmi-shared/mclist.h | 0 .../superpmi/superpmi-shared/methodcontext.cpp | 0 .../superpmi/superpmi-shared/methodcontext.h | 0 .../superpmi-shared/methodcontextiterator.cpp | 0 .../superpmi-shared/methodcontextiterator.h | 0 .../superpmi-shared/methodcontextreader.cpp | 0 .../superpmi/superpmi-shared/methodcontextreader.h | 0 .../superpmi/superpmi-shared/runtimedetails.h | 0 .../superpmi/superpmi-shared/simpletimer.cpp | 0 .../ToolBox/superpmi/superpmi-shared/simpletimer.h | 0 .../superpmi/superpmi-shared/spmidumphelper.cpp | 0 .../superpmi/superpmi-shared/spmidumphelper.h | 0 .../superpmi/superpmi-shared/spmirecordhelper.h | 0 .../ToolBox/superpmi/superpmi-shared/spmiutil.cpp | 0 .../ToolBox/superpmi/superpmi-shared/spmiutil.h | 0 .../ToolBox/superpmi/superpmi-shared/standardpch.h | 0 .../ToolBox/superpmi/superpmi-shared/tocfile.cpp | 0 .../ToolBox/superpmi/superpmi-shared/tocfile.h | 0 .../ToolBox/superpmi/superpmi-shared/typeutils.cpp | 0 .../ToolBox/superpmi/superpmi-shared/typeutils.h | 0 .../superpmi-shim-collector/CMakeLists.txt | 0 .../superpmi-shim-collector/icorjitcompiler.cpp | 0 .../superpmi-shim-collector/icorjitcompiler.h | 0 .../superpmi-shim-collector/icorjitinfo.cpp | 0 .../superpmi/superpmi-shim-collector/icorjitinfo.h | 0 .../superpmi/superpmi-shim-collector/jithost.cpp | 0 .../superpmi/superpmi-shim-collector/jithost.h | 0 .../superpmi-shim-collector.cpp | 0 .../superpmi-shim-collector.def | 0 .../superpmi-shim-collector.h | 0 .../superpmi/superpmi-shim-counter/CMakeLists.txt | 0 .../superpmi-shim-counter/icorjitcompiler.cpp | 0 .../superpmi-shim-counter/icorjitcompiler.h | 0 .../superpmi/superpmi-shim-counter/icorjitinfo.cpp | 0 .../superpmi/superpmi-shim-counter/icorjitinfo.h | 0 .../superpmi/superpmi-shim-counter/jithost.cpp | 0 .../superpmi/superpmi-shim-counter/jithost.h | 0 .../superpmi-shim-counter/methodcallsummarizer.cpp | 0 .../superpmi-shim-counter/methodcallsummarizer.h | 0 .../superpmi-shim-counter.cpp | 0 .../superpmi-shim-counter.def | 0 .../superpmi-shim-counter/superpmi-shim-counter.h | 0 .../superpmi/superpmi-shim-simple/CMakeLists.txt | 0 .../superpmi-shim-simple/icorjitcompiler.cpp | 0 .../superpmi-shim-simple/icorjitcompiler.h | 0 .../superpmi/superpmi-shim-simple/icorjitinfo.cpp | 0 .../superpmi/superpmi-shim-simple/icorjitinfo.h | 0 .../superpmi/superpmi-shim-simple/jithost.cpp | 0 .../superpmi/superpmi-shim-simple/jithost.h | 0 .../superpmi-shim-simple/superpmi-shim-simple.cpp | 0 .../superpmi-shim-simple/superpmi-shim-simple.def | 0 .../superpmi-shim-simple/superpmi-shim-simple.h | 0 .../ToolBox/superpmi/superpmi/CMakeLists.txt | 0 .../ToolBox/superpmi/superpmi/commandline.cpp | 0 .../ToolBox/superpmi/superpmi/commandline.h | 0 .../ToolBox/superpmi/superpmi/cycletimer.cpp | 0 .../ToolBox/superpmi/superpmi/cycletimer.h | 0 .../ToolBox/superpmi/superpmi/icorjitinfo.cpp | 0 .../ToolBox/superpmi/superpmi/icorjitinfo.h | 0 .../ToolBox/superpmi/superpmi/jitdebugger.cpp | 0 .../ToolBox/superpmi/superpmi/jitdebugger.h | 0 .../ToolBox/superpmi/superpmi/jithost.cpp | 0 .../{src => }/ToolBox/superpmi/superpmi/jithost.h | 0 .../ToolBox/superpmi/superpmi/jitinstance.cpp | 0 .../ToolBox/superpmi/superpmi/jitinstance.h | 0 .../superpmi/superpmi/methodstatsemitter.cpp | 0 .../ToolBox/superpmi/superpmi/methodstatsemitter.h | 0 .../ToolBox/superpmi/superpmi/neardiffer.cpp | 0 .../ToolBox/superpmi/superpmi/neardiffer.h | 0 .../ToolBox/superpmi/superpmi/parallelsuperpmi.cpp | 0 .../ToolBox/superpmi/superpmi/superpmi.cpp | 0 .../{src => }/ToolBox/superpmi/superpmi/superpmi.h | 0 src/coreclr/{src => }/binder/CMakeLists.txt | 0 src/coreclr/{src => }/binder/activitytracker.cpp | 0 .../{src => }/binder/applicationcontext.cpp | 0 src/coreclr/{src => }/binder/assembly.cpp | 0 src/coreclr/{src => }/binder/assemblybinder.cpp | 0 .../{src => }/binder/assemblyidentitycache.cpp | 0 src/coreclr/{src => }/binder/assemblyname.cpp | 0 src/coreclr/{src => }/binder/bindertracing.cpp | 0 .../binder/clrprivbinderassemblyloadcontext.cpp | 0 .../{src => }/binder/clrprivbindercoreclr.cpp | 0 .../{src => }/binder/coreclrbindercommon.cpp | 0 src/coreclr/{src => }/binder/failurecache.cpp | 0 .../{src => }/binder/fusionassemblyname.cpp | 0 src/coreclr/{src => }/binder/inc/activitytracker.h | 0 .../{src => }/binder/inc/applicationcontext.hpp | 0 .../{src => }/binder/inc/applicationcontext.inl | 0 src/coreclr/{src => }/binder/inc/assembly.hpp | 0 src/coreclr/{src => }/binder/inc/assembly.inl | 0 .../{src => }/binder/inc/assemblybinder.hpp | 0 src/coreclr/{src => }/binder/inc/assemblyentry.hpp | 0 .../{src => }/binder/inc/assemblyhashtraits.hpp | 0 .../{src => }/binder/inc/assemblyidentity.hpp | 0 .../{src => }/binder/inc/assemblyidentitycache.hpp | 0 src/coreclr/{src => }/binder/inc/assemblyname.hpp | 0 src/coreclr/{src => }/binder/inc/assemblyname.inl | 0 .../{src => }/binder/inc/assemblyversion.hpp | 0 .../{src => }/binder/inc/assemblyversion.inl | 0 src/coreclr/{src => }/binder/inc/bindertracing.h | 0 src/coreclr/{src => }/binder/inc/bindertypes.hpp | 0 src/coreclr/{src => }/binder/inc/bindresult.hpp | 0 src/coreclr/{src => }/binder/inc/bindresult.inl | 0 .../binder/inc/clrprivbinderassemblyloadcontext.h | 0 .../{src => }/binder/inc/clrprivbindercoreclr.h | 0 src/coreclr/{src => }/binder/inc/contextentry.hpp | 0 .../{src => }/binder/inc/coreclrbindercommon.h | 0 src/coreclr/{src => }/binder/inc/failurecache.hpp | 0 .../binder/inc/failurecachehashtraits.hpp | 0 .../{src => }/binder/inc/fusionassemblyname.hpp | 0 src/coreclr/{src => }/binder/inc/fusionhelpers.hpp | 0 src/coreclr/{src => }/binder/inc/loadcontext.hpp | 0 src/coreclr/{src => }/binder/inc/loadcontext.inl | 0 src/coreclr/{src => }/binder/inc/stringlexer.hpp | 0 src/coreclr/{src => }/binder/inc/stringlexer.inl | 0 .../{src => }/binder/inc/textualidentityparser.hpp | 0 src/coreclr/{src => }/binder/inc/utils.hpp | 0 src/coreclr/{src => }/binder/inc/variables.hpp | 0 src/coreclr/{src => }/binder/stringlexer.cpp | 0 .../{src => }/binder/textualidentityparser.cpp | 0 src/coreclr/{src => }/binder/utils.cpp | 0 src/coreclr/{src => }/binder/variables.cpp | 0 src/coreclr/build-runtime.cmd | 2 +- src/coreclr/build-runtime.sh | 2 +- .../{src => }/classlibnative/CMakeLists.txt | 0 .../classlibnative/bcltype/CMakeLists.txt | 0 .../classlibnative/bcltype/arraynative.cpp | 0 .../{src => }/classlibnative/bcltype/arraynative.h | 0 .../classlibnative/bcltype/arraynative.inl | 0 .../{src => }/classlibnative/bcltype/oavariant.cpp | 0 .../{src => }/classlibnative/bcltype/oavariant.h | 0 .../classlibnative/bcltype/objectnative.cpp | 0 .../classlibnative/bcltype/objectnative.h | 0 .../classlibnative/bcltype/stringnative.cpp | 0 .../classlibnative/bcltype/stringnative.h | 0 .../{src => }/classlibnative/bcltype/system.cpp | 0 .../{src => }/classlibnative/bcltype/system.h | 0 .../classlibnative/bcltype/varargsnative.cpp | 0 .../classlibnative/bcltype/varargsnative.h | 0 .../{src => }/classlibnative/bcltype/variant.cpp | 0 .../{src => }/classlibnative/bcltype/variant.h | 0 .../{src => }/classlibnative/float/CMakeLists.txt | 0 .../{src => }/classlibnative/float/floatdouble.cpp | 0 .../{src => }/classlibnative/float/floatsingle.cpp | 0 .../{src => }/classlibnative/inc/floatdouble.h | 0 .../{src => }/classlibnative/inc/floatsingle.h | 0 src/coreclr/{src => }/classlibnative/inc/nls.h | 0 src/coreclr/{src => }/cpp.hint | 0 src/coreclr/{src => }/debug/CMakeLists.txt | 0 .../{src => }/debug/createdump/CMakeLists.txt | 2 +- src/coreclr/{src => }/debug/createdump/config.h.in | 0 .../{src => }/debug/createdump/configure.cmake | 0 .../{src => }/debug/createdump/crashinfo.cpp | 0 src/coreclr/{src => }/debug/createdump/crashinfo.h | 0 .../{src => }/debug/createdump/crashinfomac.cpp | 0 .../{src => }/debug/createdump/crashinfounix.cpp | 0 .../{src => }/debug/createdump/createdump.h | 0 .../{src => }/debug/createdump/createdump.rc | 0 .../{src => }/debug/createdump/createdumpunix.cpp | 0 .../debug/createdump/createdumpwindows.cpp | 0 .../{src => }/debug/createdump/datatarget.cpp | 0 .../{src => }/debug/createdump/datatarget.h | 0 .../{src => }/debug/createdump/dumpname.cpp | 0 .../{src => }/debug/createdump/dumpwriter.cpp | 0 .../{src => }/debug/createdump/dumpwriter.h | 0 src/coreclr/{src => }/debug/createdump/mac.h | 0 src/coreclr/{src => }/debug/createdump/main.cpp | 0 .../{src => }/debug/createdump/memoryregion.h | 0 .../{src => }/debug/createdump/threadinfo.cpp | 0 .../{src => }/debug/createdump/threadinfo.h | 0 .../{src => }/debug/createdump/threadinfomac.cpp | 0 .../{src => }/debug/createdump/threadinfounix.cpp | 0 src/coreclr/{src => }/debug/daccess/CMakeLists.txt | 8 +- .../ee => debug/daccess}/amd64/primitives.cpp | 0 .../{src => }/debug/daccess/arm/primitives.cpp | 0 .../{src => }/debug/daccess/arm64/primitives.cpp | 0 src/coreclr/{src => }/debug/daccess/daccess.cpp | 0 src/coreclr/{src => }/debug/daccess/dacdbiimpl.cpp | 0 src/coreclr/{src => }/debug/daccess/dacdbiimpl.h | 0 src/coreclr/{src => }/debug/daccess/dacdbiimpl.inl | 0 .../{src => }/debug/daccess/dacdbiimpllocks.cpp | 0 .../debug/daccess/dacdbiimplstackwalk.cpp | 0 src/coreclr/{src => }/debug/daccess/dacfn.cpp | 0 src/coreclr/{src => }/debug/daccess/dacimpl.h | 0 .../{src => }/debug/daccess/datatargetadapter.cpp | 0 .../{src => }/debug/daccess/datatargetadapter.h | 0 src/coreclr/{src => }/debug/daccess/enummem.cpp | 0 .../{src => }/debug/daccess/fntableaccess.cpp | 0 .../{src => }/debug/daccess/fntableaccess.h | 0 .../{src => }/debug/daccess/gcinterface.dac.h | 0 .../debug/ee => debug/daccess}/i386/primitives.cpp | 0 src/coreclr/{src => }/debug/daccess/inspect.cpp | 0 src/coreclr/{src => }/debug/daccess/nidump.cpp | 0 src/coreclr/{src => }/debug/daccess/nidump.h | 0 src/coreclr/{src => }/debug/daccess/nidump.inl | 0 src/coreclr/{src => }/debug/daccess/reimpl.cpp | 0 src/coreclr/{src => }/debug/daccess/request.cpp | 0 .../{src => }/debug/daccess/request_common.h | 0 .../{src => }/debug/daccess/request_svr.cpp | 0 src/coreclr/{src => }/debug/daccess/stack.cpp | 0 src/coreclr/{src => }/debug/daccess/stdafx.h | 0 src/coreclr/{src => }/debug/daccess/task.cpp | 0 src/coreclr/{src => }/debug/dbgutil/CMakeLists.txt | 2 +- src/coreclr/{src => }/debug/dbgutil/dbgutil.cpp | 0 src/coreclr/{src => }/debug/dbgutil/elfreader.cpp | 0 src/coreclr/{src => }/debug/dbgutil/elfreader.h | 0 .../{src => }/debug/dbgutil/machoreader.cpp | 0 src/coreclr/{src => }/debug/dbgutil/machoreader.h | 0 .../{src => }/debug/debug-pal/CMakeLists.txt | 0 .../{src => }/debug/debug-pal/dummy/twowaypipe.cpp | 0 .../debug/debug-pal/unix/diagnosticsipc.cpp | 0 .../debug/debug-pal/unix/processdescriptor.cpp | 0 .../{src => }/debug/debug-pal/unix/twowaypipe.cpp | 0 .../debug/debug-pal/win/diagnosticsipc.cpp | 0 .../debug/debug-pal/win/processdescriptor.cpp | 0 .../{src => }/debug/debug-pal/win/twowaypipe.cpp | 0 src/coreclr/{src => }/debug/di/CMakeLists.txt | 0 .../{src => }/debug/di/ICorDebugValueTypes.vsd | Bin .../{src => }/debug/di/amd64/FloatConversion.asm | 0 .../{src => }/debug/di/amd64/cordbregisterset.cpp | 0 .../{src => }/debug/di/amd64/floatconversion.S | 0 .../{src => }/debug/di/amd64/primitives.cpp | 0 .../{src => }/debug/di/arm/cordbregisterset.cpp | 0 .../{src => }/debug/di/arm/floatconversion.S | 0 .../{src => }/debug/di/arm/floatconversion.asm | 0 src/coreclr/{src => }/debug/di/arm/primitives.cpp | 0 .../{src => }/debug/di/arm64/cordbregisterset.cpp | 0 .../{src => }/debug/di/arm64/floatconversion.S | 0 .../{src => }/debug/di/arm64/floatconversion.asm | 0 .../{src => }/debug/di/arm64/primitives.cpp | 0 src/coreclr/{src => }/debug/di/breakpoint.cpp | 0 src/coreclr/{src => }/debug/di/classfactory.h | 0 src/coreclr/{src => }/debug/di/cordb.cpp | 0 .../{src => }/debug/di/dbgtransportmanager.cpp | 0 .../{src => }/debug/di/dbgtransportmanager.h | 0 .../{src => }/debug/di/dbgtransportpipeline.cpp | 0 src/coreclr/{src => }/debug/di/divalue.cpp | 0 src/coreclr/{src => }/debug/di/eventchannel.h | 0 .../debug/di/eventredirectionpipeline.cpp | 0 .../{src => }/debug/di/eventredirectionpipeline.h | 0 src/coreclr/{src => }/debug/di/hash.cpp | 0 src/coreclr/{src => }/debug/di/helpers.h | 0 .../{src => }/debug/di/i386/cordbregisterset.cpp | 0 src/coreclr/{src => }/debug/di/i386/primitives.cpp | 0 .../{src => }/debug/di/localeventchannel.cpp | 0 src/coreclr/{src => }/debug/di/module.cpp | 0 src/coreclr/{src => }/debug/di/nativepipeline.cpp | 0 src/coreclr/{src => }/debug/di/nativepipeline.h | 0 .../{src => }/debug/di/platformspecific.cpp | 0 src/coreclr/{src => }/debug/di/process.cpp | 0 src/coreclr/{src => }/debug/di/publish.cpp | 0 .../{src => }/debug/di/remoteeventchannel.cpp | 0 src/coreclr/{src => }/debug/di/rsappdomain.cpp | 0 src/coreclr/{src => }/debug/di/rsassembly.cpp | 0 src/coreclr/{src => }/debug/di/rsclass.cpp | 0 src/coreclr/{src => }/debug/di/rsenumerator.hpp | 0 src/coreclr/{src => }/debug/di/rsfunction.cpp | 0 src/coreclr/{src => }/debug/di/rsmain.cpp | 0 src/coreclr/{src => }/debug/di/rsmda.cpp | 0 src/coreclr/{src => }/debug/di/rspriv.h | 0 src/coreclr/{src => }/debug/di/rspriv.inl | 0 src/coreclr/{src => }/debug/di/rsregsetcommon.cpp | 0 src/coreclr/{src => }/debug/di/rsstackwalk.cpp | 0 src/coreclr/{src => }/debug/di/rsthread.cpp | 0 src/coreclr/{src => }/debug/di/rstype.cpp | 0 src/coreclr/{src => }/debug/di/shared.cpp | 0 src/coreclr/{src => }/debug/di/shimcallback.cpp | 0 src/coreclr/{src => }/debug/di/shimdatatarget.cpp | 0 src/coreclr/{src => }/debug/di/shimdatatarget.h | 0 src/coreclr/{src => }/debug/di/shimevents.cpp | 0 .../{src => }/debug/di/shimlocaldatatarget.cpp | 0 src/coreclr/{src => }/debug/di/shimpriv.h | 0 src/coreclr/{src => }/debug/di/shimprocess.cpp | 0 .../{src => }/debug/di/shimremotedatatarget.cpp | 0 src/coreclr/{src => }/debug/di/shimstackwalk.cpp | 0 src/coreclr/{src => }/debug/di/stdafx.h | 0 src/coreclr/{src => }/debug/di/symbolinfo.cpp | 0 src/coreclr/{src => }/debug/di/symbolinfo.h | 0 src/coreclr/{src => }/debug/di/valuehome.cpp | 0 src/coreclr/{src => }/debug/di/windowspipeline.cpp | 0 src/coreclr/{src => }/debug/ee/CMakeLists.txt | 0 .../{src => }/debug/ee/amd64/amd64InstrDecode.h | 0 .../{src => }/debug/ee/amd64/amd64walker.cpp | 0 src/coreclr/{src => }/debug/ee/amd64/dbghelpers.S | 0 .../{src => }/debug/ee/amd64/dbghelpers.asm | 0 .../debug/ee/amd64/debuggerregdisplayhelper.cpp | 0 .../Amd64InstructionTableGenerator.cs | 0 .../Amd64InstructionTableGenerator.csproj | 0 .../debug/ee/amd64/gen_amd64InstrDecode/README.md | 0 .../amd64/gen_amd64InstrDecode/createOpcodes.cpp | 0 .../daccess => debug/ee}/amd64/primitives.cpp | 0 src/coreclr/{src => }/debug/ee/arm/armwalker.cpp | 0 src/coreclr/{src => }/debug/ee/arm/dbghelpers.S | 0 src/coreclr/{src => }/debug/ee/arm/dbghelpers.asm | 0 src/coreclr/{src => }/debug/ee/arm/primitives.cpp | 0 .../{src => }/debug/ee/arm64/arm64walker.cpp | 0 src/coreclr/{src => }/debug/ee/arm64/dbghelpers.S | 0 .../{src => }/debug/ee/arm64/dbghelpers.asm | 0 .../{src => }/debug/ee/arm64/primitives.cpp | 0 src/coreclr/{src => }/debug/ee/canary.cpp | 0 src/coreclr/{src => }/debug/ee/canary.h | 0 src/coreclr/{src => }/debug/ee/controller.cpp | 0 src/coreclr/{src => }/debug/ee/controller.h | 0 src/coreclr/{src => }/debug/ee/controller.inl | 0 src/coreclr/{src => }/debug/ee/dac/CMakeLists.txt | 0 src/coreclr/{src => }/debug/ee/dactable.cpp | 0 src/coreclr/{src => }/debug/ee/datatest.h | 0 src/coreclr/{src => }/debug/ee/debugger.cpp | 0 src/coreclr/{src => }/debug/ee/debugger.h | 0 src/coreclr/{src => }/debug/ee/debugger.inl | 0 src/coreclr/{src => }/debug/ee/debuggermodule.cpp | 0 src/coreclr/{src => }/debug/ee/frameinfo.cpp | 0 src/coreclr/{src => }/debug/ee/frameinfo.h | 0 src/coreclr/{src => }/debug/ee/funceval.cpp | 0 src/coreclr/{src => }/debug/ee/functioninfo.cpp | 0 src/coreclr/{src => }/debug/ee/i386/dbghelpers.S | 0 src/coreclr/{src => }/debug/ee/i386/dbghelpers.asm | 0 .../debug/ee/i386/debuggerregdisplayhelper.cpp | 0 .../debug/daccess => debug/ee}/i386/primitives.cpp | 0 src/coreclr/{src => }/debug/ee/i386/x86walker.cpp | 0 src/coreclr/{src => }/debug/ee/rcthread.cpp | 0 src/coreclr/{src => }/debug/ee/shared.cpp | 0 src/coreclr/{src => }/debug/ee/stdafx.h | 0 src/coreclr/{src => }/debug/ee/walker.h | 0 src/coreclr/{src => }/debug/ee/wks/CMakeLists.txt | 0 .../{src => }/debug/ildbsymlib/CMakeLists.txt | 0 .../{src => }/debug/ildbsymlib/classfactory.h | 0 .../{src => }/debug/ildbsymlib/ildbsymbols.cpp | 0 src/coreclr/{src => }/debug/ildbsymlib/pch.h | 0 src/coreclr/{src => }/debug/ildbsymlib/pdbdata.h | 0 .../{src => }/debug/ildbsymlib/symbinder.cpp | 0 src/coreclr/{src => }/debug/ildbsymlib/symbinder.h | 0 src/coreclr/{src => }/debug/ildbsymlib/symread.cpp | 0 src/coreclr/{src => }/debug/ildbsymlib/symread.h | 0 .../{src => }/debug/ildbsymlib/symwrite.cpp | 0 src/coreclr/{src => }/debug/ildbsymlib/symwrite.h | 0 src/coreclr/{src => }/debug/ildbsymlib/umisc.h | 0 src/coreclr/{src => }/debug/inc/amd64/primitives.h | 0 src/coreclr/{src => }/debug/inc/arm/primitives.h | 0 src/coreclr/{src => }/debug/inc/arm64/primitives.h | 0 src/coreclr/{src => }/debug/inc/arm_primitives.h | 0 src/coreclr/{src => }/debug/inc/common.h | 0 .../debug/inc/coreclrremotedebugginginterfaces.h | 0 src/coreclr/{src => }/debug/inc/dacdbiinterface.h | 0 src/coreclr/{src => }/debug/inc/dacdbistructures.h | 0 .../{src => }/debug/inc/dacdbistructures.inl | 0 src/coreclr/{src => }/debug/inc/dbgappdomain.h | 0 src/coreclr/{src => }/debug/inc/dbgipcevents.h | 0 src/coreclr/{src => }/debug/inc/dbgipceventtypes.h | 0 src/coreclr/{src => }/debug/inc/dbgtargetcontext.h | 0 .../{src => }/debug/inc/dbgtransportsession.h | 0 src/coreclr/{src => }/debug/inc/dbgutil.h | 0 src/coreclr/{src => }/debug/inc/ddmarshalutil.h | 0 src/coreclr/{src => }/debug/inc/diagnosticsipc.h | 0 src/coreclr/{src => }/debug/inc/dump/dumpcommon.h | 0 src/coreclr/{src => }/debug/inc/eventredirection.h | 0 src/coreclr/{src => }/debug/inc/i386/primitives.h | 0 .../{src => }/debug/inc/processdescriptor.h | 0 .../{src => }/debug/inc/readonlydatatargetfacade.h | 0 .../debug/inc/readonlydatatargetfacade.inl | 0 src/coreclr/{src => }/debug/inc/runtimeinfo.h | 0 src/coreclr/{src => }/debug/inc/stringcopyholder.h | 0 src/coreclr/{src => }/debug/inc/twowaypipe.h | 0 .../{src => }/debug/runtimeinfo/CMakeLists.txt | 0 .../{src => }/debug/runtimeinfo/runtimeinfo.cpp | 0 .../{src => }/debug/shared/amd64/primitives.cpp | 0 .../{src => }/debug/shared/arm/primitives.cpp | 0 .../{src => }/debug/shared/arm64/primitives.cpp | 0 .../{src => }/debug/shared/dbgtransportsession.cpp | 0 .../{src => }/debug/shared/i386/primitives.cpp | 0 .../{src => }/debug/shared/stringcopyholder.cpp | 0 src/coreclr/{src => }/debug/shared/utils.cpp | 0 src/coreclr/{src => }/debug/shim/CMakeLists.txt | 0 src/coreclr/{src => }/debug/shim/debugshim.cpp | 0 src/coreclr/{src => }/debug/shim/debugshim.h | 0 src/coreclr/{src => }/dlls/CMakeLists.txt | 0 src/coreclr/{src => }/dlls/clretwrc/CMakeLists.txt | 0 src/coreclr/{src => }/dlls/clretwrc/clretwrc.rc | 0 src/coreclr/{src => }/dlls/dbgshim/CMakeLists.txt | 2 +- src/coreclr/{src => }/dlls/dbgshim/dbgshim.cpp | 0 src/coreclr/{src => }/dlls/dbgshim/dbgshim.h | 0 src/coreclr/{src => }/dlls/dbgshim/dbgshim.ntdef | 0 src/coreclr/{src => }/dlls/dbgshim/dbgshim.rc | 0 .../{src => }/dlls/dbgshim/dbgshim_unixexports.src | 0 src/coreclr/{src => }/dlls/mscordac/CMakeLists.txt | 0 src/coreclr/{src => }/dlls/mscordac/Native.rc | 0 src/coreclr/{src => }/dlls/mscordac/libredefines.S | 0 src/coreclr/{src => }/dlls/mscordac/mscordac.cpp | 0 src/coreclr/{src => }/dlls/mscordac/mscordac.src | 0 .../dlls/mscordac/mscordac_unixexports.src | 0 src/coreclr/{src => }/dlls/mscordac/palredefines.S | 0 src/coreclr/{src => }/dlls/mscordac/update.pl | 0 src/coreclr/{src => }/dlls/mscordbi/CMakeLists.txt | 0 src/coreclr/{src => }/dlls/mscordbi/Native.rc | 0 src/coreclr/{src => }/dlls/mscordbi/mscordbi.cpp | 0 src/coreclr/{src => }/dlls/mscordbi/mscordbi.src | 0 .../dlls/mscordbi/mscordbi_unixexports.src | 0 src/coreclr/{src => }/dlls/mscordbi/stdafx.h | 0 src/coreclr/{src => }/dlls/mscoree/CMakeLists.txt | 0 src/coreclr/{src => }/dlls/mscoree/Native.rc | 0 .../{src => }/dlls/mscoree/coreclr/CMakeLists.txt | 6 +- .../{src => }/dlls/mscoree/coreclr/README.md | 0 .../dlls/mscoree/coreclr/dump_helper_resource.bin | Bin .../{src => }/dlls/mscoree/delayloadhook.cpp | 0 .../{src => }/dlls/mscoree/gdbjit_unixexports.src | 0 src/coreclr/{src => }/dlls/mscoree/mscoree.cpp | 0 .../{src => }/dlls/mscoree/mscorwks_ntdef.src | 0 .../dlls/mscoree/mscorwks_unixexports.src | 0 src/coreclr/{src => }/dlls/mscoree/stdafx.cpp | 0 src/coreclr/{src => }/dlls/mscoree/stdafx.h | 0 .../{src => }/dlls/mscoree/unixinterface.cpp | 0 src/coreclr/{src => }/dlls/mscorpe/CMakeLists.txt | 0 .../{src => }/dlls/mscorpe/ceefilegenwriter.cpp | 0 .../dlls/mscorpe/ceefilegenwritertokens.cpp | 0 src/coreclr/{src => }/dlls/mscorpe/iceefilegen.cpp | 0 src/coreclr/{src => }/dlls/mscorpe/pewriter.cpp | 0 src/coreclr/{src => }/dlls/mscorpe/pewriter.h | 0 src/coreclr/{src => }/dlls/mscorpe/stdafx.cpp | 0 src/coreclr/{src => }/dlls/mscorpe/stdafx.h | 0 src/coreclr/{src => }/dlls/mscorrc/CMakeLists.txt | 0 src/coreclr/{src => }/dlls/mscorrc/include.rc | 0 .../{src => }/dlls/mscorrc/mscorrc.common.rc | 0 src/coreclr/{src => }/dlls/mscorrc/mscorrc.rc | 0 src/coreclr/{src => }/dlls/mscorrc/resource.h | 0 src/coreclr/{src => }/gc/CMakeLists.txt | 0 src/coreclr/{src => }/gc/env/common.cpp | 0 src/coreclr/{src => }/gc/env/common.h | 0 src/coreclr/{src => }/gc/env/etmdummy.h | 0 src/coreclr/{src => }/gc/env/gcenv.base.h | 0 src/coreclr/{src => }/gc/env/gcenv.ee.h | 0 src/coreclr/{src => }/gc/env/gcenv.h | 0 src/coreclr/{src => }/gc/env/gcenv.interlocked.h | 0 src/coreclr/{src => }/gc/env/gcenv.interlocked.inl | 0 src/coreclr/{src => }/gc/env/gcenv.object.h | 0 src/coreclr/{src => }/gc/env/gcenv.os.h | 0 src/coreclr/{src => }/gc/env/gcenv.structs.h | 0 src/coreclr/{src => }/gc/env/gcenv.sync.h | 0 src/coreclr/{src => }/gc/env/gcenv.unix.inl | 0 src/coreclr/{src => }/gc/env/gcenv.windows.inl | 0 src/coreclr/{src => }/gc/env/volatile.h | 0 src/coreclr/{src => }/gc/gc.cpp | 0 src/coreclr/{src => }/gc/gc.h | 0 src/coreclr/{src => }/gc/gccommon.cpp | 0 src/coreclr/{src => }/gc/gcconfig.cpp | 0 src/coreclr/{src => }/gc/gcconfig.h | 0 src/coreclr/{src => }/gc/gcdesc.h | 0 src/coreclr/{src => }/gc/gcee.cpp | 0 src/coreclr/{src => }/gc/gceesvr.cpp | 0 src/coreclr/{src => }/gc/gceewks.cpp | 0 src/coreclr/{src => }/gc/gcenv.ee.standalone.inl | 0 src/coreclr/{src => }/gc/gcenv.inl | 0 src/coreclr/{src => }/gc/gcevent_serializers.h | 0 src/coreclr/{src => }/gc/gcevents.h | 0 src/coreclr/{src => }/gc/gceventstatus.cpp | 0 src/coreclr/{src => }/gc/gceventstatus.h | 0 src/coreclr/{src => }/gc/gchandletable.cpp | 0 src/coreclr/{src => }/gc/gchandletableimpl.h | 0 src/coreclr/{src => }/gc/gcimpl.h | 0 src/coreclr/{src => }/gc/gcinterface.dac.h | 0 src/coreclr/{src => }/gc/gcinterface.dacvars.def | 0 src/coreclr/{src => }/gc/gcinterface.ee.h | 0 src/coreclr/{src => }/gc/gcinterface.h | 0 src/coreclr/{src => }/gc/gcload.cpp | 0 src/coreclr/{src => }/gc/gcpriv.h | 0 src/coreclr/{src => }/gc/gcrecord.h | 0 src/coreclr/{src => }/gc/gcscan.cpp | 0 src/coreclr/{src => }/gc/gcscan.h | 0 src/coreclr/{src => }/gc/gcsvr.cpp | 0 src/coreclr/{src => }/gc/gcwks.cpp | 0 src/coreclr/{src => }/gc/handletable.cpp | 0 src/coreclr/{src => }/gc/handletable.h | 0 src/coreclr/{src => }/gc/handletable.inl | 0 src/coreclr/{src => }/gc/handletablecache.cpp | 0 src/coreclr/{src => }/gc/handletablecore.cpp | 0 src/coreclr/{src => }/gc/handletablepriv.h | 0 src/coreclr/{src => }/gc/handletablescan.cpp | 0 src/coreclr/{src => }/gc/objecthandle.cpp | 0 src/coreclr/{src => }/gc/objecthandle.h | 0 src/coreclr/{src => }/gc/sample/CMakeLists.txt | 0 src/coreclr/{src => }/gc/sample/GCSample.cpp | 0 src/coreclr/{src => }/gc/sample/GCSample.vcxproj | 0 .../{src => }/gc/sample/GCSample.vcxproj.filters | 0 src/coreclr/{src => }/gc/sample/gcenv.ee.cpp | 0 src/coreclr/{src => }/gc/sample/gcenv.h | 0 src/coreclr/{src => }/gc/softwarewritewatch.cpp | 0 src/coreclr/{src => }/gc/softwarewritewatch.h | 0 src/coreclr/{src => }/gc/unix/CMakeLists.txt | 0 src/coreclr/{src => }/gc/unix/cgroup.cpp | 0 src/coreclr/{src => }/gc/unix/cgroup.h | 0 src/coreclr/{src => }/gc/unix/config.gc.h.in | 0 src/coreclr/{src => }/gc/unix/configure.cmake | 0 src/coreclr/{src => }/gc/unix/events.cpp | 0 src/coreclr/{src => }/gc/unix/gcenv.unix.cpp | 0 src/coreclr/{src => }/gc/unix/globals.h | 0 src/coreclr/{src => }/gc/vxsort/alignment.h | 0 src/coreclr/{src => }/gc/vxsort/defs.h | 0 src/coreclr/{src => }/gc/vxsort/do_vxsort.h | 0 src/coreclr/{src => }/gc/vxsort/do_vxsort_avx2.cpp | 0 .../{src => }/gc/vxsort/do_vxsort_avx512.cpp | 0 src/coreclr/{src => }/gc/vxsort/isa_detection.cpp | 0 .../{src => }/gc/vxsort/machine_traits.avx2.cpp | 0 .../{src => }/gc/vxsort/machine_traits.avx2.h | 0 .../{src => }/gc/vxsort/machine_traits.avx512.h | 0 src/coreclr/{src => }/gc/vxsort/machine_traits.h | 0 src/coreclr/{src => }/gc/vxsort/packer.h | 0 .../gc/vxsort/smallsort/avx2_load_mask_tables.cpp | 0 .../bitonic_sort.AVX2.int32_t.generated.cpp | 0 .../bitonic_sort.AVX2.int32_t.generated.h | 0 .../bitonic_sort.AVX2.int64_t.generated.cpp | 0 .../bitonic_sort.AVX2.int64_t.generated.h | 0 .../bitonic_sort.AVX512.int32_t.generated.cpp | 0 .../bitonic_sort.AVX512.int32_t.generated.h | 0 .../bitonic_sort.AVX512.int64_t.generated.cpp | 0 .../bitonic_sort.AVX512.int64_t.generated.h | 0 .../{src => }/gc/vxsort/smallsort/bitonic_sort.h | 0 .../{src => }/gc/vxsort/smallsort/codegen/avx2.py | 0 .../gc/vxsort/smallsort/codegen/avx512.py | 0 .../gc/vxsort/smallsort/codegen/bitonic_gen.py | 0 .../gc/vxsort/smallsort/codegen/bitonic_isa.py | 0 .../{src => }/gc/vxsort/smallsort/codegen/utils.py | 0 src/coreclr/{src => }/gc/vxsort/vxsort.h | 0 .../{src => }/gc/vxsort/vxsort_targets_disable.h | 0 .../gc/vxsort/vxsort_targets_enable_avx2.h | 0 .../gc/vxsort/vxsort_targets_enable_avx512.h | 0 src/coreclr/{src => }/gc/windows/gcenv.windows.cpp | 0 src/coreclr/{src => }/gcdump/gcdump.cpp | 0 src/coreclr/{src => }/gcdump/gcdumpnonx86.cpp | 0 src/coreclr/{src => }/gcdump/i386/gcdumpx86.cpp | 0 src/coreclr/{src => }/gcinfo/CMakeLists.txt | 0 src/coreclr/{src => }/gcinfo/arraylist.cpp | 0 src/coreclr/{src => }/gcinfo/gcinfodumper.cpp | 0 src/coreclr/{src => }/gcinfo/gcinfoencoder.cpp | 0 src/coreclr/{src => }/gcinfo/simplerhash.cpp | 0 src/coreclr/{src => }/hosts/CMakeLists.txt | 0 src/coreclr/{src => }/hosts/applydefines.pl | 0 src/coreclr/{src => }/hosts/corerun/CMakeLists.txt | 0 src/coreclr/{src => }/hosts/corerun/corerun.cpp | 0 src/coreclr/{src => }/hosts/corerun/logger.cpp | 0 src/coreclr/{src => }/hosts/corerun/logger.h | 0 src/coreclr/{src => }/hosts/corerun/native.rc | 0 src/coreclr/{src => }/hosts/corerun/test.txt | 0 .../{src => }/hosts/coreshim/CMakeLists.txt | 0 .../{src => }/hosts/coreshim/ComActivation.cpp | 0 src/coreclr/{src => }/hosts/coreshim/CoreShim.cpp | 0 src/coreclr/{src => }/hosts/coreshim/CoreShim.h | 0 src/coreclr/{src => }/hosts/coreshim/Exports.def | 0 src/coreclr/{src => }/hosts/inc/coreclrhost.h | 0 .../{src => }/hosts/unixcorerun/CMakeLists.txt | 0 .../{src => }/hosts/unixcorerun/config.h.in | 0 .../{src => }/hosts/unixcorerun/configure.cmake | 0 .../{src => }/hosts/unixcorerun/corerun.cpp | 0 src/coreclr/{src => }/ilasm/CMakeLists.txt | 0 src/coreclr/{src => }/ilasm/Native.rc | 0 src/coreclr/{src => }/ilasm/asmenum.h | 0 src/coreclr/{src => }/ilasm/asmman.cpp | 0 src/coreclr/{src => }/ilasm/asmman.hpp | 0 src/coreclr/{src => }/ilasm/asmparse.h | 0 src/coreclr/{src => }/ilasm/asmparse.y | 0 src/coreclr/{src => }/ilasm/asmtemplates.h | 0 src/coreclr/{src => }/ilasm/assem.cpp | 0 src/coreclr/{src => }/ilasm/assembler.cpp | 0 src/coreclr/{src => }/ilasm/assembler.h | 0 src/coreclr/{src => }/ilasm/binstr.h | 0 src/coreclr/{src => }/ilasm/class.hpp | 0 src/coreclr/{src => }/ilasm/extractGrammar.pl | 0 src/coreclr/{src => }/ilasm/grammar_after.cpp | 0 src/coreclr/{src => }/ilasm/grammar_before.cpp | 0 src/coreclr/{src => }/ilasm/ilasmpch.h | 0 src/coreclr/{src => }/ilasm/main.cpp | 0 src/coreclr/{src => }/ilasm/method.cpp | 0 src/coreclr/{src => }/ilasm/method.hpp | 0 src/coreclr/{src => }/ilasm/nvpair.h | 0 src/coreclr/{src => }/ilasm/portable_pdb.cpp | 0 src/coreclr/{src => }/ilasm/portable_pdb.h | 0 src/coreclr/{src => }/ilasm/prebuilt/asmparse.cpp | 0 .../{src => }/ilasm/prebuilt/asmparse.grammar | 0 src/coreclr/{src => }/ilasm/typar.hpp | 0 src/coreclr/{src => }/ilasm/writer.cpp | 0 src/coreclr/{src => }/ilasm/writer_enc.cpp | 0 src/coreclr/{src => }/ildasm/CMakeLists.txt | 0 src/coreclr/{src => }/ildasm/ceeload.cpp | 0 src/coreclr/{src => }/ildasm/ceeload.h | 0 src/coreclr/{src => }/ildasm/dasm.cpp | 0 src/coreclr/{src => }/ildasm/dasm.rc | 0 src/coreclr/{src => }/ildasm/dasm_formattype.cpp | 0 src/coreclr/{src => }/ildasm/dasm_mi.cpp | 0 src/coreclr/{src => }/ildasm/dasm_sz.cpp | 0 src/coreclr/{src => }/ildasm/dasm_sz.h | 0 src/coreclr/{src => }/ildasm/dasmenum.hpp | 0 src/coreclr/{src => }/ildasm/dis.cpp | 0 src/coreclr/{src => }/ildasm/dis.h | 0 src/coreclr/{src => }/ildasm/dman.cpp | 0 src/coreclr/{src => }/ildasm/dres.cpp | 0 src/coreclr/{src => }/ildasm/dynamicarray.h | 0 src/coreclr/{src => }/ildasm/exe/CMakeLists.txt | 0 src/coreclr/{src => }/ildasm/ildasmpch.cpp | 0 src/coreclr/{src => }/ildasm/ildasmpch.h | 0 src/coreclr/{src => }/ildasm/resource.h | 0 src/coreclr/{src => }/ildasm/util.hpp | 0 src/coreclr/{src => }/ildasm/windasm.cpp | 0 src/coreclr/{src => }/inc/CMakeLists.txt | 0 src/coreclr/{src => }/inc/CrstTypeTool.cs | 0 src/coreclr/{src => }/inc/CrstTypes.def | 0 src/coreclr/{src => }/inc/MSCOREE.IDL | 0 src/coreclr/{src => }/inc/OpCodeGen.pl | 0 src/coreclr/{src => }/inc/allocacheck.h | 0 src/coreclr/{src => }/inc/arrayholder.h | 0 src/coreclr/{src => }/inc/arraylist.h | 0 src/coreclr/{src => }/inc/bbsweep.h | 0 src/coreclr/{src => }/inc/bitmask.h | 0 src/coreclr/{src => }/inc/bitmask.inl | 0 src/coreclr/{src => }/inc/bitposition.h | 0 src/coreclr/{src => }/inc/bitvector.h | 0 src/coreclr/{src => }/inc/blobfetcher.h | 0 src/coreclr/{src => }/inc/bundle.h | 0 src/coreclr/{src => }/inc/cahlpr.h | 0 src/coreclr/{src => }/inc/caparser.h | 0 src/coreclr/{src => }/inc/ceefilegenwriter.h | 0 src/coreclr/{src => }/inc/ceegen.h | 0 src/coreclr/{src => }/inc/ceegentokenmapper.h | 0 src/coreclr/{src => }/inc/ceesectionstring.h | 0 src/coreclr/{src => }/inc/cfi.h | 0 src/coreclr/{src => }/inc/check.h | 0 src/coreclr/{src => }/inc/check.inl | 0 src/coreclr/{src => }/inc/clr/fs.h | 0 src/coreclr/{src => }/inc/clr/fs/path.h | 0 src/coreclr/{src => }/inc/clr/stack.h | 0 src/coreclr/{src => }/inc/clr/str.h | 0 src/coreclr/{src => }/inc/clr/win32.h | 0 src/coreclr/{src => }/inc/clr_std/algorithm | 0 src/coreclr/{src => }/inc/clr_std/string | 0 src/coreclr/{src => }/inc/clr_std/type_traits | 0 src/coreclr/{src => }/inc/clr_std/utility | 0 src/coreclr/{src => }/inc/clr_std/vector | 0 src/coreclr/{src => }/inc/clrconfig.h | 0 src/coreclr/{src => }/inc/clrconfigvalues.h | 0 src/coreclr/{src => }/inc/clrdata.idl | 0 src/coreclr/{src => }/inc/clrhost.h | 0 src/coreclr/{src => }/inc/clrinternal.idl | 0 src/coreclr/{src => }/inc/clrnt.h | 0 src/coreclr/{src => }/inc/clrprivbinderutil.h | 0 src/coreclr/{src => }/inc/clrprivbinding.idl | 0 src/coreclr/{src => }/inc/clrtypes.h | 0 src/coreclr/{src => }/inc/clrversion.h | 0 src/coreclr/{src => }/inc/complex.h | 0 src/coreclr/{src => }/inc/configuration.h | 0 src/coreclr/{src => }/inc/contract.h | 0 src/coreclr/{src => }/inc/contract.inl | 0 src/coreclr/{src => }/inc/contxt.h | 0 src/coreclr/{src => }/inc/cor.h | 0 src/coreclr/{src => }/inc/corbbtprof.h | 0 src/coreclr/{src => }/inc/corcompile.h | 0 src/coreclr/{src => }/inc/cordbpriv.h | 0 src/coreclr/{src => }/inc/cordebug.idl | 0 src/coreclr/{src => }/inc/cordebuginfo.h | 0 src/coreclr/{src => }/inc/coredistools.h | 0 src/coreclr/{src => }/inc/coregen.h | 0 src/coreclr/{src => }/inc/corerror.xml | 0 src/coreclr/{src => }/inc/corexcep.h | 0 src/coreclr/{src => }/inc/corhdr.h | 0 src/coreclr/{src => }/inc/corhlpr.cpp | 0 src/coreclr/{src => }/inc/corhlpr.h | 0 src/coreclr/{src => }/inc/corhlprpriv.cpp | 0 src/coreclr/{src => }/inc/corhlprpriv.h | 0 src/coreclr/{src => }/inc/corhost.h | 0 src/coreclr/{src => }/inc/corimage.h | 0 src/coreclr/{src => }/inc/corinfo.h | 0 src/coreclr/{src => }/inc/corinfoinstructionset.h | 0 src/coreclr/{src => }/inc/corjit.h | 0 src/coreclr/{src => }/inc/corjitflags.h | 0 src/coreclr/{src => }/inc/corjithost.h | 0 src/coreclr/{src => }/inc/corpriv.h | 0 src/coreclr/{src => }/inc/corprof.idl | 0 src/coreclr/{src => }/inc/corpub.idl | 0 src/coreclr/{src => }/inc/corsym.idl | 0 src/coreclr/{src => }/inc/cortypeinfo.h | 0 src/coreclr/{src => }/inc/crosscomp.h | 0 src/coreclr/{src => }/inc/crsttypes.h | 0 src/coreclr/{src => }/inc/crtwrap.h | 0 src/coreclr/{src => }/inc/cvconst.h | 0 src/coreclr/{src => }/inc/cvinfo.h | 0 src/coreclr/{src => }/inc/cycletimer.h | 0 src/coreclr/{src => }/inc/daccess.h | 0 src/coreclr/{src => }/inc/dacprivate.h | 0 src/coreclr/{src => }/inc/dacvars.h | 0 src/coreclr/{src => }/inc/dbgenginemetrics.h | 0 src/coreclr/{src => }/inc/dbgmeta.h | 0 src/coreclr/{src => }/inc/dbgportable.h | 0 src/coreclr/{src => }/inc/debugmacros.h | 0 src/coreclr/{src => }/inc/debugmacrosext.h | 0 src/coreclr/{src => }/inc/debugreturn.h | 0 src/coreclr/{src => }/inc/defaultallocator.h | 0 src/coreclr/{src => }/inc/dlwrap.h | 0 src/coreclr/{src => }/inc/ecmakey.h | 0 src/coreclr/{src => }/inc/eetwain.h | 0 src/coreclr/{src => }/inc/eexcp.h | 0 src/coreclr/{src => }/inc/entrypoints.h | 0 src/coreclr/{src => }/inc/eventtrace.h | 0 src/coreclr/{src => }/inc/eventtracebase.h | 0 src/coreclr/{src => }/inc/ex.h | 0 src/coreclr/{src => }/inc/factory.h | 0 src/coreclr/{src => }/inc/factory.inl | 0 src/coreclr/{src => }/inc/fixuppointer.h | 0 src/coreclr/{src => }/inc/formattype.cpp | 0 src/coreclr/{src => }/inc/formattype.h | 0 src/coreclr/{src => }/inc/fstream.h | 0 src/coreclr/{src => }/inc/fstring.h | 0 src/coreclr/{src => }/inc/fusion.idl | 0 src/coreclr/{src => }/inc/gcdecoder.cpp | 0 src/coreclr/{src => }/inc/gcdump.h | 0 src/coreclr/{src => }/inc/gcinfo.h | 0 src/coreclr/{src => }/inc/gcinfoarraylist.h | 0 src/coreclr/{src => }/inc/gcinfodecoder.h | 0 src/coreclr/{src => }/inc/gcinfodumper.h | 0 src/coreclr/{src => }/inc/gcinfoencoder.h | 0 src/coreclr/{src => }/inc/gcinfotypes.h | 0 src/coreclr/{src => }/inc/gcrefmap.h | 0 src/coreclr/{src => }/inc/genheaders.cs | 0 src/coreclr/{src => }/inc/genrops.pl | 0 .../{src => }/inc/getproductversionnumber.h | 0 src/coreclr/{src => }/inc/guidfromname.h | 0 src/coreclr/{src => }/inc/holder.h | 0 src/coreclr/{src => }/inc/holderinst.h | 0 src/coreclr/{src => }/inc/iallocator.h | 0 src/coreclr/{src => }/inc/iceefilegen.h | 0 src/coreclr/{src => }/inc/il_kywd.h | 0 src/coreclr/{src => }/inc/ildbsymlib.h | 0 src/coreclr/{src => }/inc/ilformatter.h | 0 src/coreclr/{src => }/inc/internalunknownimpl.h | 0 src/coreclr/{src => }/inc/intrinsic.h | 0 src/coreclr/{src => }/inc/iterator.h | 0 src/coreclr/{src => }/inc/jiteeversionguid.h | 0 src/coreclr/{src => }/inc/jithelpers.h | 0 src/coreclr/{src => }/inc/livedatatarget.h | 0 src/coreclr/{src => }/inc/llvm/Dwarf.def | 0 src/coreclr/{src => }/inc/llvm/Dwarf.h | 0 src/coreclr/{src => }/inc/llvm/ELF.h | 0 src/coreclr/{src => }/inc/loaderheap.h | 0 src/coreclr/{src => }/inc/log.h | 0 src/coreclr/{src => }/inc/loglf.h | 0 src/coreclr/{src => }/inc/longfilepathwrappers.h | 0 src/coreclr/{src => }/inc/md5.h | 0 src/coreclr/{src => }/inc/mdcommon.h | 0 src/coreclr/{src => }/inc/mdfileformat.h | 0 src/coreclr/{src => }/inc/memorypool.h | 0 src/coreclr/{src => }/inc/memoryrange.h | 0 src/coreclr/{src => }/inc/metadata.h | 0 src/coreclr/{src => }/inc/metadataexports.h | 0 src/coreclr/{src => }/inc/metadatatracker.h | 0 src/coreclr/{src => }/inc/metahost.idl | 0 src/coreclr/{src => }/inc/metamodelpub.h | 0 src/coreclr/{src => }/inc/mpl/type_list | 0 src/coreclr/{src => }/inc/mscorsvc.idl | 0 src/coreclr/{src => }/inc/msodw.h | 0 src/coreclr/{src => }/inc/msodwwrap.h | 0 src/coreclr/{src => }/inc/nativevaraccessors.h | 0 src/coreclr/{src => }/inc/new.hpp | 0 src/coreclr/{src => }/inc/ngen.h | 0 src/coreclr/{src => }/inc/nibblemapmacros.h | 0 src/coreclr/{src => }/inc/nibblestream.h | 0 src/coreclr/{src => }/inc/nsutilpriv.h | 0 src/coreclr/{src => }/inc/obj/i386/dummy | 0 src/coreclr/{src => }/inc/opcode.def | 0 src/coreclr/{src => }/inc/openum.h | 0 src/coreclr/{src => }/inc/opinfo.h | 0 src/coreclr/{src => }/inc/optdefault.h | 0 src/coreclr/{src => }/inc/optsmallperfcritical.h | 0 src/coreclr/{src => }/inc/ostype.h | 0 src/coreclr/{src => }/inc/outstring.h | 0 src/coreclr/{src => }/inc/palclr.h | 0 src/coreclr/{src => }/inc/palclr_win.h | 0 src/coreclr/{src => }/inc/patchpointinfo.h | 0 src/coreclr/{src => }/inc/pedecoder.h | 0 src/coreclr/{src => }/inc/pedecoder.inl | 0 src/coreclr/{src => }/inc/peinformation.h | 0 src/coreclr/{src => }/inc/pesectionman.h | 0 src/coreclr/{src => }/inc/pinvokeoverride.h | 0 src/coreclr/{src => }/inc/posterror.h | 0 src/coreclr/{src => }/inc/predeftlsslot.h | 0 src/coreclr/{src => }/inc/prettyprintsig.h | 0 src/coreclr/{src => }/inc/profilepriv.h | 0 src/coreclr/{src => }/inc/profilepriv.inl | 0 src/coreclr/{src => }/inc/random.h | 0 src/coreclr/{src => }/inc/readme.md | 0 src/coreclr/{src => }/inc/readytorun.h | 0 src/coreclr/{src => }/inc/readytorunhelpers.h | 0 .../{src => }/inc/readytoruninstructionset.h | 0 src/coreclr/{src => }/inc/regdisp.h | 0 src/coreclr/{src => }/inc/regex_base.h | 0 src/coreclr/{src => }/inc/regex_util.h | 0 src/coreclr/{src => }/inc/registrywrapper.h | 0 src/coreclr/{src => }/inc/releaseholder.h | 0 src/coreclr/{src => }/inc/safemath.h | 0 src/coreclr/{src => }/inc/safewrap.h | 0 src/coreclr/{src => }/inc/sarray.h | 0 src/coreclr/{src => }/inc/sarray.inl | 0 src/coreclr/{src => }/inc/sbuffer.h | 0 src/coreclr/{src => }/inc/sbuffer.inl | 0 src/coreclr/{src => }/inc/securityutil.h | 0 src/coreclr/{src => }/inc/securitywrapper.h | 0 src/coreclr/{src => }/inc/sha1.h | 0 src/coreclr/{src => }/inc/shash.h | 0 src/coreclr/{src => }/inc/shash.inl | 0 src/coreclr/{src => }/inc/shimload.h | 0 src/coreclr/{src => }/inc/sigbuilder.h | 0 src/coreclr/{src => }/inc/sigparser.h | 0 src/coreclr/{src => }/inc/simplerhash.h | 0 src/coreclr/{src => }/inc/simplerhash.inl | 0 src/coreclr/{src => }/inc/slist.h | 0 src/coreclr/{src => }/inc/sospriv.idl | 0 src/coreclr/{src => }/inc/sstring.h | 0 src/coreclr/{src => }/inc/sstring.inl | 0 src/coreclr/{src => }/inc/stack.h | 0 src/coreclr/{src => }/inc/stackframe.h | 0 src/coreclr/{src => }/inc/stacktrace.h | 0 src/coreclr/{src => }/inc/static_assert.h | 0 src/coreclr/{src => }/inc/staticcontract.h | 0 src/coreclr/{src => }/inc/stdmacros.h | 0 src/coreclr/{src => }/inc/stgpool.h | 0 src/coreclr/{src => }/inc/stgpooli.h | 0 src/coreclr/{src => }/inc/stresslog.h | 0 src/coreclr/{src => }/inc/stringarraylist.h | 0 src/coreclr/{src => }/inc/stringarraylist.inl | 0 src/coreclr/{src => }/inc/strongnameholders.h | 0 src/coreclr/{src => }/inc/strongnameinternal.h | 0 src/coreclr/{src => }/inc/switches.h | 0 src/coreclr/{src => }/inc/thekey.h | 0 src/coreclr/{src => }/inc/tls.h | 0 src/coreclr/{src => }/inc/unreachable.h | 0 src/coreclr/{src => }/inc/utilcode.h | 0 src/coreclr/{src => }/inc/utsem.h | 0 src/coreclr/{src => }/inc/volatile.h | 0 src/coreclr/{src => }/inc/vptr_list.h | 0 src/coreclr/{src => }/inc/win64unwind.h | 0 src/coreclr/{src => }/inc/winwrap.h | 0 src/coreclr/{src => }/inc/xclrdata.idl | 0 src/coreclr/{src => }/inc/xcordebug.idl | 0 .../{src => }/inc/yieldprocessornormalized.h | 0 src/coreclr/{src => }/inc/zapper.h | 0 src/coreclr/{src => }/interop/CMakeLists.txt | 0 src/coreclr/{src => }/interop/comwrappers.cpp | 0 src/coreclr/{src => }/interop/comwrappers.hpp | 0 src/coreclr/{src => }/interop/inc/interoplib.h | 0 src/coreclr/{src => }/interop/inc/interoplibabi.h | 0 .../{src => }/interop/inc/interoplibimports.h | 0 src/coreclr/{src => }/interop/interoplib.cpp | 0 src/coreclr/{src => }/interop/platform.h | 0 .../{src => }/interop/referencetrackertypes.hpp | 0 .../{src => }/interop/trackerobjectmanager.cpp | 0 .../{src/ToolBox/superpmi => jit}/.clang-format | 0 src/coreclr/{src => }/jit/CMakeLists.txt | 0 src/coreclr/{src => }/jit/ClrJit.PAL.exports | 0 src/coreclr/{src => }/jit/ClrJit.exports | 0 src/coreclr/{src => }/jit/ICorJitInfo_API_names.h | 0 .../{src => }/jit/ICorJitInfo_API_wrapper.hpp | 0 src/coreclr/{src => }/jit/Native.rc | 0 src/coreclr/{src => }/jit/_typeinfo.h | 0 src/coreclr/{src => }/jit/alloc.cpp | 0 src/coreclr/{src => }/jit/alloc.h | 0 src/coreclr/{src => }/jit/arraystack.h | 0 src/coreclr/{src => }/jit/assertionprop.cpp | 0 src/coreclr/{src => }/jit/bitset.cpp | 0 src/coreclr/{src => }/jit/bitset.h | 0 src/coreclr/{src => }/jit/bitsetasshortlong.h | 0 src/coreclr/{src => }/jit/bitsetasuint64.h | 0 src/coreclr/{src => }/jit/bitsetasuint64inclass.h | 0 src/coreclr/{src => }/jit/bitsetops.h | 0 src/coreclr/{src => }/jit/bitvec.h | 0 src/coreclr/{src => }/jit/block.cpp | 0 src/coreclr/{src => }/jit/block.h | 0 src/coreclr/{src => }/jit/blockset.h | 0 src/coreclr/{src => }/jit/codegen.h | 0 src/coreclr/{src => }/jit/codegenarm.cpp | 0 src/coreclr/{src => }/jit/codegenarm64.cpp | 0 src/coreclr/{src => }/jit/codegenarmarch.cpp | 0 src/coreclr/{src => }/jit/codegencommon.cpp | 0 src/coreclr/{src => }/jit/codegeninterface.h | 0 src/coreclr/{src => }/jit/codegenlinear.cpp | 0 src/coreclr/{src => }/jit/codegenxarch.cpp | 0 src/coreclr/{src => }/jit/compiler.cpp | 0 src/coreclr/{src => }/jit/compiler.h | 0 src/coreclr/{src => }/jit/compiler.hpp | 0 src/coreclr/{src => }/jit/compilerbitsettraits.h | 0 src/coreclr/{src => }/jit/compilerbitsettraits.hpp | 0 src/coreclr/{src => }/jit/compmemkind.h | 0 src/coreclr/{src => }/jit/compphases.h | 0 src/coreclr/{src => }/jit/conventions.txt | 0 src/coreclr/{src => }/jit/copyprop.cpp | 0 src/coreclr/{src => }/jit/cpp.hint | 0 src/coreclr/{src => }/jit/crossgen/CMakeLists.txt | 0 src/coreclr/{src => }/jit/dataflow.h | 0 src/coreclr/{src => }/jit/decomposelongs.cpp | 0 src/coreclr/{src => }/jit/decomposelongs.h | 0 src/coreclr/{src => }/jit/disasm.cpp | 0 src/coreclr/{src => }/jit/disasm.h | 0 src/coreclr/{src => }/jit/dllmain.cpp | 0 src/coreclr/{src => }/jit/earlyprop.cpp | 0 src/coreclr/{src => }/jit/ee_il_dll.cpp | 0 src/coreclr/{src => }/jit/ee_il_dll.hpp | 0 src/coreclr/{src => }/jit/eeinterface.cpp | 0 src/coreclr/{src => }/jit/emit.cpp | 0 src/coreclr/{src => }/jit/emit.h | 0 src/coreclr/{src => }/jit/emitarm.cpp | 0 src/coreclr/{src => }/jit/emitarm.h | 0 src/coreclr/{src => }/jit/emitarm64.cpp | 0 src/coreclr/{src => }/jit/emitarm64.h | 0 src/coreclr/{src => }/jit/emitdef.h | 0 src/coreclr/{src => }/jit/emitfmts.h | 0 src/coreclr/{src => }/jit/emitfmtsarm.h | 0 src/coreclr/{src => }/jit/emitfmtsarm64.h | 0 src/coreclr/{src => }/jit/emitfmtsxarch.h | 0 src/coreclr/{src => }/jit/emitinl.h | 0 src/coreclr/{src => }/jit/emitjmps.h | 0 src/coreclr/{src => }/jit/emitpub.h | 0 src/coreclr/{src => }/jit/emitxarch.cpp | 0 src/coreclr/{src => }/jit/emitxarch.h | 0 src/coreclr/{src => }/jit/error.cpp | 0 src/coreclr/{src => }/jit/error.h | 0 src/coreclr/{src => }/jit/flowgraph.cpp | 0 src/coreclr/{src => }/jit/gcdecode.cpp | 0 src/coreclr/{src => }/jit/gcencode.cpp | 0 src/coreclr/{src => }/jit/gcinfo.cpp | 0 src/coreclr/{src => }/jit/gentree.cpp | 0 src/coreclr/{src => }/jit/gentree.h | 0 src/coreclr/{src => }/jit/gschecks.cpp | 0 src/coreclr/{src => }/jit/gtlist.h | 0 src/coreclr/{src => }/jit/gtstructs.h | 0 src/coreclr/{src => }/jit/hashbv.cpp | 0 src/coreclr/{src => }/jit/hashbv.h | 0 src/coreclr/{src => }/jit/host.h | 0 src/coreclr/{src => }/jit/hostallocator.cpp | 0 src/coreclr/{src => }/jit/hostallocator.h | 0 src/coreclr/{src => }/jit/hwintrinsic.cpp | 0 src/coreclr/{src => }/jit/hwintrinsic.h | 0 src/coreclr/{src => }/jit/hwintrinsicarm64.cpp | 0 .../{src => }/jit/hwintrinsiccodegenarm64.cpp | 0 .../{src => }/jit/hwintrinsiccodegenxarch.cpp | 0 src/coreclr/{src => }/jit/hwintrinsiclistarm64.h | 0 src/coreclr/{src => }/jit/hwintrinsiclistxarch.h | 0 src/coreclr/{src => }/jit/hwintrinsicxarch.cpp | 0 src/coreclr/{src => }/jit/importer.cpp | 0 .../{src => }/jit/indirectcalltransformer.cpp | 0 src/coreclr/{src => }/jit/inline.cpp | 0 src/coreclr/{src => }/jit/inline.def | 0 src/coreclr/{src => }/jit/inline.h | 0 src/coreclr/{src => }/jit/inlinepolicy.cpp | 0 src/coreclr/{src => }/jit/inlinepolicy.h | 0 src/coreclr/{src => }/jit/instr.cpp | 0 src/coreclr/{src => }/jit/instr.h | 0 src/coreclr/{src => }/jit/instrs.h | 0 src/coreclr/{src => }/jit/instrsarm.h | 0 src/coreclr/{src => }/jit/instrsarm64.h | 0 src/coreclr/{src => }/jit/instrsxarch.h | 0 src/coreclr/{src => }/jit/jit.h | 0 src/coreclr/{src => }/jit/jitconfig.cpp | 0 src/coreclr/{src => }/jit/jitconfig.h | 0 src/coreclr/{src => }/jit/jitconfigvalues.h | 0 src/coreclr/{src => }/jit/jitee.h | 0 src/coreclr/{src => }/jit/jiteh.cpp | 0 src/coreclr/{src => }/jit/jiteh.h | 0 src/coreclr/{src => }/jit/jitexpandarray.h | 0 src/coreclr/{src => }/jit/jitgcinfo.h | 0 src/coreclr/{src => }/jit/jithashtable.cpp | 0 src/coreclr/{src => }/jit/jithashtable.h | 0 src/coreclr/{src => }/jit/jitpch.h | 0 src/coreclr/{src => }/jit/jitstd.h | 0 src/coreclr/{src => }/jit/jitstd/algorithm.h | 0 src/coreclr/{src => }/jit/jitstd/allocator.h | 0 src/coreclr/{src => }/jit/jitstd/functional.h | 0 src/coreclr/{src => }/jit/jitstd/iterator.h | 0 src/coreclr/{src => }/jit/jitstd/list.h | 0 src/coreclr/{src => }/jit/jitstd/new.h | 0 src/coreclr/{src => }/jit/jitstd/utility.h | 0 src/coreclr/{src => }/jit/jitstd/vector.h | 0 src/coreclr/{src => }/jit/jittelemetry.cpp | 0 src/coreclr/{src => }/jit/jittelemetry.h | 0 src/coreclr/{src => }/jit/layout.cpp | 0 src/coreclr/{src => }/jit/layout.h | 0 src/coreclr/{src => }/jit/lclmorph.cpp | 0 src/coreclr/{src => }/jit/lclvars.cpp | 0 src/coreclr/{src => }/jit/lir.cpp | 0 src/coreclr/{src => }/jit/lir.h | 0 src/coreclr/{src => }/jit/liveness.cpp | 0 src/coreclr/{src => }/jit/loopcloning.cpp | 0 src/coreclr/{src => }/jit/loopcloning.h | 0 src/coreclr/{src => }/jit/loopcloningopts.h | 0 src/coreclr/{src => }/jit/lower.cpp | 0 src/coreclr/{src => }/jit/lower.h | 0 src/coreclr/{src => }/jit/lowerarmarch.cpp | 0 src/coreclr/{src => }/jit/lowerxarch.cpp | 0 src/coreclr/{src => }/jit/lsra.cpp | 0 src/coreclr/{src => }/jit/lsra.h | 0 src/coreclr/{src => }/jit/lsra_reftypes.h | 0 src/coreclr/{src => }/jit/lsraarm.cpp | 0 src/coreclr/{src => }/jit/lsraarm64.cpp | 0 src/coreclr/{src => }/jit/lsraarmarch.cpp | 0 src/coreclr/{src => }/jit/lsrabuild.cpp | 0 src/coreclr/{src => }/jit/lsraxarch.cpp | 0 src/coreclr/{src => }/jit/morph.cpp | 0 src/coreclr/{src => }/jit/namedintrinsiclist.h | 0 src/coreclr/{src => }/jit/objectalloc.cpp | 0 src/coreclr/{src => }/jit/objectalloc.h | 0 src/coreclr/{src => }/jit/opcode.h | 0 src/coreclr/{src => }/jit/optcse.cpp | 0 src/coreclr/{src => }/jit/optimizer.cpp | 0 src/coreclr/{src => }/jit/patchpoint.cpp | 0 src/coreclr/{src => }/jit/phase.cpp | 0 src/coreclr/{src => }/jit/phase.h | 0 src/coreclr/{src => }/jit/rangecheck.cpp | 0 src/coreclr/{src => }/jit/rangecheck.h | 0 src/coreclr/{src => }/jit/rationalize.cpp | 0 src/coreclr/{src => }/jit/rationalize.h | 0 src/coreclr/{src => }/jit/regalloc.cpp | 0 src/coreclr/{src => }/jit/regalloc.h | 0 src/coreclr/{src => }/jit/register.h | 0 .../{src => }/jit/register_arg_convention.cpp | 0 .../{src => }/jit/register_arg_convention.h | 0 src/coreclr/{src => }/jit/registerarm.h | 0 src/coreclr/{src => }/jit/registerarm64.h | 0 src/coreclr/{src => }/jit/reglist.h | 0 src/coreclr/{src => }/jit/regset.cpp | 0 src/coreclr/{src => }/jit/regset.h | 0 src/coreclr/{src => }/jit/scopeinfo.cpp | 0 src/coreclr/{src => }/jit/sideeffects.cpp | 0 src/coreclr/{src => }/jit/sideeffects.h | 0 src/coreclr/{src => }/jit/simd.cpp | 0 src/coreclr/{src => }/jit/simd.h | 0 src/coreclr/{src => }/jit/simdashwintrinsic.cpp | 0 src/coreclr/{src => }/jit/simdashwintrinsic.h | 0 .../{src => }/jit/simdashwintrinsiclistarm64.h | 0 .../{src => }/jit/simdashwintrinsiclistxarch.h | 0 src/coreclr/{src => }/jit/simdcodegenxarch.cpp | 0 src/coreclr/{src => }/jit/simdintrinsiclist.h | 0 src/coreclr/{src => }/jit/sm.cpp | 0 src/coreclr/{src => }/jit/sm.h | 0 src/coreclr/{src => }/jit/smallhash.h | 0 src/coreclr/{src => }/jit/smcommon.cpp | 0 src/coreclr/{src => }/jit/smcommon.h | 0 src/coreclr/{src => }/jit/smdata.cpp | 0 src/coreclr/{src => }/jit/smopcode.def | 0 src/coreclr/{src => }/jit/smopcodemap.def | 0 src/coreclr/{src => }/jit/smopenum.h | 0 src/coreclr/{src => }/jit/smweights.cpp | 0 src/coreclr/{src => }/jit/ssabuilder.cpp | 0 src/coreclr/{src => }/jit/ssabuilder.h | 0 src/coreclr/{src => }/jit/ssaconfig.h | 0 src/coreclr/{src => }/jit/ssarenamestate.cpp | 0 src/coreclr/{src => }/jit/ssarenamestate.h | 0 src/coreclr/{src => }/jit/stacklevelsetter.cpp | 0 src/coreclr/{src => }/jit/stacklevelsetter.h | 0 src/coreclr/{src => }/jit/static/CMakeLists.txt | 0 src/coreclr/{src => }/jit/static/clrjit.def | 0 src/coreclr/{src => }/jit/target.h | 0 src/coreclr/{src => }/jit/targetamd64.cpp | 0 src/coreclr/{src => }/jit/targetarm.cpp | 0 src/coreclr/{src => }/jit/targetarm64.cpp | 0 src/coreclr/{src => }/jit/targetx86.cpp | 0 src/coreclr/{src => }/jit/tinyarray.h | 0 src/coreclr/{src => }/jit/titypes.h | 0 src/coreclr/{src => }/jit/treelifeupdater.cpp | 0 src/coreclr/{src => }/jit/treelifeupdater.h | 0 src/coreclr/{src => }/jit/typeinfo.cpp | 0 src/coreclr/{src => }/jit/typelist.h | 0 src/coreclr/{src => }/jit/unwind.cpp | 0 src/coreclr/{src => }/jit/unwind.h | 0 src/coreclr/{src => }/jit/unwindamd64.cpp | 0 src/coreclr/{src => }/jit/unwindarm.cpp | 0 src/coreclr/{src => }/jit/unwindarm64.cpp | 0 src/coreclr/{src => }/jit/unwindx86.cpp | 0 src/coreclr/{src => }/jit/utils.cpp | 0 src/coreclr/{src => }/jit/utils.h | 0 src/coreclr/{src => }/jit/valuenum.cpp | 0 src/coreclr/{src => }/jit/valuenum.h | 0 src/coreclr/{src => }/jit/valuenumfuncs.h | 0 src/coreclr/{src => }/jit/valuenumtype.h | 0 src/coreclr/{src => }/jit/varset.h | 0 src/coreclr/{src => }/jit/vartype.h | 0 src/coreclr/{src => }/md/CMakeLists.txt | 0 src/coreclr/{src => }/md/ceefilegen/CMakeLists.txt | 0 .../{src => }/md/ceefilegen/blobfetcher.cpp | 0 src/coreclr/{src => }/md/ceefilegen/cceegen.cpp | 0 .../{src => }/md/ceefilegen/ceegentokenmapper.cpp | 0 .../{src => }/md/ceefilegen/ceesectionstring.cpp | 0 .../{src => }/md/ceefilegen/pesectionman.cpp | 0 src/coreclr/{src => }/md/ceefilegen/stdafx.h | 0 src/coreclr/{src => }/md/compiler/CMakeLists.txt | 0 src/coreclr/{src => }/md/compiler/assemblymd.cpp | 0 .../{src => }/md/compiler/assemblymd_emit.cpp | 0 src/coreclr/{src => }/md/compiler/classfactory.cpp | 0 src/coreclr/{src => }/md/compiler/classfactory.h | 0 src/coreclr/{src => }/md/compiler/custattr.h | 0 .../{src => }/md/compiler/custattr_emit.cpp | 0 .../{src => }/md/compiler/custattr_import.cpp | 0 src/coreclr/{src => }/md/compiler/disp.cpp | 0 src/coreclr/{src => }/md/compiler/disp.h | 0 src/coreclr/{src => }/md/compiler/emit.cpp | 0 .../{src => }/md/compiler/filtermanager.cpp | 0 src/coreclr/{src => }/md/compiler/filtermanager.h | 0 src/coreclr/{src => }/md/compiler/helper.cpp | 0 src/coreclr/{src => }/md/compiler/import.cpp | 0 src/coreclr/{src => }/md/compiler/importhelper.cpp | 0 src/coreclr/{src => }/md/compiler/importhelper.h | 0 src/coreclr/{src => }/md/compiler/mdperf.cpp | 0 src/coreclr/{src => }/md/compiler/mdperf.h | 0 src/coreclr/{src => }/md/compiler/mdutil.cpp | 0 src/coreclr/{src => }/md/compiler/mdutil.h | 0 src/coreclr/{src => }/md/compiler/regmeta.cpp | 0 src/coreclr/{src => }/md/compiler/regmeta.h | 0 .../md/compiler/regmeta_compilersupport.cpp | 0 src/coreclr/{src => }/md/compiler/regmeta_emit.cpp | 0 .../md/compiler/regmeta_imetadatatables.cpp | 0 .../{src => }/md/compiler/regmeta_import.cpp | 0 src/coreclr/{src => }/md/compiler/regmeta_vm.cpp | 0 src/coreclr/{src => }/md/compiler/stdafx.h | 0 .../{src => }/md/compiler/verifylayouts.cpp | 0 src/coreclr/{src => }/md/compressedinteger.h | 0 src/coreclr/{src => }/md/compressedinteger.inl | 0 src/coreclr/{src => }/md/datablob.h | 0 src/coreclr/{src => }/md/datablob.inl | 0 src/coreclr/{src => }/md/databuffer.h | 0 src/coreclr/{src => }/md/databuffer.inl | 0 src/coreclr/{src => }/md/datasource/CMakeLists.txt | 0 src/coreclr/{src => }/md/datasource/api.cpp | 0 .../{src => }/md/datasource/datatargetreader.cpp | 0 .../{src => }/md/datasource/datatargetreader.h | 0 .../md/datasource/remotemdinternalrwsource.cpp | 0 .../md/datasource/remotemdinternalrwsource.h | 0 src/coreclr/{src => }/md/datasource/stdafx.h | 0 .../{src => }/md/datasource/targettypes.cpp | 0 src/coreclr/{src => }/md/datasource/targettypes.h | 0 src/coreclr/{src => }/md/debug_metadata.h | 0 src/coreclr/{src => }/md/enc/CMakeLists.txt | 0 src/coreclr/{src => }/md/enc/liteweightstgdbrw.cpp | 0 src/coreclr/{src => }/md/enc/mdinternalrw.cpp | 0 src/coreclr/{src => }/md/enc/metamodelenc.cpp | 0 src/coreclr/{src => }/md/enc/metamodelrw.cpp | 0 src/coreclr/{src => }/md/enc/pdbheap.cpp | 0 src/coreclr/{src => }/md/enc/peparse.cpp | 0 src/coreclr/{src => }/md/enc/rwutil.cpp | 0 src/coreclr/{src => }/md/enc/stdafx.h | 0 src/coreclr/{src => }/md/enc/stgio.cpp | 0 src/coreclr/{src => }/md/enc/stgtiggerstorage.cpp | 0 src/coreclr/{src => }/md/enc/stgtiggerstream.cpp | 0 src/coreclr/{src => }/md/errors_metadata.h | 0 src/coreclr/{src => }/md/export.h | 0 src/coreclr/{src => }/md/external.h | 0 src/coreclr/{src => }/md/heaps/blobheap.h | 0 src/coreclr/{src => }/md/heaps/export.h | 0 src/coreclr/{src => }/md/heaps/external.h | 0 src/coreclr/{src => }/md/heaps/guidheap.h | 0 src/coreclr/{src => }/md/heaps/stringheap.h | 0 src/coreclr/{src => }/md/hotdata/CMakeLists.txt | 0 src/coreclr/{src => }/md/hotdata/export.h | 0 src/coreclr/{src => }/md/hotdata/external.h | 0 src/coreclr/{src => }/md/hotdata/heapindex.h | 0 src/coreclr/{src => }/md/hotdata/hotdataformat.h | 0 src/coreclr/{src => }/md/hotdata/hotheap.cpp | 0 src/coreclr/{src => }/md/hotdata/hotheap.h | 0 .../md/hotdata/hotheapsdirectoryiterator.cpp | 0 .../md/hotdata/hotheapsdirectoryiterator.h | 0 src/coreclr/{src => }/md/hotdata/hotheapwriter.cpp | 0 src/coreclr/{src => }/md/hotdata/hotheapwriter.h | 0 src/coreclr/{src => }/md/hotdata/hotmetadata.cpp | 0 src/coreclr/{src => }/md/hotdata/hotmetadata.h | 0 src/coreclr/{src => }/md/hotdata/hottable.cpp | 0 src/coreclr/{src => }/md/hotdata/hottable.h | 0 src/coreclr/{src => }/md/inc/VerifyLayouts.inc | 0 .../{src => }/md/inc/assemblymdinternaldisp.h | 0 src/coreclr/{src => }/md/inc/cahlprinternal.h | 0 src/coreclr/{src => }/md/inc/liteweightstgdb.h | 0 src/coreclr/{src => }/md/inc/mdcolumndescriptors.h | 0 src/coreclr/{src => }/md/inc/mdinternalrw.h | 0 src/coreclr/{src => }/md/inc/mdlog.h | 0 src/coreclr/{src => }/md/inc/metadatahash.h | 0 src/coreclr/{src => }/md/inc/metamodel.h | 0 src/coreclr/{src => }/md/inc/metamodelro.h | 0 src/coreclr/{src => }/md/inc/metamodelrw.h | 0 src/coreclr/{src => }/md/inc/pdbheap.h | 0 src/coreclr/{src => }/md/inc/portablepdbmdds.h | 0 src/coreclr/{src => }/md/inc/portablepdbmdi.h | 0 src/coreclr/{src => }/md/inc/recordpool.h | 0 src/coreclr/{src => }/md/inc/rwutil.h | 0 src/coreclr/{src => }/md/inc/stgio.h | 0 src/coreclr/{src => }/md/inc/stgtiggerstorage.h | 0 src/coreclr/{src => }/md/inc/stgtiggerstream.h | 0 src/coreclr/{src => }/md/inc/streamutil.h | 0 src/coreclr/{src => }/md/inc/verifylayouts.h | 0 src/coreclr/{src => }/md/runtime/CMakeLists.txt | 0 .../{src => }/md/runtime/liteweightstgdb.cpp | 0 .../{src => }/md/runtime/mdcolumndescriptors.cpp | 0 src/coreclr/{src => }/md/runtime/mdfileformat.cpp | 0 .../{src => }/md/runtime/mdinternaldisp.cpp | 0 src/coreclr/{src => }/md/runtime/mdinternaldisp.h | 0 src/coreclr/{src => }/md/runtime/mdinternalro.cpp | 0 src/coreclr/{src => }/md/runtime/mdinternalro.h | 0 src/coreclr/{src => }/md/runtime/metamodel.cpp | 0 .../{src => }/md/runtime/metamodelcolumndefs.h | 0 src/coreclr/{src => }/md/runtime/metamodelro.cpp | 0 src/coreclr/{src => }/md/runtime/recordpool.cpp | 0 src/coreclr/{src => }/md/runtime/stdafx.h | 0 .../{src => }/md/runtime/strongnameinternal.cpp | 0 src/coreclr/{src => }/md/staticmd/CMakeLists.txt | 0 src/coreclr/{src => }/md/staticmd/apis.cpp | 0 src/coreclr/{src => }/md/staticmd/stdafx.h | 0 src/coreclr/{src => }/md/tables/export.h | 0 src/coreclr/{src => }/md/tables/external.h | 0 src/coreclr/{src => }/md/tables/table.h | 0 .../{src => }/nativeresources/CMakeLists.txt | 0 .../{src => }/nativeresources/processrc.awk | 0 src/coreclr/{src => }/nativeresources/rctocpp.awk | 0 src/coreclr/{src => }/nativeresources/rctopo.awk | 0 .../{src => }/nativeresources/resourcestring.cpp | 0 .../{src => }/nativeresources/resourcestring.h | 0 src/coreclr/{src => }/pal/CMakeLists.txt | 2 +- src/coreclr/{src => }/pal/inc/mbusafecrt.h | 0 src/coreclr/{src => }/pal/inc/pal.h | 0 src/coreclr/{src => }/pal/inc/pal_assert.h | 0 src/coreclr/{src => }/pal/inc/pal_endian.h | 0 src/coreclr/{src => }/pal/inc/pal_error.h | 0 src/coreclr/{src => }/pal/inc/pal_mstypes.h | 0 src/coreclr/{src => }/pal/inc/palprivate.h | 0 src/coreclr/{src => }/pal/inc/rt/aclapi.h | 0 src/coreclr/{src => }/pal/inc/rt/commctrl.h | 0 src/coreclr/{src => }/pal/inc/rt/commdlg.h | 0 src/coreclr/{src => }/pal/inc/rt/conio.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/assert.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/cstdlib | 0 src/coreclr/{src => }/pal/inc/rt/cpp/ctype.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/emmintrin.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/fcntl.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/float.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/io.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/limits.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/malloc.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/math.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/memory.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/stdarg.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/stddef.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/stdint.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/stdio.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/stdlib.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/string.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/time.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/wchar.h | 0 src/coreclr/{src => }/pal/inc/rt/cpp/xmmintrin.h | 0 src/coreclr/{src => }/pal/inc/rt/crtdbg.h | 0 src/coreclr/{src => }/pal/inc/rt/dbghelp.h | 0 src/coreclr/{src => }/pal/inc/rt/eh.h | 0 src/coreclr/{src => }/pal/inc/rt/errorrep.h | 0 src/coreclr/{src => }/pal/inc/rt/guiddef.h | 0 src/coreclr/{src => }/pal/inc/rt/htmlhelp.h | 0 src/coreclr/{src => }/pal/inc/rt/imagehlp.h | 0 src/coreclr/{src => }/pal/inc/rt/intrin.h | 0 src/coreclr/{src => }/pal/inc/rt/intsafe.h | 0 src/coreclr/{src => }/pal/inc/rt/new.h | 0 src/coreclr/{src => }/pal/inc/rt/no_sal2.h | 0 src/coreclr/{src => }/pal/inc/rt/ntimage.h | 0 src/coreclr/{src => }/pal/inc/rt/oaidl.h | 0 src/coreclr/{src => }/pal/inc/rt/objbase.h | 0 src/coreclr/{src => }/pal/inc/rt/objidl.h | 0 src/coreclr/{src => }/pal/inc/rt/ocidl.h | 0 src/coreclr/{src => }/pal/inc/rt/ole2.h | 0 src/coreclr/{src => }/pal/inc/rt/oleauto.h | 0 src/coreclr/{src => }/pal/inc/rt/olectl.h | 0 src/coreclr/{src => }/pal/inc/rt/palrt.h | 0 src/coreclr/{src => }/pal/inc/rt/poppack.h | 0 src/coreclr/{src => }/pal/inc/rt/process.h | 0 src/coreclr/{src => }/pal/inc/rt/psapi.h | 0 src/coreclr/{src => }/pal/inc/rt/pshpack1.h | 0 src/coreclr/{src => }/pal/inc/rt/pshpack2.h | 0 src/coreclr/{src => }/pal/inc/rt/pshpack4.h | 0 src/coreclr/{src => }/pal/inc/rt/pshpack8.h | 0 src/coreclr/{src => }/pal/inc/rt/richedit.h | 0 src/coreclr/{src => }/pal/inc/rt/rpc.h | 0 src/coreclr/{src => }/pal/inc/rt/rpcndr.h | 0 src/coreclr/{src => }/pal/inc/rt/safecrt.h | 0 src/coreclr/{src => }/pal/inc/rt/sal.h | 0 src/coreclr/{src => }/pal/inc/rt/servprov.h | 0 src/coreclr/{src => }/pal/inc/rt/share.h | 0 src/coreclr/{src => }/pal/inc/rt/shellapi.h | 0 src/coreclr/{src => }/pal/inc/rt/shlobj.h | 0 src/coreclr/{src => }/pal/inc/rt/shlwapi.h | 0 src/coreclr/{src => }/pal/inc/rt/specstrings.h | 0 .../{src => }/pal/inc/rt/specstrings_strict.h | 0 .../{src => }/pal/inc/rt/specstrings_undef.h | 0 src/coreclr/{src => }/pal/inc/rt/tchar.h | 0 src/coreclr/{src => }/pal/inc/rt/tlhelp32.h | 0 src/coreclr/{src => }/pal/inc/rt/unknwn.h | 0 src/coreclr/{src => }/pal/inc/rt/urlmon.h | 0 src/coreclr/{src => }/pal/inc/rt/verrsrc.h | 0 src/coreclr/{src => }/pal/inc/rt/winapifamily.h | 0 src/coreclr/{src => }/pal/inc/rt/winbase.h | 0 src/coreclr/{src => }/pal/inc/rt/wincrypt.h | 0 src/coreclr/{src => }/pal/inc/rt/windef.h | 0 src/coreclr/{src => }/pal/inc/rt/windows.h | 0 src/coreclr/{src => }/pal/inc/rt/winerror.h | 0 src/coreclr/{src => }/pal/inc/rt/wininet.h | 0 src/coreclr/{src => }/pal/inc/rt/winnls.h | 0 src/coreclr/{src => }/pal/inc/rt/winnt.h | 0 src/coreclr/{src => }/pal/inc/rt/winresrc.h | 0 src/coreclr/{src => }/pal/inc/rt/winternl.h | 0 src/coreclr/{src => }/pal/inc/rt/winuser.h | 0 src/coreclr/{src => }/pal/inc/rt/winver.h | 0 src/coreclr/{src => }/pal/inc/rt/wtsapi32.h | 0 src/coreclr/{src => }/pal/inc/strsafe.h | 0 src/coreclr/{src => }/pal/inc/unixasmmacros.inc | 0 .../{src => }/pal/inc/unixasmmacrosamd64.inc | 0 src/coreclr/{src => }/pal/inc/unixasmmacrosarm.inc | 0 .../{src => }/pal/inc/unixasmmacrosarm64.inc | 0 src/coreclr/{src => }/pal/inc/unixasmmacrosx86.inc | 0 .../pal/prebuilt/corerror/makecorerror.bat | 0 .../{src => }/pal/prebuilt/corerror/mscorurt.rc | 0 .../{src => }/pal/prebuilt/corerror/readme.txt | 0 .../{src => }/pal/prebuilt/idl/clrdata_i.cpp | 0 .../{src => }/pal/prebuilt/idl/clrinternal_i.cpp | 0 .../pal/prebuilt/idl/clrprivappxhosting_i.cpp | 0 .../pal/prebuilt/idl/clrprivbinding_i.cpp | 0 .../{src => }/pal/prebuilt/idl/cordebug_i.cpp | 0 .../{src => }/pal/prebuilt/idl/corprof_i.cpp | 0 .../{src => }/pal/prebuilt/idl/corpub_i.cpp | 0 .../{src => }/pal/prebuilt/idl/corsym_i.cpp | 0 .../{src => }/pal/prebuilt/idl/mscorsvc_i.cpp | 0 .../{src => }/pal/prebuilt/idl/sospriv_i.cpp | 0 .../{src => }/pal/prebuilt/idl/xclrdata_i.cpp | 0 .../{src => }/pal/prebuilt/idl/xcordebug_i.cpp | 0 .../{src => }/pal/prebuilt/inc/CMakeLists.txt | 0 src/coreclr/{src => }/pal/prebuilt/inc/clrdata.h | 0 .../{src => }/pal/prebuilt/inc/clrinternal.h | 0 .../{src => }/pal/prebuilt/inc/clrprivbinding.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/cordebug.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/corerror.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/corprof.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/corpub.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/corsym.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/fusion.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/fxver.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/fxver.rc | 0 src/coreclr/{src => }/pal/prebuilt/inc/metahost.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/mscoree.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/mscorsvc.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/readme.txt | 0 src/coreclr/{src => }/pal/prebuilt/inc/sospriv.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/xclrdata.h | 0 src/coreclr/{src => }/pal/prebuilt/inc/xcordebug.h | 0 src/coreclr/{src => }/pal/src/.tpattributes | 0 src/coreclr/{src => }/pal/src/CMakeLists.txt | 0 .../pal/src/arch/amd64/activationhandlerwrapper.S | 0 .../{src => }/pal/src/arch/amd64/asmconstants.h | 0 .../pal/src/arch/amd64/callsignalhandlerwrapper.S | 0 src/coreclr/{src => }/pal/src/arch/amd64/context.S | 0 .../{src => }/pal/src/arch/amd64/context2.S | 0 .../arch/i386 => pal/src/arch/amd64}/debugbreak.S | 0 .../pal/src/arch/amd64/dispatchexceptionwrapper.S | 0 .../{src => }/pal/src/arch/amd64/exceptionhelper.S | 0 .../{src => }/pal/src/arch/amd64/processor.cpp | 0 .../pal/src/arch/amd64/signalhandlerhelper.cpp | 0 .../{src => }/pal/src/arch/arm/asmconstants.h | 0 .../pal/src/arch/arm/callsignalhandlerwrapper.S | 0 src/coreclr/{src => }/pal/src/arch/arm/context2.S | 0 .../{src => }/pal/src/arch/arm/debugbreak.S | 0 .../{src => }/pal/src/arch/arm/exceptionhelper.S | 0 .../{src => }/pal/src/arch/arm/processor.cpp | 0 .../pal/src/arch/arm/signalhandlerhelper.cpp | 0 .../pal/src/arch/arm64/activationhandlerwrapper.S | 0 .../{src => }/pal/src/arch/arm64/asmconstants.h | 0 .../pal/src/arch/arm64/callsignalhandlerwrapper.S | 0 src/coreclr/{src => }/pal/src/arch/arm64/context.S | 0 .../{src => }/pal/src/arch/arm64/context2.S | 0 .../{src => }/pal/src/arch/arm64/debugbreak.S | 0 .../pal/src/arch/arm64/dispatchexceptionwrapper.S | 0 .../{src => }/pal/src/arch/arm64/exceptionhelper.S | 0 .../{src => }/pal/src/arch/arm64/processor.cpp | 0 .../pal/src/arch/arm64/signalhandlerhelper.cpp | 0 .../{src => }/pal/src/arch/i386/asmconstants.h | 0 .../pal/src/arch/i386/callsignalhandlerwrapper.S | 0 src/coreclr/{src => }/pal/src/arch/i386/context2.S | 0 .../arch/amd64 => pal/src/arch/i386}/debugbreak.S | 0 .../{src => }/pal/src/arch/i386/exceptionhelper.S | 0 .../{src => }/pal/src/arch/i386/processor.cpp | 0 .../pal/src/arch/i386/signalhandlerhelper.cpp | 0 .../{src => }/pal/src/build_tools/mdtool_dummy | 0 .../{src => }/pal/src/build_tools/mdtool_gcc.in | 0 src/coreclr/{src => }/pal/src/config.h.in | 0 src/coreclr/{src => }/pal/src/configure.cmake | 0 src/coreclr/{src => }/pal/src/cruntime/file.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/filecrt.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/malloc.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/math.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/misc.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/path.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/printf.cpp | 0 .../{src => }/pal/src/cruntime/printfcpp.cpp | 0 .../{src => }/pal/src/cruntime/silent_printf.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/string.cpp | 0 .../{src => }/pal/src/cruntime/stringtls.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/thread.cpp | 0 src/coreclr/{src => }/pal/src/cruntime/wchar.cpp | 0 .../{src => }/pal/src/cruntime/wchartls.cpp | 0 src/coreclr/{src => }/pal/src/debug/debug.cpp | 0 .../{src => }/pal/src/eventprovider/CMakeLists.txt | 0 .../src/eventprovider/dummyprovider/CMakeLists.txt | 2 +- .../src/eventprovider/lttngprovider/CMakeLists.txt | 2 +- .../lttngprovider/eventproviderhelpers.cpp | 0 .../pal/src/exception/compact_unwind_encoding.h | 0 .../{src => }/pal/src/exception/machexception.cpp | 0 .../{src => }/pal/src/exception/machexception.h | 0 .../{src => }/pal/src/exception/machmessage.cpp | 0 .../{src => }/pal/src/exception/machmessage.h | 0 .../{src => }/pal/src/exception/remote-unwind.cpp | 0 .../{src => }/pal/src/exception/seh-unwind.cpp | 0 src/coreclr/{src => }/pal/src/exception/seh.cpp | 0 src/coreclr/{src => }/pal/src/exception/signal.cpp | 0 src/coreclr/{src => }/pal/src/file/directory.cpp | 0 src/coreclr/{src => }/pal/src/file/file.cpp | 0 src/coreclr/{src => }/pal/src/file/filetime.cpp | 0 src/coreclr/{src => }/pal/src/file/find.cpp | 0 src/coreclr/{src => }/pal/src/file/path.cpp | 0 .../{src => }/pal/src/handlemgr/handleapi.cpp | 0 .../{src => }/pal/src/handlemgr/handlemgr.cpp | 0 src/coreclr/{src => }/pal/src/include/pal/cgroup.h | 0 .../{src => }/pal/src/include/pal/context.h | 0 .../{src => }/pal/src/include/pal/corunix.hpp | 0 .../{src => }/pal/src/include/pal/corunix.inl | 0 .../{src => }/pal/src/include/pal/critsect.h | 0 .../{src => }/pal/src/include/pal/cruntime.h | 0 src/coreclr/{src => }/pal/src/include/pal/cs.hpp | 0 src/coreclr/{src => }/pal/src/include/pal/dbgmsg.h | 0 src/coreclr/{src => }/pal/src/include/pal/debug.h | 0 .../{src => }/pal/src/include/pal/environ.h | 0 .../{src => }/pal/src/include/pal/event.hpp | 0 .../{src => }/pal/src/include/pal/fakepoll.h | 0 src/coreclr/{src => }/pal/src/include/pal/file.h | 0 src/coreclr/{src => }/pal/src/include/pal/file.hpp | 0 .../{src => }/pal/src/include/pal/filetime.h | 0 .../{src => }/pal/src/include/pal/handleapi.hpp | 0 .../{src => }/pal/src/include/pal/handlemgr.hpp | 0 src/coreclr/{src => }/pal/src/include/pal/init.h | 0 src/coreclr/{src => }/pal/src/include/pal/list.h | 0 .../{src => }/pal/src/include/pal/malloc.hpp | 0 src/coreclr/{src => }/pal/src/include/pal/map.h | 0 src/coreclr/{src => }/pal/src/include/pal/map.hpp | 0 src/coreclr/{src => }/pal/src/include/pal/misc.h | 0 src/coreclr/{src => }/pal/src/include/pal/module.h | 0 .../{src => }/pal/src/include/pal/modulename.h | 0 .../{src => }/pal/src/include/pal/mutex.hpp | 0 src/coreclr/{src => }/pal/src/include/pal/numa.h | 0 .../{src => }/pal/src/include/pal/palinternal.h | 0 .../{src => }/pal/src/include/pal/perftrace.h | 0 .../{src => }/pal/src/include/pal/printfcpp.hpp | 0 .../{src => }/pal/src/include/pal/process.h | 0 .../{src => }/pal/src/include/pal/procobj.hpp | 0 src/coreclr/{src => }/pal/src/include/pal/seh.hpp | 0 .../{src => }/pal/src/include/pal/semaphore.hpp | 0 .../{src => }/pal/src/include/pal/sharedmemory.h | 0 .../{src => }/pal/src/include/pal/sharedmemory.inl | 0 src/coreclr/{src => }/pal/src/include/pal/shm.hpp | 0 .../{src => }/pal/src/include/pal/shmemory.h | 0 .../{src => }/pal/src/include/pal/signal.hpp | 0 .../{src => }/pal/src/include/pal/stackstring.hpp | 0 .../{src => }/pal/src/include/pal/synchcache.hpp | 0 .../{src => }/pal/src/include/pal/synchobjects.hpp | 0 .../{src => }/pal/src/include/pal/thread.hpp | 0 .../{src => }/pal/src/include/pal/threadinfo.hpp | 0 .../{src => }/pal/src/include/pal/threadsusp.hpp | 0 .../{src => }/pal/src/include/pal/unicodedata.h | 0 src/coreclr/{src => }/pal/src/include/pal/utf8.h | 0 src/coreclr/{src => }/pal/src/include/pal/utils.h | 0 .../{src => }/pal/src/include/pal/virtual.h | 0 src/coreclr/{src => }/pal/src/init/pal.cpp | 0 src/coreclr/{src => }/pal/src/init/sxs.cpp | 0 src/coreclr/{src => }/pal/src/libunwind/.gitignore | 0 .../{src => }/pal/src/libunwind/.travis.yml | 0 src/coreclr/{src => }/pal/src/libunwind/AUTHORS | 0 .../{src => }/pal/src/libunwind/CMakeLists.txt | 0 src/coreclr/{src => }/pal/src/libunwind/COPYING | 0 src/coreclr/{src => }/pal/src/libunwind/ChangeLog | 0 src/coreclr/{src => }/pal/src/libunwind/LICENSE | 0 .../{src => }/pal/src/libunwind/Makefile.am | 0 src/coreclr/{src => }/pal/src/libunwind/NEWS | 0 src/coreclr/{src => }/pal/src/libunwind/README | 0 src/coreclr/{src => }/pal/src/libunwind/README.md | 0 src/coreclr/{src => }/pal/src/libunwind/TODO | 0 .../{src => }/pal/src/libunwind/acinclude.m4 | 0 src/coreclr/{src => }/pal/src/libunwind/autogen.sh | 0 .../{src => }/pal/src/libunwind/config.h.in | 0 .../{src => }/pal/src/libunwind/configure.ac | 0 .../{src => }/pal/src/libunwind/configure.cmake | 0 .../{src => }/pal/src/libunwind/doc/Makefile.am | 0 src/coreclr/{src => }/pal/src/libunwind/doc/NOTES | 0 .../pal/src/libunwind/doc/_U_dyn_cancel.man | 0 .../pal/src/libunwind/doc/_U_dyn_cancel.tex | 0 .../pal/src/libunwind/doc/_U_dyn_register.man | 0 .../pal/src/libunwind/doc/_U_dyn_register.tex | 0 .../{src => }/pal/src/libunwind/doc/common.tex.in | 0 .../pal/src/libunwind/doc/libunwind-dynamic.man | 0 .../pal/src/libunwind/doc/libunwind-dynamic.tex | 0 .../pal/src/libunwind/doc/libunwind-ia64.man | 0 .../pal/src/libunwind/doc/libunwind-ia64.tex | 0 .../pal/src/libunwind/doc/libunwind-ptrace.man | 0 .../pal/src/libunwind/doc/libunwind-ptrace.tex | 0 .../pal/src/libunwind/doc/libunwind-setjmp.man | 0 .../pal/src/libunwind/doc/libunwind-setjmp.tex | 0 .../{src => }/pal/src/libunwind/doc/libunwind.man | 0 .../{src => }/pal/src/libunwind/doc/libunwind.tex | 0 .../pal/src/libunwind/doc/libunwind.trans | 0 .../pal/src/libunwind/doc/unw_apply_reg_state.man | 0 .../pal/src/libunwind/doc/unw_apply_reg_state.tex | 0 .../pal/src/libunwind/doc/unw_backtrace.man | 0 .../pal/src/libunwind/doc/unw_backtrace.tex | 0 .../src/libunwind/doc/unw_create_addr_space.man | 0 .../src/libunwind/doc/unw_create_addr_space.tex | 0 .../src/libunwind/doc/unw_destroy_addr_space.man | 0 .../src/libunwind/doc/unw_destroy_addr_space.tex | 0 .../pal/src/libunwind/doc/unw_flush_cache.man | 0 .../pal/src/libunwind/doc/unw_flush_cache.tex | 0 .../pal/src/libunwind/doc/unw_get_accessors.man | 0 .../pal/src/libunwind/doc/unw_get_accessors.tex | 0 .../pal/src/libunwind/doc/unw_get_fpreg.man | 0 .../pal/src/libunwind/doc/unw_get_fpreg.tex | 0 .../pal/src/libunwind/doc/unw_get_proc_info.man | 0 .../pal/src/libunwind/doc/unw_get_proc_info.tex | 0 .../src/libunwind/doc/unw_get_proc_info_by_ip.man | 0 .../src/libunwind/doc/unw_get_proc_info_by_ip.tex | 0 .../pal/src/libunwind/doc/unw_get_proc_name.man | 0 .../pal/src/libunwind/doc/unw_get_proc_name.tex | 0 .../pal/src/libunwind/doc/unw_get_reg.man | 0 .../pal/src/libunwind/doc/unw_get_reg.tex | 0 .../pal/src/libunwind/doc/unw_getcontext.man | 0 .../pal/src/libunwind/doc/unw_getcontext.tex | 0 .../pal/src/libunwind/doc/unw_init_local.man | 0 .../pal/src/libunwind/doc/unw_init_local.tex | 0 .../pal/src/libunwind/doc/unw_init_local2.man | 0 .../pal/src/libunwind/doc/unw_init_remote.man | 0 .../pal/src/libunwind/doc/unw_init_remote.tex | 0 .../pal/src/libunwind/doc/unw_is_fpreg.man | 0 .../pal/src/libunwind/doc/unw_is_fpreg.tex | 0 .../pal/src/libunwind/doc/unw_is_signal_frame.man | 0 .../pal/src/libunwind/doc/unw_is_signal_frame.tex | 0 .../src/libunwind/doc/unw_reg_states_iterate.man | 0 .../src/libunwind/doc/unw_reg_states_iterate.tex | 0 .../pal/src/libunwind/doc/unw_regname.man | 0 .../pal/src/libunwind/doc/unw_regname.tex | 0 .../{src => }/pal/src/libunwind/doc/unw_resume.man | 0 .../{src => }/pal/src/libunwind/doc/unw_resume.tex | 0 .../pal/src/libunwind/doc/unw_set_cache_size.man | 0 .../pal/src/libunwind/doc/unw_set_cache_size.tex | 0 .../src/libunwind/doc/unw_set_caching_policy.man | 0 .../src/libunwind/doc/unw_set_caching_policy.tex | 0 .../pal/src/libunwind/doc/unw_set_fpreg.man | 0 .../pal/src/libunwind/doc/unw_set_fpreg.tex | 0 .../pal/src/libunwind/doc/unw_set_reg.man | 0 .../pal/src/libunwind/doc/unw_set_reg.tex | 0 .../{src => }/pal/src/libunwind/doc/unw_step.man | 0 .../{src => }/pal/src/libunwind/doc/unw_step.tex | 0 .../pal/src/libunwind/doc/unw_strerror.man | 0 .../pal/src/libunwind/doc/unw_strerror.tex | 0 .../{src => }/pal/src/libunwind/include/compiler.h | 0 .../pal/src/libunwind/include/config.h.cmake.in | 0 .../{src => }/pal/src/libunwind/include/dwarf-eh.h | 0 .../{src => }/pal/src/libunwind/include/dwarf.h | 0 .../{src => }/pal/src/libunwind/include/dwarf_i.h | 0 .../pal/src/libunwind/include/libunwind-aarch64.h | 0 .../pal/src/libunwind/include/libunwind-arm.h | 0 .../src/libunwind/include/libunwind-common.h.in | 0 .../pal/src/libunwind/include/libunwind-coredump.h | 0 .../pal/src/libunwind/include/libunwind-dynamic.h | 0 .../pal/src/libunwind/include/libunwind-hppa.h | 0 .../pal/src/libunwind/include/libunwind-ia64.h | 0 .../pal/src/libunwind/include/libunwind-mips.h | 0 .../pal/src/libunwind/include/libunwind-ppc32.h | 0 .../pal/src/libunwind/include/libunwind-ppc64.h | 0 .../pal/src/libunwind/include/libunwind-ptrace.h | 0 .../pal/src/libunwind/include/libunwind-s390x.h | 0 .../pal/src/libunwind/include/libunwind-sh.h | 0 .../pal/src/libunwind/include/libunwind-tilegx.h | 0 .../pal/src/libunwind/include/libunwind-x86.h | 0 .../pal/src/libunwind/include/libunwind-x86_64.h | 0 .../pal/src/libunwind/include/libunwind.h.in | 0 .../pal/src/libunwind/include/libunwind_i.h | 0 .../{src => }/pal/src/libunwind/include/mempool.h | 0 .../{src => }/pal/src/libunwind/include/remote.h | 0 .../libunwind/include/tdep-aarch64/dwarf-config.h | 0 .../src/libunwind/include/tdep-aarch64/jmpbuf.h | 0 .../libunwind/include/tdep-aarch64/libunwind_i.h | 0 .../src/libunwind/include/tdep-arm/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep-arm/ex_tables.h | 0 .../pal/src/libunwind/include/tdep-arm/jmpbuf.h | 0 .../src/libunwind/include/tdep-arm/libunwind_i.h | 0 .../src/libunwind/include/tdep-hppa/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep-hppa/jmpbuf.h | 0 .../src/libunwind/include/tdep-hppa/libunwind_i.h | 0 .../pal/src/libunwind/include/tdep-ia64/jmpbuf.h | 0 .../src/libunwind/include/tdep-ia64/libunwind_i.h | 0 .../pal/src/libunwind/include/tdep-ia64/rse.h | 0 .../pal/src/libunwind/include/tdep-ia64/script.h | 0 .../src/libunwind/include/tdep-mips/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep-mips/jmpbuf.h | 0 .../src/libunwind/include/tdep-mips/libunwind_i.h | 0 .../libunwind/include/tdep-ppc32/dwarf-config.h | 0 .../src/libunwind/include/tdep-ppc32}/jmpbuf.h | 0 .../src/libunwind/include/tdep-ppc32/libunwind_i.h | 0 .../libunwind/include/tdep-ppc64/dwarf-config.h | 0 .../src/libunwind/include/tdep-ppc64}/jmpbuf.h | 0 .../src/libunwind/include/tdep-ppc64/libunwind_i.h | 0 .../libunwind/include/tdep-s390x/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep-s390x/jmpbuf.h | 0 .../src/libunwind/include/tdep-s390x/libunwind_i.h | 0 .../src/libunwind/include/tdep-sh/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep-sh/jmpbuf.h | 0 .../src/libunwind/include/tdep-sh/libunwind_i.h | 0 .../libunwind/include/tdep-tilegx/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep-tilegx/jmpbuf.h | 0 .../libunwind/include/tdep-tilegx/libunwind_i.h | 0 .../src/libunwind/include/tdep-x86/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep-x86/jmpbuf.h | 0 .../src/libunwind/include/tdep-x86/libunwind_i.h | 0 .../libunwind/include/tdep-x86_64/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep-x86_64/jmpbuf.h | 0 .../libunwind/include/tdep-x86_64/libunwind_i.h | 0 .../pal/src/libunwind/include/tdep/dwarf-config.h | 0 .../pal/src/libunwind/include/tdep/jmpbuf.h | 0 .../src/libunwind/include/tdep/libunwind_i.h.in | 0 .../{src => }/pal/src/libunwind/include/unwind.h | 0 .../{src => }/pal/src/libunwind/include/win/elf.h | 0 .../pal/src/libunwind/include/win/endian.h | 0 .../src/libunwind/include/win/fakestdalign.h.in | 0 .../src/libunwind/include/win/fakestdatomic.h.in | 0 .../src/libunwind/include/win}/freebsd-elf32.h | 0 .../src/libunwind/include/win}/freebsd-elf64.h | 0 .../libunwind/include/win}/freebsd-elf_common.h | 0 .../pal/src/libunwind/include/win/pthread.h | 0 .../pal/src/libunwind/include/win/signal.h | 0 .../pal/src/libunwind/include/win/sys/mman.h | 0 .../pal/src/libunwind/include/win/sys/syscall.h | 0 .../pal/src/libunwind/include/win/sys/types.h | 0 .../pal/src/libunwind/include/win/sys/ucontext.h | 0 .../pal/src/libunwind/include/win/ucontext.h | 0 .../pal/src/libunwind/include/win/unistd.h | 0 .../pal/src/libunwind/include/x86/jmpbuf.h | 0 .../pal/src/libunwind/libunwind-version.txt | 0 .../pal/src/libunwind/scripts/kernel-diff.sh | 0 .../pal/src/libunwind/scripts/kernel-files.txt | 0 .../pal/src/libunwind/scripts/make-L-files | 0 .../{src => }/pal/src/libunwind/src/CMakeLists.txt | 2 +- .../{src => }/pal/src/libunwind/src/Makefile.am | 0 .../src/libunwind/src/aarch64}/Gapply_reg_state.c | 0 .../src/libunwind/src/aarch64/Gcreate_addr_space.c | 0 .../src/libunwind/src/aarch64}/Gget_proc_info.c | 0 .../pal/src/libunwind/src/aarch64/Gget_save_loc.c | 0 .../pal/src/libunwind/src/aarch64/Gglobal.c | 0 .../pal/src/libunwind/src/aarch64/Ginit.c | 0 .../pal/src/libunwind/src/aarch64/Ginit_local.c | 0 .../src/libunwind/src/aarch64}/Ginit_remote.c | 0 .../src/libunwind/src/aarch64/Gis_signal_frame.c | 0 .../libunwind/src/aarch64}/Greg_states_iterate.c | 0 .../pal/src/libunwind/src/aarch64/Gregs.c | 0 .../pal/src/libunwind/src/aarch64/Gresume.c | 0 .../pal/src/libunwind/src/aarch64/Gstash_frame.c | 0 .../pal/src/libunwind/src/aarch64/Gstep.c | 0 .../pal/src/libunwind/src/aarch64/Gtrace.c | 0 .../src/libunwind/src/aarch64}/Lapply_reg_state.c | 0 .../libunwind/src/aarch64}/Lcreate_addr_space.c | 0 .../src/libunwind/src/aarch64}/Lget_proc_info.c | 0 .../src/libunwind/src/aarch64}/Lget_save_loc.c | 0 .../src/libunwind/src/aarch64}/Lglobal.c | 0 .../src/libunwind/src/aarch64}/Linit.c | 0 .../src/libunwind/src/aarch64}/Linit_local.c | 0 .../src/libunwind/src/aarch64}/Linit_remote.c | 0 .../src/libunwind/src/aarch64}/Lis_signal_frame.c | 0 .../libunwind/src/aarch64}/Lreg_states_iterate.c | 0 .../src/libunwind/src/aarch64}/Lregs.c | 0 .../src/libunwind/src/aarch64}/Lresume.c | 0 .../src/libunwind/src/aarch64}/Lstash_frame.c | 0 .../src/libunwind/src/aarch64}/Lstep.c | 0 .../src/libunwind/src/aarch64}/Ltrace.c | 0 .../pal/src/libunwind/src/aarch64/gen-offsets.c | 0 .../pal/src/libunwind/src/aarch64/getcontext.S | 0 .../{src => }/pal/src/libunwind/src/aarch64/init.h | 0 .../pal/src/libunwind/src/aarch64/is_fpreg.c | 0 .../pal/src/libunwind/src/aarch64/offsets.h | 0 .../pal/src/libunwind/src/aarch64/regname.c | 0 .../pal/src/libunwind/src/aarch64/siglongjmp.S | 0 .../pal/src/libunwind/src/aarch64/unwind_i.h | 0 .../src/libunwind/src/arm}/Gapply_reg_state.c | 0 .../pal/src/libunwind/src/arm/Gcreate_addr_space.c | 0 .../pal/src/libunwind/src/arm/Gex_tables.c | 0 .../pal/src/libunwind/src/arm/Gget_proc_info.c | 0 .../pal/src/libunwind/src/arm/Gget_save_loc.c | 0 .../{src => }/pal/src/libunwind/src/arm/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/arm/Ginit.c | 0 .../pal/src/libunwind/src/arm/Ginit_local.c | 0 .../src/libunwind/src/arm}/Ginit_remote.c | 0 .../pal/src/libunwind/src/arm/Gos-freebsd.c | 0 .../pal/src/libunwind/src/arm/Gos-linux.c | 0 .../pal/src/libunwind/src/arm/Gos-other.c | 0 .../src/libunwind/src/arm}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/arm/Gregs.c | 0 .../{src => }/pal/src/libunwind/src/arm/Gresume.c | 0 .../pal/src/libunwind/src/arm/Gstash_frame.c | 0 .../{src => }/pal/src/libunwind/src/arm/Gstep.c | 0 .../{src => }/pal/src/libunwind/src/arm/Gtrace.c | 0 .../src/libunwind/src/arm}/Lapply_reg_state.c | 0 .../src/libunwind/src/arm}/Lcreate_addr_space.c | 0 .../pal/src/libunwind/src/arm/Lex_tables.c | 0 .../src/libunwind/src/arm}/Lget_proc_info.c | 0 .../src/libunwind/src/arm}/Lget_save_loc.c | 0 .../tilegx => pal/src/libunwind/src/arm}/Lglobal.c | 0 .../src/x86 => pal/src/libunwind/src/arm}/Linit.c | 0 .../src/libunwind/src/arm}/Linit_local.c | 0 .../src/libunwind/src/arm}/Linit_remote.c | 0 .../src/libunwind/src/arm}/Lis_signal_frame.c | 0 .../src/libunwind/src/arm}/Los-freebsd.c | 0 .../src/libunwind/src/arm}/Los-linux.c | 0 .../pal/src/libunwind/src/arm/Los-other.c | 0 .../src/libunwind/src/arm}/Lreg_states_iterate.c | 0 .../src/x86 => pal/src/libunwind/src/arm}/Lregs.c | 0 .../x86 => pal/src/libunwind/src/arm}/Lresume.c | 0 .../pal/src/libunwind/src/arm/Lstash_frame.c | 0 .../src/x86 => pal/src/libunwind/src/arm}/Lstep.c | 0 .../{src => }/pal/src/libunwind/src/arm/Ltrace.c | 0 .../pal/src/libunwind/src/arm/gen-offsets.c | 0 .../pal/src/libunwind/src/arm/getcontext.S | 0 .../{src => }/pal/src/libunwind/src/arm/init.h | 0 .../{src => }/pal/src/libunwind/src/arm/is_fpreg.c | 0 .../{src => }/pal/src/libunwind/src/arm/offsets.h | 0 .../{src => }/pal/src/libunwind/src/arm/regname.c | 0 .../pal/src/libunwind/src/arm/siglongjmp.S | 0 .../{src => }/pal/src/libunwind/src/arm/unwind_i.h | 0 .../pal/src/libunwind/src/coredump/README | 0 .../src/libunwind/src/coredump/_UCD_access_mem.c | 0 .../src/coredump/_UCD_access_reg_freebsd.c | 0 .../libunwind/src/coredump/_UCD_access_reg_linux.c | 0 .../src/libunwind/src/coredump/_UCD_accessors.c | 0 .../pal/src/libunwind/src/coredump/_UCD_create.c | 0 .../pal/src/libunwind/src/coredump/_UCD_destroy.c | 0 .../libunwind/src/coredump/_UCD_elf_map_image.c | 0 .../libunwind/src/coredump/_UCD_find_proc_info.c | 0 .../libunwind/src/coredump/_UCD_get_proc_name.c | 0 .../pal/src/libunwind/src/coredump/_UCD_internal.h | 0 .../pal/src/libunwind/src/coredump/_UCD_lib.h | 0 .../src/libunwind/src/coredump/_UPT_access_fpreg.c | 0 .../pal/src/libunwind/src/coredump/_UPT_elf.c | 0 .../src/coredump/_UPT_get_dyn_info_list_addr.c | 0 .../libunwind/src/coredump/_UPT_put_unwind_info.c | 0 .../pal/src/libunwind/src/coredump/_UPT_resume.c | 0 .../src/coredump/libunwind-coredump.pc.in | 0 .../{src => }/pal/src/libunwind/src/dwarf/Gexpr.c | 0 .../{src => }/pal/src/libunwind/src/dwarf/Gfde.c | 0 .../src/libunwind/src/dwarf/Gfind_proc_info-lsb.c | 0 .../src/libunwind/src/dwarf/Gfind_unwind_table.c | 0 .../pal/src/libunwind/src/dwarf/Gparser.c | 0 .../{src => }/pal/src/libunwind/src/dwarf/Gpe.c | 0 .../{src => }/pal/src/libunwind/src/dwarf/Lexpr.c | 0 .../{src => }/pal/src/libunwind/src/dwarf/Lfde.c | 0 .../src/libunwind/src/dwarf/Lfind_proc_info-lsb.c | 0 .../src/libunwind/src/dwarf}/Lfind_unwind_table.c | 0 .../ia64 => pal/src/libunwind/src/dwarf}/Lparser.c | 0 .../{src => }/pal/src/libunwind/src/dwarf/Lpe.c | 0 .../{src => }/pal/src/libunwind/src/dwarf/global.c | 0 .../{src => }/pal/src/libunwind/src/elf32.c | 0 .../{src => }/pal/src/libunwind/src/elf32.h | 0 .../{src => }/pal/src/libunwind/src/elf64.c | 0 .../{src => }/pal/src/libunwind/src/elf64.h | 0 .../{src => }/pal/src/libunwind/src/elfxx.c | 0 .../{src => }/pal/src/libunwind/src/elfxx.h | 0 .../src/libunwind/src/hppa}/Gapply_reg_state.c | 0 .../src/libunwind/src/hppa/Gcreate_addr_space.c | 0 .../pal/src/libunwind/src/hppa/Gget_proc_info.c | 0 .../pal/src/libunwind/src/hppa/Gget_save_loc.c | 0 .../{src => }/pal/src/libunwind/src/hppa/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/hppa/Ginit.c | 0 .../pal/src/libunwind/src/hppa/Ginit_local.c | 0 .../pal/src/libunwind/src/hppa/Ginit_remote.c | 0 .../pal/src/libunwind/src/hppa/Gis_signal_frame.c | 0 .../src/libunwind/src/hppa}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/hppa/Gregs.c | 0 .../{src => }/pal/src/libunwind/src/hppa/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/hppa/Gstep.c | 0 .../src/libunwind/src/hppa}/Lapply_reg_state.c | 0 .../src/libunwind/src/hppa}/Lcreate_addr_space.c | 0 .../src/libunwind/src/hppa}/Lget_proc_info.c | 0 .../src/libunwind/src/hppa}/Lget_save_loc.c | 0 .../sh => pal/src/libunwind/src/hppa}/Lglobal.c | 0 .../tilegx => pal/src/libunwind/src/hppa}/Linit.c | 0 .../src/libunwind/src/hppa}/Linit_local.c | 0 .../src/libunwind/src/hppa}/Linit_remote.c | 0 .../src/libunwind/src/hppa}/Lis_signal_frame.c | 0 .../src/libunwind/src/hppa}/Lreg_states_iterate.c | 0 .../tilegx => pal/src/libunwind/src/hppa}/Lregs.c | 0 .../src/libunwind/src/hppa}/Lresume.c | 0 .../tilegx => pal/src/libunwind/src/hppa}/Lstep.c | 0 .../pal/src/libunwind/src/hppa/get_accessors.c | 0 .../pal/src/libunwind/src/hppa/getcontext.S | 0 .../{src => }/pal/src/libunwind/src/hppa/init.h | 0 .../{src => }/pal/src/libunwind/src/hppa/offsets.h | 0 .../{src => }/pal/src/libunwind/src/hppa/regname.c | 0 .../pal/src/libunwind/src/hppa/setcontext.S | 0 .../pal/src/libunwind/src/hppa/siglongjmp.S | 0 .../{src => }/pal/src/libunwind/src/hppa/tables.c | 0 .../pal/src/libunwind/src/hppa/unwind_i.h | 0 .../pal/src/libunwind/src/ia64/Gapply_reg_state.c | 0 .../src/libunwind/src/ia64/Gcreate_addr_space.c | 0 .../src/libunwind/src/ia64/Gfind_unwind_table.c | 0 .../pal/src/libunwind/src/ia64/Gget_proc_info.c | 0 .../pal/src/libunwind/src/ia64/Gget_save_loc.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Ginit.c | 0 .../pal/src/libunwind/src/ia64/Ginit_local.c | 0 .../pal/src/libunwind/src/ia64/Ginit_remote.c | 0 .../pal/src/libunwind/src/ia64/Ginstall_cursor.S | 0 .../pal/src/libunwind/src/ia64/Gis_signal_frame.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Gparser.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Grbs.c | 0 .../src/libunwind/src/ia64/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Gregs.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Gscript.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Gstep.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Gtables.c | 0 .../src/libunwind/src/ia64}/Lapply_reg_state.c | 0 .../src/libunwind/src/ia64}/Lcreate_addr_space.c | 0 .../src/libunwind/src/ia64}/Lfind_unwind_table.c | 0 .../src/libunwind/src/ia64}/Lget_proc_info.c | 0 .../src/libunwind/src/ia64}/Lget_save_loc.c | 0 .../ppc64 => pal/src/libunwind/src/ia64}/Lglobal.c | 0 .../src/sh => pal/src/libunwind/src/ia64}/Linit.c | 0 .../src/libunwind/src/ia64}/Linit_local.c | 0 .../src/libunwind/src/ia64}/Linit_remote.c | 0 .../pal/src/libunwind/src/ia64/Linstall_cursor.S | 0 .../src/libunwind/src/ia64}/Lis_signal_frame.c | 0 .../dwarf => pal/src/libunwind/src/ia64}/Lparser.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Lrbs.c | 0 .../src/libunwind/src/ia64}/Lreg_states_iterate.c | 0 .../src/sh => pal/src/libunwind/src/ia64}/Lregs.c | 0 .../sh => pal/src/libunwind/src/ia64}/Lresume.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Lscript.c | 0 .../src/sh => pal/src/libunwind/src/ia64}/Lstep.c | 0 .../{src => }/pal/src/libunwind/src/ia64/Ltables.c | 0 .../{src => }/pal/src/libunwind/src/ia64/NOTES | 0 .../pal/src/libunwind/src/ia64/dyn_info_list.S | 0 .../pal/src/libunwind/src/ia64/getcontext.S | 0 .../{src => }/pal/src/libunwind/src/ia64/init.h | 0 .../{src => }/pal/src/libunwind/src/ia64/longjmp.S | 0 .../pal/src/libunwind/src/ia64/mk_cursor_i | 0 .../{src => }/pal/src/libunwind/src/ia64/offsets.h | 0 .../{src => }/pal/src/libunwind/src/ia64/regname.c | 0 .../{src => }/pal/src/libunwind/src/ia64/regs.h | 0 .../{src => }/pal/src/libunwind/src/ia64/setjmp.S | 0 .../pal/src/libunwind/src/ia64/siglongjmp.S | 0 .../pal/src/libunwind/src/ia64/sigsetjmp.S | 0 .../pal/src/libunwind/src/ia64/ucontext_i.h | 0 .../pal/src/libunwind/src/ia64/unwind_decoder.h | 0 .../pal/src/libunwind/src/ia64/unwind_i.h | 0 .../pal/src/libunwind/src/libunwind-generic.pc.in | 0 .../pal/src/libunwind/src/mi/Gdestroy_addr_space.c | 0 .../pal/src/libunwind/src/mi/Gdyn-extract.c | 0 .../pal/src/libunwind/src/mi/Gdyn-remote.c | 0 .../src/libunwind/src/mi/Gfind_dynamic_proc_info.c | 0 .../pal/src/libunwind/src/mi/Gget_accessors.c | 0 .../pal/src/libunwind/src/mi/Gget_fpreg.c | 0 .../src/libunwind/src/mi/Gget_proc_info_by_ip.c | 0 .../pal/src/libunwind/src/mi/Gget_proc_name.c | 0 .../{src => }/pal/src/libunwind/src/mi/Gget_reg.c | 0 .../libunwind/src/mi/Gput_dynamic_unwind_info.c | 0 .../pal/src/libunwind/src/mi/Gset_cache_size.c | 0 .../pal/src/libunwind/src/mi/Gset_caching_policy.c | 0 .../pal/src/libunwind/src/mi/Gset_fpreg.c | 0 .../{src => }/pal/src/libunwind/src/mi/Gset_reg.c | 0 .../pal/src/libunwind/src/mi/Ldestroy_addr_space.c | 0 .../pal/src/libunwind/src/mi/Ldyn-extract.c | 0 .../pal/src/libunwind/src/mi/Ldyn-remote.c | 0 .../src/libunwind/src/mi/Lfind_dynamic_proc_info.c | 0 .../pal/src/libunwind/src/mi/Lget_accessors.c | 0 .../pal/src/libunwind/src/mi/Lget_fpreg.c | 0 .../src/libunwind/src/mi/Lget_proc_info_by_ip.c | 0 .../pal/src/libunwind/src/mi/Lget_proc_name.c | 0 .../{src => }/pal/src/libunwind/src/mi/Lget_reg.c | 0 .../libunwind/src/mi/Lput_dynamic_unwind_info.c | 0 .../pal/src/libunwind/src/mi/Lset_cache_size.c | 0 .../pal/src/libunwind/src/mi/Lset_caching_policy.c | 0 .../pal/src/libunwind/src/mi/Lset_fpreg.c | 0 .../{src => }/pal/src/libunwind/src/mi/Lset_reg.c | 0 .../{src => }/pal/src/libunwind/src/mi/_ReadSLEB.c | 0 .../{src => }/pal/src/libunwind/src/mi/_ReadULEB.c | 0 .../{src => }/pal/src/libunwind/src/mi/backtrace.c | 0 .../pal/src/libunwind/src/mi/dyn-cancel.c | 0 .../pal/src/libunwind/src/mi/dyn-info-list.c | 0 .../pal/src/libunwind/src/mi/dyn-register.c | 0 .../pal/src/libunwind/src/mi/flush_cache.c | 0 .../{src => }/pal/src/libunwind/src/mi/init.c | 0 .../{src => }/pal/src/libunwind/src/mi/mempool.c | 0 .../{src => }/pal/src/libunwind/src/mi/strerror.c | 0 .../src/libunwind/src/mips}/Gapply_reg_state.c | 0 .../src/libunwind/src/mips/Gcreate_addr_space.c | 0 .../pal/src/libunwind/src/mips/Gget_proc_info.c | 0 .../pal/src/libunwind/src/mips/Gget_save_loc.c | 0 .../{src => }/pal/src/libunwind/src/mips/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/mips/Ginit.c | 0 .../pal/src/libunwind/src/mips/Ginit_local.c | 0 .../src/libunwind/src/mips}/Ginit_remote.c | 0 .../pal/src/libunwind/src/mips/Gis_signal_frame.c | 0 .../src/libunwind/src/mips}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/mips/Gregs.c | 0 .../{src => }/pal/src/libunwind/src/mips/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/mips/Gstep.c | 0 .../src/libunwind/src/mips}/Lapply_reg_state.c | 0 .../src/libunwind/src/mips}/Lcreate_addr_space.c | 0 .../src/libunwind/src/mips}/Lget_proc_info.c | 0 .../src/libunwind/src/mips}/Lget_save_loc.c | 0 .../ppc32 => pal/src/libunwind/src/mips}/Lglobal.c | 0 .../s390x => pal/src/libunwind/src/mips}/Linit.c | 0 .../src/libunwind/src/mips}/Linit_local.c | 0 .../src/libunwind/src/mips}/Linit_remote.c | 0 .../pal/src/libunwind/src/mips/Lis_signal_frame.c | 0 .../src/libunwind/src/mips}/Lreg_states_iterate.c | 0 .../s390x => pal/src/libunwind/src/mips}/Lregs.c | 0 .../s390x => pal/src/libunwind/src/mips}/Lresume.c | 0 .../s390x => pal/src/libunwind/src/mips}/Lstep.c | 0 .../tilegx => pal/src/libunwind/src/mips}/elfxx.c | 0 .../pal/src/libunwind/src/mips/gen-offsets.c | 0 .../pal/src/libunwind/src/mips/getcontext.S | 0 .../{src => }/pal/src/libunwind/src/mips/init.h | 0 .../pal/src/libunwind/src/mips/is_fpreg.c | 0 .../{src => }/pal/src/libunwind/src/mips/offsets.h | 0 .../{src => }/pal/src/libunwind/src/mips/regname.c | 0 .../pal/src/libunwind/src/mips/siglongjmp.S | 0 .../pal/src/libunwind/src/mips/unwind_i.h | 0 .../src/libunwind/src/oop/_OOP_find_proc_info.c | 0 .../pal/src/libunwind/src/oop/_OOP_internal.h | 0 .../{src => }/pal/src/libunwind/src/os-freebsd.c | 0 .../{src => }/pal/src/libunwind/src/os-hpux.c | 0 .../{src => }/pal/src/libunwind/src/os-linux.c | 0 .../{src => }/pal/src/libunwind/src/os-linux.h | 0 .../{src => }/pal/src/libunwind/src/os-qnx.c | 0 .../{src => }/pal/src/libunwind/src/os-solaris.c | 0 .../src/libunwind/src/ppc}/Gapply_reg_state.c | 0 .../pal/src/libunwind/src/ppc/Gget_proc_info.c | 0 .../pal/src/libunwind/src/ppc/Gget_save_loc.c | 0 .../pal/src/libunwind/src/ppc/Ginit_local.c | 0 .../pal/src/libunwind/src/ppc/Ginit_remote.c | 0 .../pal/src/libunwind/src/ppc/Gis_signal_frame.c | 0 .../src/libunwind/src/ppc}/Greg_states_iterate.c | 0 .../src/libunwind/src/ppc}/Lapply_reg_state.c | 0 .../pal/src/libunwind/src/ppc/Lget_proc_info.c | 0 .../pal/src/libunwind/src/ppc/Lget_save_loc.c | 0 .../pal/src/libunwind/src/ppc/Linit_local.c | 0 .../pal/src/libunwind/src/ppc/Linit_remote.c | 0 .../src/libunwind/src/ppc}/Lis_signal_frame.c | 0 .../src/libunwind/src/ppc}/Lreg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/ppc/longjmp.S | 0 .../pal/src/libunwind/src/ppc/siglongjmp.S | 0 .../src/libunwind/src/ppc32}/Gapply_reg_state.c | 0 .../src/libunwind/src/ppc32/Gcreate_addr_space.c | 0 .../pal/src/libunwind/src/ppc32/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/ppc32/Ginit.c | 0 .../src/libunwind/src/ppc32}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/ppc32/Gregs.c | 0 .../pal/src/libunwind/src/ppc32/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/ppc32/Gstep.c | 0 .../pal/src/libunwind/src/ppc32/Lapply_reg_state.c | 0 .../src/libunwind/src/ppc32}/Lcreate_addr_space.c | 0 .../mips => pal/src/libunwind/src/ppc32}/Lglobal.c | 0 .../ppc64 => pal/src/libunwind/src/ppc32}/Linit.c | 0 .../src/libunwind/src/ppc32/Lreg_states_iterate.c | 0 .../ppc64 => pal/src/libunwind/src/ppc32}/Lregs.c | 0 .../src/libunwind/src/ppc32}/Lresume.c | 0 .../ppc64 => pal/src/libunwind/src/ppc32}/Lstep.c | 0 .../pal/src/libunwind/src/ppc32/Make-arch.in | 0 .../pal/src/libunwind/src/ppc32/get_func_addr.c | 0 .../{src => }/pal/src/libunwind/src/ppc32/init.h | 0 .../pal/src/libunwind/src/ppc32/is_fpreg.c | 0 .../pal/src/libunwind/src/ppc32/regname.c | 0 .../pal/src/libunwind/src/ppc32/setcontext.S | 0 .../pal/src/libunwind/src/ppc32/ucontext_i.h | 0 .../pal/src/libunwind/src/ppc32/unwind_i.h | 0 .../src/libunwind/src/ppc64}/Gapply_reg_state.c | 0 .../src/libunwind/src/ppc64/Gcreate_addr_space.c | 0 .../pal/src/libunwind/src/ppc64/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/ppc64/Ginit.c | 0 .../src/libunwind/src/ppc64}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/ppc64/Gregs.c | 0 .../pal/src/libunwind/src/ppc64/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/ppc64/Gstep.c | 0 .../src/libunwind/src/ppc64}/Lapply_reg_state.c | 0 .../src/libunwind/src/ppc64}/Lcreate_addr_space.c | 0 .../ia64 => pal/src/libunwind/src/ppc64}/Lglobal.c | 0 .../ppc32 => pal/src/libunwind/src/ppc64}/Linit.c | 0 .../src/libunwind/src/ppc64}/Lreg_states_iterate.c | 0 .../ppc32 => pal/src/libunwind/src/ppc64}/Lregs.c | 0 .../src/libunwind/src/ppc64}/Lresume.c | 0 .../ppc32 => pal/src/libunwind/src/ppc64}/Lstep.c | 0 .../pal/src/libunwind/src/ppc64/get_func_addr.c | 0 .../{src => }/pal/src/libunwind/src/ppc64/init.h | 0 .../pal/src/libunwind/src/ppc64/is_fpreg.c | 0 .../pal/src/libunwind/src/ppc64/regname.c | 0 .../pal/src/libunwind/src/ppc64/setcontext.S | 0 .../pal/src/libunwind/src/ppc64/ucontext_i.h | 0 .../pal/src/libunwind/src/ppc64/unwind_i.h | 0 .../src/libunwind/src/ptrace/_UPT_access_fpreg.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_access_mem.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_access_reg.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_accessors.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_create.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_destroy.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_elf.c | 0 .../src/libunwind/src/ptrace/_UPT_find_proc_info.c | 0 .../src/ptrace/_UPT_get_dyn_info_list_addr.c | 0 .../src/libunwind/src/ptrace/_UPT_get_proc_name.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_internal.h | 0 .../libunwind/src/ptrace/_UPT_put_unwind_info.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_reg_offset.c | 0 .../pal/src/libunwind/src/ptrace/_UPT_resume.c | 0 .../libunwind/src/ptrace/libunwind-ptrace.pc.in | 0 .../src/libunwind/src/s390x}/Gapply_reg_state.c | 0 .../src/libunwind/src/s390x/Gcreate_addr_space.c | 0 .../src/libunwind/src/s390x}/Gget_proc_info.c | 0 .../pal/src/libunwind/src/s390x/Gget_save_loc.c | 0 .../pal/src/libunwind/src/s390x/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/s390x/Ginit.c | 0 .../src/libunwind/src/s390x}/Ginit_local.c | 0 .../src/libunwind/src/s390x}/Ginit_remote.c | 0 .../pal/src/libunwind/src/s390x/Gis_signal_frame.c | 0 .../src/libunwind/src/s390x}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/s390x/Gregs.c | 0 .../pal/src/libunwind/src/s390x/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/s390x/Gstep.c | 0 .../src/libunwind/src/s390x}/Lapply_reg_state.c | 0 .../src/libunwind/src/s390x}/Lcreate_addr_space.c | 0 .../src/libunwind/src/s390x}/Lget_proc_info.c | 0 .../src/libunwind/src/s390x}/Lget_save_loc.c | 0 .../src/libunwind/src/s390x}/Lglobal.c | 0 .../mips => pal/src/libunwind/src/s390x}/Linit.c | 0 .../src/libunwind/src/s390x}/Linit_local.c | 0 .../src/libunwind/src/s390x}/Linit_remote.c | 0 .../src/libunwind/src/s390x}/Lis_signal_frame.c | 0 .../src/libunwind/src/s390x}/Lreg_states_iterate.c | 0 .../mips => pal/src/libunwind/src/s390x}/Lregs.c | 0 .../mips => pal/src/libunwind/src/s390x}/Lresume.c | 0 .../mips => pal/src/libunwind/src/s390x}/Lstep.c | 0 .../pal/src/libunwind/src/s390x/getcontext.S | 0 .../{src => }/pal/src/libunwind/src/s390x/init.h | 0 .../pal/src/libunwind/src/s390x/is_fpreg.c | 0 .../pal/src/libunwind/src/s390x/regname.c | 0 .../pal/src/libunwind/src/s390x/setcontext.S | 0 .../pal/src/libunwind/src/s390x/unwind_i.h | 0 .../libunwind/src/setjmp/libunwind-setjmp.pc.in | 0 .../pal/src/libunwind/src/setjmp/longjmp.c | 0 .../pal/src/libunwind/src/setjmp/setjmp.c | 0 .../pal/src/libunwind/src/setjmp/setjmp_i.h | 0 .../pal/src/libunwind/src/setjmp/siglongjmp.c | 0 .../pal/src/libunwind/src/setjmp/sigsetjmp.c | 0 .../src/libunwind/src/sh}/Gapply_reg_state.c | 0 .../pal/src/libunwind/src/sh/Gcreate_addr_space.c | 0 .../src/libunwind/src/sh}/Gget_proc_info.c | 0 .../pal/src/libunwind/src/sh/Gget_save_loc.c | 0 .../{src => }/pal/src/libunwind/src/sh/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/sh/Ginit.c | 0 .../pal/src/libunwind/src/sh/Ginit_local.c | 0 .../src/libunwind/src/sh}/Ginit_remote.c | 0 .../pal/src/libunwind/src/sh/Gis_signal_frame.c | 0 .../src/libunwind/src/sh}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/sh/Gregs.c | 0 .../{src => }/pal/src/libunwind/src/sh/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/sh/Gstep.c | 0 .../src/libunwind/src/sh}/Lapply_reg_state.c | 0 .../src/libunwind/src/sh}/Lcreate_addr_space.c | 0 .../src/libunwind/src/sh}/Lget_proc_info.c | 0 .../src/libunwind/src/sh}/Lget_save_loc.c | 0 .../hppa => pal/src/libunwind/src/sh}/Lglobal.c | 0 .../src/ia64 => pal/src/libunwind/src/sh}/Linit.c | 0 .../src/libunwind/src/sh}/Linit_local.c | 0 .../src/libunwind/src/sh}/Linit_remote.c | 0 .../src/libunwind/src/sh}/Lis_signal_frame.c | 0 .../src/libunwind/src/sh}/Lreg_states_iterate.c | 0 .../src/ia64 => pal/src/libunwind/src/sh}/Lregs.c | 0 .../ia64 => pal/src/libunwind/src/sh}/Lresume.c | 0 .../src/ia64 => pal/src/libunwind/src/sh}/Lstep.c | 0 .../pal/src/libunwind/src/sh/gen-offsets.c | 0 .../{src => }/pal/src/libunwind/src/sh/init.h | 0 .../{src => }/pal/src/libunwind/src/sh/is_fpreg.c | 0 .../{src => }/pal/src/libunwind/src/sh/offsets.h | 0 .../{src => }/pal/src/libunwind/src/sh/regname.c | 0 .../pal/src/libunwind/src/sh/siglongjmp.S | 0 .../{src => }/pal/src/libunwind/src/sh/unwind_i.h | 0 .../src/libunwind/src/tilegx}/Gapply_reg_state.c | 0 .../src/libunwind/src/tilegx/Gcreate_addr_space.c | 0 .../pal/src/libunwind/src/tilegx/Gget_proc_info.c | 0 .../pal/src/libunwind/src/tilegx/Gget_save_loc.c | 0 .../pal/src/libunwind/src/tilegx/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/tilegx/Ginit.c | 0 .../pal/src/libunwind/src/tilegx/Ginit_local.c | 0 .../pal/src/libunwind/src/tilegx/Ginit_remote.c | 0 .../src/libunwind/src/tilegx/Gis_signal_frame.c | 0 .../libunwind/src/tilegx}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/tilegx/Gregs.c | 0 .../pal/src/libunwind/src/tilegx/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/tilegx/Gstep.c | 0 .../src/libunwind/src/tilegx}/Lapply_reg_state.c | 0 .../src/libunwind/src/tilegx}/Lcreate_addr_space.c | 0 .../src/libunwind/src/tilegx}/Lget_proc_info.c | 0 .../src/libunwind/src/tilegx}/Lget_save_loc.c | 0 .../arm => pal/src/libunwind/src/tilegx}/Lglobal.c | 0 .../hppa => pal/src/libunwind/src/tilegx}/Linit.c | 0 .../src/libunwind/src/tilegx}/Linit_local.c | 0 .../src/libunwind/src/tilegx}/Linit_remote.c | 0 .../src/libunwind/src/tilegx}/Lis_signal_frame.c | 0 .../libunwind/src/tilegx}/Lreg_states_iterate.c | 0 .../hppa => pal/src/libunwind/src/tilegx}/Lregs.c | 0 .../src/libunwind/src/tilegx}/Lresume.c | 0 .../hppa => pal/src/libunwind/src/tilegx}/Lstep.c | 0 .../mips => pal/src/libunwind/src/tilegx}/elfxx.c | 0 .../pal/src/libunwind/src/tilegx/gen-offsets.c | 0 .../pal/src/libunwind/src/tilegx/getcontext.S | 0 .../{src => }/pal/src/libunwind/src/tilegx/init.h | 0 .../pal/src/libunwind/src/tilegx/is_fpreg.c | 0 .../pal/src/libunwind/src/tilegx/offsets.h | 0 .../pal/src/libunwind/src/tilegx/regname.c | 0 .../pal/src/libunwind/src/tilegx/siglongjmp.S | 0 .../pal/src/libunwind/src/tilegx/unwind_i.h | 0 .../pal/src/libunwind/src/unwind/Backtrace.c | 0 .../pal/src/libunwind/src/unwind/DeleteException.c | 0 .../libunwind/src/unwind/FindEnclosingFunction.c | 0 .../pal/src/libunwind/src/unwind/ForcedUnwind.c | 0 .../pal/src/libunwind/src/unwind/GetBSP.c | 0 .../pal/src/libunwind/src/unwind/GetCFA.c | 0 .../pal/src/libunwind/src/unwind/GetDataRelBase.c | 0 .../{src => }/pal/src/libunwind/src/unwind/GetGR.c | 0 .../{src => }/pal/src/libunwind/src/unwind/GetIP.c | 0 .../pal/src/libunwind/src/unwind/GetIPInfo.c | 0 .../libunwind/src/unwind/GetLanguageSpecificData.c | 0 .../pal/src/libunwind/src/unwind/GetRegionStart.c | 0 .../pal/src/libunwind/src/unwind/GetTextRelBase.c | 0 .../pal/src/libunwind/src/unwind/RaiseException.c | 0 .../pal/src/libunwind/src/unwind/Resume.c | 0 .../src/libunwind/src/unwind/Resume_or_Rethrow.c | 0 .../{src => }/pal/src/libunwind/src/unwind/SetGR.c | 0 .../{src => }/pal/src/libunwind/src/unwind/SetIP.c | 0 .../pal/src/libunwind/src/unwind/libunwind.pc.in | 0 .../pal/src/libunwind/src/unwind/unwind-internal.h | 0 .../src/libunwind/src/win/pal-single-threaded.c | 0 .../src/libunwind/src/x86}/Gapply_reg_state.c | 0 .../pal/src/libunwind/src/x86/Gcreate_addr_space.c | 0 .../pal/src/libunwind/src/x86/Gget_proc_info.c | 0 .../pal/src/libunwind/src/x86/Gget_save_loc.c | 0 .../{src => }/pal/src/libunwind/src/x86/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/x86/Ginit.c | 0 .../pal/src/libunwind/src/x86/Ginit_local.c | 0 .../pal/src/libunwind/src/x86/Ginit_remote.c | 0 .../pal/src/libunwind/src/x86/Gos-freebsd.c | 0 .../pal/src/libunwind/src/x86/Gos-linux.c | 0 .../src/libunwind/src/x86}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/x86/Gregs.c | 0 .../{src => }/pal/src/libunwind/src/x86/Gresume.c | 0 .../{src => }/pal/src/libunwind/src/x86/Gstep.c | 0 .../src/libunwind/src/x86}/Lapply_reg_state.c | 0 .../src/libunwind/src/x86}/Lcreate_addr_space.c | 0 .../src/libunwind/src/x86}/Lget_proc_info.c | 0 .../src/libunwind/src/x86}/Lget_save_loc.c | 0 .../src/libunwind/src/x86}/Lglobal.c | 0 .../src/arm => pal/src/libunwind/src/x86}/Linit.c | 0 .../src/libunwind/src/x86}/Linit_local.c | 0 .../src/libunwind/src/x86}/Linit_remote.c | 0 .../pal/src/libunwind/src/x86/Los-freebsd.c | 0 .../pal/src/libunwind/src/x86/Los-linux.c | 0 .../src/libunwind/src/x86}/Lreg_states_iterate.c | 0 .../src/arm => pal/src/libunwind/src/x86}/Lregs.c | 0 .../arm => pal/src/libunwind/src/x86}/Lresume.c | 0 .../src/arm => pal/src/libunwind/src/x86}/Lstep.c | 0 .../pal/src/libunwind/src/x86/getcontext-freebsd.S | 0 .../pal/src/libunwind/src/x86/getcontext-linux.S | 0 .../{src => }/pal/src/libunwind/src/x86/init.h | 0 .../{src => }/pal/src/libunwind/src/x86/is_fpreg.c | 0 .../{src => }/pal/src/libunwind/src/x86/longjmp.S | 0 .../{src => }/pal/src/libunwind/src/x86/offsets.h | 0 .../{src => }/pal/src/libunwind/src/x86/regname.c | 0 .../pal/src/libunwind/src/x86/siglongjmp.S | 0 .../{src => }/pal/src/libunwind/src/x86/unwind_i.h | 0 .../src/libunwind/src/x86_64}/Gapply_reg_state.c | 0 .../src/libunwind/src/x86_64/Gcreate_addr_space.c | 0 .../src/libunwind/src/x86_64}/Gget_proc_info.c | 0 .../pal/src/libunwind/src/x86_64/Gget_save_loc.c | 0 .../pal/src/libunwind/src/x86_64/Gglobal.c | 0 .../{src => }/pal/src/libunwind/src/x86_64/Ginit.c | 0 .../src/libunwind/src/x86_64}/Ginit_local.c | 0 .../src/libunwind/src/x86_64}/Ginit_remote.c | 0 .../pal/src/libunwind/src/x86_64/Gos-freebsd.c | 0 .../pal/src/libunwind/src/x86_64/Gos-linux.c | 0 .../pal/src/libunwind/src/x86_64/Gos-solaris.c | 0 .../libunwind/src/x86_64}/Greg_states_iterate.c | 0 .../{src => }/pal/src/libunwind/src/x86_64/Gregs.c | 0 .../pal/src/libunwind/src/x86_64/Gresume.c | 0 .../pal/src/libunwind/src/x86_64/Gstash_frame.c | 0 .../{src => }/pal/src/libunwind/src/x86_64/Gstep.c | 0 .../pal/src/libunwind/src/x86_64/Gtrace.c | 0 .../src/libunwind/src/x86_64}/Lapply_reg_state.c | 0 .../src/libunwind/src/x86_64}/Lcreate_addr_space.c | 0 .../src/libunwind/src/x86_64}/Lget_proc_info.c | 0 .../src/libunwind/src/x86_64}/Lget_save_loc.c | 0 .../src/libunwind/src/x86_64}/Lglobal.c | 0 .../src/libunwind/src/x86_64}/Linit.c | 0 .../src/libunwind/src/x86_64}/Linit_local.c | 0 .../src/libunwind/src/x86_64}/Linit_remote.c | 0 .../src/libunwind/src/x86_64}/Los-freebsd.c | 0 .../src/libunwind/src/x86_64}/Los-linux.c | 0 .../pal/src/libunwind/src/x86_64/Los-solaris.c | 0 .../libunwind/src/x86_64}/Lreg_states_iterate.c | 0 .../src/libunwind/src/x86_64}/Lregs.c | 0 .../src/libunwind/src/x86_64}/Lresume.c | 0 .../src/libunwind/src/x86_64}/Lstash_frame.c | 0 .../src/libunwind/src/x86_64}/Lstep.c | 0 .../src/libunwind/src/x86_64}/Ltrace.c | 0 .../pal/src/libunwind/src/x86_64/getcontext.S | 0 .../{src => }/pal/src/libunwind/src/x86_64/init.h | 0 .../pal/src/libunwind/src/x86_64/is_fpreg.c | 0 .../pal/src/libunwind/src/x86_64/longjmp.S | 0 .../pal/src/libunwind/src/x86_64/offsets.h | 0 .../pal/src/libunwind/src/x86_64/regname.c | 0 .../pal/src/libunwind/src/x86_64/setcontext.S | 0 .../pal/src/libunwind/src/x86_64/siglongjmp.S | 0 .../pal/src/libunwind/src/x86_64/ucontext_i.h | 0 .../pal/src/libunwind/src/x86_64/unwind_i.h | 0 .../pal/src/libunwind/tests/Gia64-test-nat.c | 0 .../pal/src/libunwind/tests/Gia64-test-rbs.c | 0 .../pal/src/libunwind/tests/Gia64-test-readonly.c | 0 .../pal/src/libunwind/tests/Gia64-test-stack.c | 0 .../pal/src/libunwind/tests/Gperf-simple.c | 0 .../pal/src/libunwind/tests/Gperf-trace.c | 0 .../{src => }/pal/src/libunwind/tests/Gtest-bt.c | 0 .../pal/src/libunwind/tests/Gtest-concurrent.c | 0 .../{src => }/pal/src/libunwind/tests/Gtest-dyn1.c | 0 .../{src => }/pal/src/libunwind/tests/Gtest-exc.c | 0 .../pal/src/libunwind/tests/Gtest-init.cxx | 0 .../pal/src/libunwind/tests/Gtest-nomalloc.c | 0 .../pal/src/libunwind/tests/Gtest-resume-sig-rt.c | 0 .../pal/src/libunwind/tests/Gtest-resume-sig.c | 0 .../pal/src/libunwind/tests/Gtest-trace.c | 0 .../libunwind/tests/Gx64-test-dwarf-expressions.c | 0 .../pal/src/libunwind/tests/Lia64-test-nat.c | 0 .../pal/src/libunwind/tests/Lia64-test-rbs.c | 0 .../pal/src/libunwind/tests/Lia64-test-readonly.c | 0 .../pal/src/libunwind/tests/Lia64-test-stack.c | 0 .../pal/src/libunwind/tests/Lperf-simple.c | 0 .../pal/src/libunwind/tests/Lperf-trace.c | 0 .../{src => }/pal/src/libunwind/tests/Lrs-race.c | 0 .../{src => }/pal/src/libunwind/tests/Ltest-bt.c | 0 .../pal/src/libunwind/tests/Ltest-concurrent.c | 0 .../src/libunwind/tests/Ltest-cxx-exceptions.cxx | 0 .../{src => }/pal/src/libunwind/tests/Ltest-dyn1.c | 0 .../{src => }/pal/src/libunwind/tests/Ltest-exc.c | 0 .../libunwind/tests/Ltest-init-local-signal-lib.c | 0 .../src/libunwind/tests/Ltest-init-local-signal.c | 0 .../pal/src/libunwind/tests/Ltest-init.cxx | 0 .../pal/src/libunwind/tests/Ltest-mem-validate.c | 0 .../pal/src/libunwind/tests/Ltest-nocalloc.c | 0 .../pal/src/libunwind/tests/Ltest-nomalloc.c | 0 .../pal/src/libunwind/tests/Ltest-resume-sig-rt.c | 0 .../pal/src/libunwind/tests/Ltest-resume-sig.c | 0 .../pal/src/libunwind/tests/Ltest-trace.c | 0 .../pal/src/libunwind/tests/Ltest-varargs.c | 0 .../libunwind/tests/Lx64-test-dwarf-expressions.c | 0 .../{src => }/pal/src/libunwind/tests/Makefile.am | 0 .../pal/src/libunwind/tests/check-namespace.sh.in | 0 .../{src => }/pal/src/libunwind/tests/crasher.c | 0 .../pal/src/libunwind/tests/flush-cache.S | 0 .../pal/src/libunwind/tests/flush-cache.h | 0 .../{src => }/pal/src/libunwind/tests/forker.c | 0 .../pal/src/libunwind/tests/ia64-dyn-asm.S | 0 .../pal/src/libunwind/tests/ia64-test-dyn1.c | 0 .../pal/src/libunwind/tests/ia64-test-nat-asm.S | 0 .../pal/src/libunwind/tests/ia64-test-rbs-asm.S | 0 .../pal/src/libunwind/tests/ia64-test-rbs.h | 0 .../src/libunwind/tests/ia64-test-readonly-asm.S | 0 .../pal/src/libunwind/tests/ia64-test-setjmp.c | 0 .../pal/src/libunwind/tests/ia64-test-sig.c | 0 .../pal/src/libunwind/tests/ia64-test-stack-asm.S | 0 .../pal/src/libunwind/tests/ia64-test-stack.h | 0 .../{src => }/pal/src/libunwind/tests/ident.c | 0 .../{src => }/pal/src/libunwind/tests/mapper.c | 0 .../{src => }/pal/src/libunwind/tests/perf-startup | 0 .../src/libunwind/tests/ppc64-test-altivec-utils.c | 0 .../pal/src/libunwind/tests/ppc64-test-altivec.c | 0 .../pal/src/libunwind/tests/run-check-namespace | 0 .../pal/src/libunwind/tests/run-coredump-unwind | 0 .../src/libunwind/tests/run-coredump-unwind-mdi | 0 .../pal/src/libunwind/tests/run-ia64-test-dyn1 | 0 .../pal/src/libunwind/tests/run-ptrace-mapper | 0 .../pal/src/libunwind/tests/run-ptrace-misc | 0 .../pal/src/libunwind/tests/test-async-sig.c | 0 .../pal/src/libunwind/tests/test-coredump-unwind.c | 0 .../pal/src/libunwind/tests/test-flush-cache.c | 0 .../pal/src/libunwind/tests/test-init-remote.c | 0 .../{src => }/pal/src/libunwind/tests/test-mem.c | 0 .../pal/src/libunwind/tests/test-proc-info.c | 0 .../pal/src/libunwind/tests/test-ptrace-misc.c | 0 .../pal/src/libunwind/tests/test-ptrace.c | 0 .../pal/src/libunwind/tests/test-reg-state.c | 0 .../pal/src/libunwind/tests/test-setjmp.c | 0 .../pal/src/libunwind/tests/test-static-link-gen.c | 0 .../pal/src/libunwind/tests/test-static-link-loc.c | 0 .../pal/src/libunwind/tests/test-strerror.c | 0 .../libunwind/tests/x64-test-dwarf-expressions.S | 0 .../tests/x64-unwind-badjmp-signal-frame.c | 0 .../{src => }/pal/src/libunwind_mac/include/elf.h | 0 .../pal/src/libunwind_mac/include/endian.h | 0 .../src/libunwind_mac/include/fakestdalign.h.in | 0 .../src/libunwind_mac/include/fakestdatomic.h.in | 0 .../src/libunwind_mac/include}/freebsd-elf32.h | 0 .../src/libunwind_mac/include}/freebsd-elf64.h | 0 .../libunwind_mac/include}/freebsd-elf_common.h | 0 .../pal/src/libunwind_mac/include/ucontext.h | 0 .../pal/src/libunwind_mac/src/missing-functions.c | 0 src/coreclr/{src => }/pal/src/loader/module.cpp | 0 .../{src => }/pal/src/loader/modulename.cpp | 0 src/coreclr/{src => }/pal/src/locale/unicode.cpp | 0 .../{src => }/pal/src/locale/unicodedata.cpp | 0 .../{src => }/pal/src/locale/unicodedata.cs | 0 src/coreclr/{src => }/pal/src/locale/utf8.cpp | 0 src/coreclr/{src => }/pal/src/map/common.cpp | 0 src/coreclr/{src => }/pal/src/map/common.h | 0 src/coreclr/{src => }/pal/src/map/map.cpp | 0 src/coreclr/{src => }/pal/src/map/virtual.cpp | 0 src/coreclr/{src => }/pal/src/memory/local.cpp | 0 src/coreclr/{src => }/pal/src/misc/cgroup.cpp | 0 src/coreclr/{src => }/pal/src/misc/dbgmsg.cpp | 0 src/coreclr/{src => }/pal/src/misc/environ.cpp | 0 src/coreclr/{src => }/pal/src/misc/error.cpp | 0 .../{src => }/pal/src/misc/errorstrings.cpp | 0 src/coreclr/{src => }/pal/src/misc/errorstrings.h | 0 src/coreclr/{src => }/pal/src/misc/fmtmessage.cpp | 0 src/coreclr/{src => }/pal/src/misc/jitsupport.cpp | 0 src/coreclr/{src => }/pal/src/misc/miscpalapi.cpp | 0 src/coreclr/{src => }/pal/src/misc/msgbox.cpp | 0 src/coreclr/{src => }/pal/src/misc/perfjitdump.cpp | 0 src/coreclr/{src => }/pal/src/misc/perftrace.cpp | 0 src/coreclr/{src => }/pal/src/misc/strutil.cpp | 0 src/coreclr/{src => }/pal/src/misc/sysinfo.cpp | 0 src/coreclr/{src => }/pal/src/misc/time.cpp | 0 .../{src => }/pal/src/misc/tracepointprovider.cpp | 0 src/coreclr/{src => }/pal/src/misc/utils.cpp | 0 src/coreclr/{src => }/pal/src/numa/numa.cpp | 0 src/coreclr/{src => }/pal/src/numa/numashim.h | 0 .../{src => }/pal/src/objmgr/palobjbase.cpp | 0 .../{src => }/pal/src/objmgr/palobjbase.hpp | 0 src/coreclr/{src => }/pal/src/objmgr/shmobject.cpp | 0 src/coreclr/{src => }/pal/src/objmgr/shmobject.hpp | 0 .../{src => }/pal/src/objmgr/shmobjectmanager.cpp | 0 .../{src => }/pal/src/objmgr/shmobjectmanager.hpp | 0 src/coreclr/{src => }/pal/src/safecrt/cruntime.h | 0 src/coreclr/{src => }/pal/src/safecrt/input.inl | 0 src/coreclr/{src => }/pal/src/safecrt/internal.h | 0 .../{src => }/pal/src/safecrt/internal_securecrt.h | 0 .../{src => }/pal/src/safecrt/makepath_s.cpp | 0 .../{src => }/pal/src/safecrt/mbusafecrt.cpp | 0 .../pal/src/safecrt/mbusafecrt_internal.h | 0 src/coreclr/{src => }/pal/src/safecrt/memcpy_s.cpp | 0 .../{src => }/pal/src/safecrt/memmove_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/output.inl | 0 .../{src => }/pal/src/safecrt/safecrt_input_s.cpp | 0 .../{src => }/pal/src/safecrt/safecrt_output_l.cpp | 0 .../{src => }/pal/src/safecrt/safecrt_output_s.cpp | 0 .../{src => }/pal/src/safecrt/safecrt_winput_s.cpp | 0 .../pal/src/safecrt/safecrt_woutput_s.cpp | 0 .../{src => }/pal/src/safecrt/splitpath_s.cpp | 0 .../{src => }/pal/src/safecrt/sprintf_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/sscanf_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/strcat_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/strcpy_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/strlen_s.cpp | 0 .../{src => }/pal/src/safecrt/strncat_s.cpp | 0 .../{src => }/pal/src/safecrt/strncpy_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/strtok_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/swprintf.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/tcscat_s.inl | 0 src/coreclr/{src => }/pal/src/safecrt/tcscpy_s.inl | 0 .../{src => }/pal/src/safecrt/tcsncat_s.inl | 0 .../{src => }/pal/src/safecrt/tcsncpy_s.inl | 0 src/coreclr/{src => }/pal/src/safecrt/tcstok_s.inl | 0 .../{src => }/pal/src/safecrt/tmakepath_s.inl | 0 .../{src => }/pal/src/safecrt/tsplitpath_s.inl | 0 src/coreclr/{src => }/pal/src/safecrt/vsprintf.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/vswprint.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/wcscat_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/wcscpy_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/wcslen_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/wcslwr_s.cpp | 0 .../{src => }/pal/src/safecrt/wcsncat_s.cpp | 0 .../{src => }/pal/src/safecrt/wcsncpy_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/wcstok_s.cpp | 0 .../{src => }/pal/src/safecrt/wmakepath_s.cpp | 0 .../{src => }/pal/src/safecrt/wsplitpath_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/xtoa_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/xtow_s.cpp | 0 src/coreclr/{src => }/pal/src/safecrt/xtox_s.inl | 0 .../pal/src/sharedmemory/sharedmemory.cpp | 0 .../{src => }/pal/src/shmemory/shmemory.cpp | 0 src/coreclr/{src => }/pal/src/sync/cs.cpp | 0 .../pal/src/synchmgr/synchcontrollers.cpp | 0 .../{src => }/pal/src/synchmgr/synchmanager.cpp | 0 .../{src => }/pal/src/synchmgr/synchmanager.hpp | 0 src/coreclr/{src => }/pal/src/synchmgr/wait.cpp | 0 src/coreclr/{src => }/pal/src/synchobj/event.cpp | 0 src/coreclr/{src => }/pal/src/synchobj/mutex.cpp | 0 .../{src => }/pal/src/synchobj/semaphore.cpp | 0 src/coreclr/{src => }/pal/src/thread/context.cpp | 0 src/coreclr/{src => }/pal/src/thread/process.cpp | 0 .../{src => }/pal/src/thread/procprivate.hpp | 0 src/coreclr/{src => }/pal/src/thread/thread.cpp | 0 .../{src => }/pal/src/thread/threadsusp.cpp | 0 src/coreclr/{src => }/pal/tests/CMakeLists.txt | 0 .../{src => }/pal/tests/palsuite/CMakeLists.txt | 0 .../{src => }/pal/tests/palsuite/DisabledTests.txt | 0 .../{src => }/pal/tests/palsuite/README.txt | 0 .../palsuite/c_runtime/__iscsym/test1/__iscsym.cpp | 0 .../palsuite/c_runtime/_alloca/test1/test1.cpp | 0 .../palsuite/c_runtime/_fdopen/test1/test1.cpp | 0 .../palsuite/c_runtime/_finite/test1/test1.cpp | 0 .../palsuite/c_runtime/_finitef/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/_gcvt/test1/_gcvt.cpp | 0 .../tests/palsuite/c_runtime/_gcvt/test2/test2.cpp | 0 .../palsuite/c_runtime/_isnan/test1/test1.cpp | 0 .../palsuite/c_runtime/_isnanf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/_itow/test1/test1.cpp | 0 .../palsuite/c_runtime/_putenv/test1/test1.cpp | 0 .../palsuite/c_runtime/_putenv/test2/test2.cpp | 0 .../palsuite/c_runtime/_putenv/test3/test3.cpp | 0 .../palsuite/c_runtime/_putenv/test4/test4.cpp | 0 .../tests/palsuite/c_runtime/_rotl/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/_rotr/test1/test1.cpp | 0 .../palsuite/c_runtime/_snprintf_s/_snprintf_s.h | 0 .../palsuite/c_runtime/_snprintf_s/test1/test1.cpp | 0 .../c_runtime/_snprintf_s/test10/test10.cpp | 0 .../c_runtime/_snprintf_s/test11/test11.cpp | 0 .../c_runtime/_snprintf_s/test12/test12.cpp | 0 .../c_runtime/_snprintf_s/test13/test13.cpp | 0 .../c_runtime/_snprintf_s/test14/test14.cpp | 0 .../c_runtime/_snprintf_s/test15/test15.cpp | 0 .../c_runtime/_snprintf_s/test16/test16.cpp | 0 .../c_runtime/_snprintf_s/test17/test17.cpp | 0 .../c_runtime/_snprintf_s/test18/test18.cpp | 0 .../c_runtime/_snprintf_s/test19/test19.cpp | 0 .../palsuite/c_runtime/_snprintf_s/test2/test2.cpp | 0 .../palsuite/c_runtime/_snprintf_s/test3/test3.cpp | 0 .../palsuite/c_runtime/_snprintf_s/test4/test4.cpp | 0 .../palsuite/c_runtime/_snprintf_s/test6/test6.cpp | 0 .../palsuite/c_runtime/_snprintf_s/test7/test7.cpp | 0 .../palsuite/c_runtime/_snprintf_s/test8/test8.cpp | 0 .../palsuite/c_runtime/_snprintf_s/test9/test9.cpp | 0 .../palsuite/c_runtime/_snwprintf_s/_snwprintf_s.h | 0 .../c_runtime/_snwprintf_s/test1/test1.cpp | 0 .../c_runtime/_snwprintf_s/test10/test10.cpp | 0 .../c_runtime/_snwprintf_s/test11/test11.cpp | 0 .../c_runtime/_snwprintf_s/test12/test12.cpp | 0 .../c_runtime/_snwprintf_s/test13/test13.cpp | 0 .../c_runtime/_snwprintf_s/test14/test14.cpp | 0 .../c_runtime/_snwprintf_s/test15/test15.cpp | 0 .../c_runtime/_snwprintf_s/test16/test16.cpp | 0 .../c_runtime/_snwprintf_s/test17/test17.cpp | 0 .../c_runtime/_snwprintf_s/test18/test18.cpp | 0 .../c_runtime/_snwprintf_s/test19/test19.cpp | 0 .../c_runtime/_snwprintf_s/test2/test2.cpp | 0 .../c_runtime/_snwprintf_s/test3/test3.cpp | 0 .../c_runtime/_snwprintf_s/test4/test4.cpp | 0 .../c_runtime/_snwprintf_s/test6/test6.cpp | 0 .../c_runtime/_snwprintf_s/test7/test7.cpp | 0 .../c_runtime/_snwprintf_s/test8/test8.cpp | 0 .../c_runtime/_snwprintf_s/test9/test9.cpp | 0 .../palsuite/c_runtime/_stricmp/test1/test1.cpp | 0 .../palsuite/c_runtime/_strnicmp/test1/test1.cpp | 0 .../palsuite/c_runtime/_vsnprintf_s/_vsnprintf_s.h | 0 .../c_runtime/_vsnprintf_s/test1/test1.cpp | 0 .../c_runtime/_vsnprintf_s/test10/test10.cpp | 0 .../c_runtime/_vsnprintf_s/test11/test11.cpp | 0 .../c_runtime/_vsnprintf_s/test12/test12.cpp | 0 .../c_runtime/_vsnprintf_s/test13/test13.cpp | 0 .../c_runtime/_vsnprintf_s/test14/test14.cpp | 0 .../c_runtime/_vsnprintf_s/test15/test15.cpp | 0 .../c_runtime/_vsnprintf_s/test16/test16.cpp | 0 .../c_runtime/_vsnprintf_s/test17/test17.cpp | 0 .../c_runtime/_vsnprintf_s/test18/test18.cpp | 0 .../c_runtime/_vsnprintf_s/test19/test19.cpp | 0 .../c_runtime/_vsnprintf_s/test2/test2.cpp | 0 .../c_runtime/_vsnprintf_s/test3/test3.cpp | 0 .../c_runtime/_vsnprintf_s/test4/test4.cpp | 0 .../c_runtime/_vsnprintf_s/test6/test6.cpp | 0 .../c_runtime/_vsnprintf_s/test7/test7.cpp | 0 .../c_runtime/_vsnprintf_s/test8/test8.cpp | 0 .../c_runtime/_vsnprintf_s/test9/test9.cpp | 0 .../c_runtime/_vsnwprintf_s/_vsnwprintf_s.h | 0 .../c_runtime/_vsnwprintf_s/test1/test1.cpp | 0 .../c_runtime/_vsnwprintf_s/test10/test10.cpp | 0 .../c_runtime/_vsnwprintf_s/test11/test11.cpp | 0 .../c_runtime/_vsnwprintf_s/test12/test12.cpp | 0 .../c_runtime/_vsnwprintf_s/test13/test13.cpp | 0 .../c_runtime/_vsnwprintf_s/test14/test14.cpp | 0 .../c_runtime/_vsnwprintf_s/test15/test15.cpp | 0 .../c_runtime/_vsnwprintf_s/test16/test16.cpp | 0 .../c_runtime/_vsnwprintf_s/test17/test17.cpp | 0 .../c_runtime/_vsnwprintf_s/test18/test18.cpp | 0 .../c_runtime/_vsnwprintf_s/test19/test19.cpp | 0 .../c_runtime/_vsnwprintf_s/test2/test2.cpp | 0 .../c_runtime/_vsnwprintf_s/test3/test3.cpp | 0 .../c_runtime/_vsnwprintf_s/test4/test4.cpp | 0 .../c_runtime/_vsnwprintf_s/test6/test6.cpp | 0 .../c_runtime/_vsnwprintf_s/test7/test7.cpp | 0 .../c_runtime/_vsnwprintf_s/test8/test8.cpp | 0 .../c_runtime/_vsnwprintf_s/test9/test9.cpp | 0 .../palsuite/c_runtime/_wcsicmp/test1/test1.cpp | 0 .../palsuite/c_runtime/_wcslwr_s/test1/test1.cpp | 0 .../palsuite/c_runtime/_wcsnicmp/test1/test1.cpp | 0 .../palsuite/c_runtime/_wfopen/test1/test1.cpp | 0 .../palsuite/c_runtime/_wfopen/test2/test2.cpp | 0 .../palsuite/c_runtime/_wfopen/test3/test3.cpp | 0 .../palsuite/c_runtime/_wfopen/test4/test4.cpp | 0 .../palsuite/c_runtime/_wfopen/test5/test5.cpp | 0 .../palsuite/c_runtime/_wfopen/test6/test6.cpp | 0 .../palsuite/c_runtime/_wfopen/test7/test7.cpp | 0 .../tests/palsuite/c_runtime/_wtoi/test1/test1.cpp | 0 .../pal/tests/palsuite/c_runtime/abs/test1/abs.cpp | 0 .../tests/palsuite/c_runtime/acos/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/acosf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/acosh/test1/test1.cpp | 0 .../palsuite/c_runtime/acoshf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/asin/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/asinf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/asinh/test1/test1.cpp | 0 .../palsuite/c_runtime/asinhf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/atan/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/atan2/test1/test1.cpp | 0 .../palsuite/c_runtime/atan2f/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/atanf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/atanh/test1/test1.cpp | 0 .../palsuite/c_runtime/atanhf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/atof/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/atoi/test1/test1.cpp | 0 .../palsuite/c_runtime/bsearch/test1/test1.cpp | 0 .../palsuite/c_runtime/bsearch/test2/test2.cpp | 0 .../tests/palsuite/c_runtime/cbrt/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/cbrtf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/ceil/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/ceilf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/cos/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/cosf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/cosh/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/coshf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/errno/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/errno/test2/test2.cpp | 0 .../tests/palsuite/c_runtime/exit/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/exit/test2/test2.cpp | 0 .../tests/palsuite/c_runtime/exp/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/expf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fabs/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fabsf/test1/test1.cpp | 0 .../palsuite/c_runtime/fclose/test1/test1.cpp | 0 .../palsuite/c_runtime/fclose/test2/test2.cpp | 0 .../palsuite/c_runtime/ferror/test1/test1.cpp | 0 .../palsuite/c_runtime/ferror/test1}/testfile | 0 .../palsuite/c_runtime/ferror/test2/test2.cpp | 0 .../tests/palsuite/c_runtime/ferror/test2/testfile | 0 .../palsuite/c_runtime/fflush/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fgets/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fgets/test2/test2.cpp | 0 .../tests/palsuite/c_runtime/fgets/test3/test3.cpp | 0 .../tests/palsuite/c_runtime/floor/test1/test1.cpp | 0 .../palsuite/c_runtime/floorf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fma/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fmaf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fmod/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fmodf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fopen/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fopen/test2/test2.cpp | 0 .../tests/palsuite/c_runtime/fopen/test3/test3.cpp | 0 .../tests/palsuite/c_runtime/fopen/test4/test4.cpp | 0 .../tests/palsuite/c_runtime/fopen/test5/test5.cpp | 0 .../tests/palsuite/c_runtime/fopen/test6/test6.cpp | 0 .../tests/palsuite/c_runtime/fopen/test7/test7.cpp | 0 .../pal/tests/palsuite/c_runtime/fprintf/fprintf.h | 0 .../palsuite/c_runtime/fprintf/test1/test1.cpp | 0 .../palsuite/c_runtime/fprintf/test10/test10.cpp | 0 .../palsuite/c_runtime/fprintf/test11/test11.cpp | 0 .../palsuite/c_runtime/fprintf/test12/test12.cpp | 0 .../palsuite/c_runtime/fprintf/test13/test13.cpp | 0 .../palsuite/c_runtime/fprintf/test14/test14.cpp | 0 .../palsuite/c_runtime/fprintf/test15/test15.cpp | 0 .../palsuite/c_runtime/fprintf/test16/test16.cpp | 0 .../palsuite/c_runtime/fprintf/test17/test17.cpp | 0 .../palsuite/c_runtime/fprintf/test18/test18.cpp | 0 .../palsuite/c_runtime/fprintf/test19/test19.cpp | 0 .../palsuite/c_runtime/fprintf/test2/test2.cpp | 0 .../palsuite/c_runtime/fprintf/test3/test3.cpp | 0 .../palsuite/c_runtime/fprintf/test4/test4.cpp | 0 .../palsuite/c_runtime/fprintf/test5/test5.cpp | 0 .../palsuite/c_runtime/fprintf/test6/test6.cpp | 0 .../palsuite/c_runtime/fprintf/test7/test7.cpp | 0 .../palsuite/c_runtime/fprintf/test8/test8.cpp | 0 .../palsuite/c_runtime/fprintf/test9/test9.cpp | 0 .../tests/palsuite/c_runtime/fputs/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fputs/test2/test2.cpp | 0 .../tests/palsuite/c_runtime/fread/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fread/test1}/testfile | 0 .../tests/palsuite/c_runtime/fread/test2/test2.cpp | 0 .../tests/palsuite/c_runtime/fread/test2}/testfile | 0 .../tests/palsuite/c_runtime/fread/test3/test3.cpp | 0 .../tests/palsuite/c_runtime/fread/test3}/testfile | 0 .../tests/palsuite/c_runtime/free/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/fseek/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/ftell/test1/ftell.cpp | 0 .../palsuite/c_runtime/ftell/test1/testfile.txt | 0 .../tests/palsuite/c_runtime/fwprintf/fwprintf.h | 0 .../palsuite/c_runtime/fwprintf/test1/test1.cpp | 0 .../palsuite/c_runtime/fwprintf/test10/test10.cpp | 0 .../palsuite/c_runtime/fwprintf/test11/test11.cpp | 0 .../palsuite/c_runtime/fwprintf/test12/test12.cpp | 0 .../palsuite/c_runtime/fwprintf/test13/test13.cpp | 0 .../palsuite/c_runtime/fwprintf/test14/test14.cpp | 0 .../palsuite/c_runtime/fwprintf/test15/test15.cpp | 0 .../palsuite/c_runtime/fwprintf/test16/test16.cpp | 0 .../palsuite/c_runtime/fwprintf/test17/test17.cpp | 0 .../palsuite/c_runtime/fwprintf/test18/test18.cpp | 0 .../palsuite/c_runtime/fwprintf/test19/test19.cpp | 0 .../palsuite/c_runtime/fwprintf/test2/test2.cpp | 0 .../palsuite/c_runtime/fwprintf/test3/test3.cpp | 0 .../palsuite/c_runtime/fwprintf/test4/test4.cpp | 0 .../palsuite/c_runtime/fwprintf/test5/test5.cpp | 0 .../palsuite/c_runtime/fwprintf/test6/test6.cpp | 0 .../palsuite/c_runtime/fwprintf/test7/test7.cpp | 0 .../palsuite/c_runtime/fwprintf/test8/test8.cpp | 0 .../palsuite/c_runtime/fwprintf/test9/test9.cpp | 0 .../palsuite/c_runtime/fwrite/test1/test1.cpp | 0 .../palsuite/c_runtime/getenv/test1/test1.cpp | 0 .../palsuite/c_runtime/getenv/test2/test2.cpp | 0 .../palsuite/c_runtime/getenv/test3/test3.cpp | 0 .../tests/palsuite/c_runtime/ilogb/test1/test1.cpp | 0 .../palsuite/c_runtime/ilogbf/test1/test1.cpp | 0 .../palsuite/c_runtime/isalnum/test1/test1.cpp | 0 .../palsuite/c_runtime/isalpha/test1/test1.cpp | 0 .../palsuite/c_runtime/isdigit/test1/test1.cpp | 0 .../palsuite/c_runtime/islower/test1/test1.cpp | 0 .../palsuite/c_runtime/isprint/test1/isprint.cpp | 0 .../palsuite/c_runtime/isprint/test2/test2.cpp | 0 .../palsuite/c_runtime/isspace/test1/test1.cpp | 0 .../palsuite/c_runtime/isupper/test1/test1.cpp | 0 .../palsuite/c_runtime/iswdigit/test1/test1.cpp | 0 .../palsuite/c_runtime/iswprint/test1/test1.cpp | 0 .../palsuite/c_runtime/iswspace/test1/test1.cpp | 0 .../palsuite/c_runtime/iswupper/test1/test1.cpp | 0 .../palsuite/c_runtime/isxdigit/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/llabs/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/log/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/log10/test1/test1.cpp | 0 .../palsuite/c_runtime/log10f/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/log2/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/log2f/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/logf/test1/test1.cpp | 0 .../palsuite/c_runtime/malloc/test1/test1.cpp | 0 .../palsuite/c_runtime/malloc/test2/test2.cpp | 0 .../palsuite/c_runtime/memchr/test1/test1.cpp | 0 .../palsuite/c_runtime/memcmp/test1/test1.cpp | 0 .../palsuite/c_runtime/memcpy/test1/test1.cpp | 0 .../palsuite/c_runtime/memmove/test1/test1.cpp | 0 .../palsuite/c_runtime/memset/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/modf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/modff/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/pow/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/powf/test1/test1.cpp | 0 .../pal/tests/palsuite/c_runtime/printf/printf.h | 0 .../palsuite/c_runtime/printf/test1/test1.cpp | 0 .../palsuite/c_runtime/printf/test10/test10.cpp | 0 .../palsuite/c_runtime/printf/test11/test11.cpp | 0 .../palsuite/c_runtime/printf/test12/test12.cpp | 0 .../palsuite/c_runtime/printf/test13/test13.cpp | 0 .../palsuite/c_runtime/printf/test14/test14.cpp | 0 .../palsuite/c_runtime/printf/test15/test15.cpp | 0 .../palsuite/c_runtime/printf/test16/test16.cpp | 0 .../palsuite/c_runtime/printf/test17/test17.cpp | 0 .../palsuite/c_runtime/printf/test18/test18.cpp | 0 .../palsuite/c_runtime/printf/test19/test19.cpp | 0 .../palsuite/c_runtime/printf/test2/test2.cpp | 0 .../palsuite/c_runtime/printf/test3/test3.cpp | 0 .../palsuite/c_runtime/printf/test4/test4.cpp | 0 .../palsuite/c_runtime/printf/test5/test5.cpp | 0 .../palsuite/c_runtime/printf/test6/test6.cpp | 0 .../palsuite/c_runtime/printf/test7/test7.cpp | 0 .../palsuite/c_runtime/printf/test8/test8.cpp | 0 .../palsuite/c_runtime/printf/test9/test9.cpp | 0 .../tests/palsuite/c_runtime/qsort/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/qsort/test2/test2.cpp | 0 .../palsuite/c_runtime/rand_srand/test1/test1.cpp | 0 .../palsuite/c_runtime/realloc/test1/test1.cpp | 0 .../palsuite/c_runtime/scalbn/test1/test1.cpp | 0 .../palsuite/c_runtime/scalbnf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/sin/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/sinf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/sinh/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/sinhf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/sprintf_s/sprintf_s.h | 0 .../palsuite/c_runtime/sprintf_s/test1/test1.cpp | 0 .../palsuite/c_runtime/sprintf_s/test10/test10.cpp | 0 .../palsuite/c_runtime/sprintf_s/test11/test11.cpp | 0 .../palsuite/c_runtime/sprintf_s/test12/test12.cpp | 0 .../palsuite/c_runtime/sprintf_s/test13/test13.cpp | 0 .../palsuite/c_runtime/sprintf_s/test14/test14.cpp | 0 .../palsuite/c_runtime/sprintf_s/test15/test15.cpp | 0 .../palsuite/c_runtime/sprintf_s/test16/test16.cpp | 0 .../palsuite/c_runtime/sprintf_s/test17/test17.cpp | 0 .../palsuite/c_runtime/sprintf_s/test18/test18.cpp | 0 .../palsuite/c_runtime/sprintf_s/test19/test19.cpp | 0 .../palsuite/c_runtime/sprintf_s/test2/test2.cpp | 0 .../palsuite/c_runtime/sprintf_s/test3/test3.cpp | 0 .../palsuite/c_runtime/sprintf_s/test4/test4.cpp | 0 .../palsuite/c_runtime/sprintf_s/test6/test6.cpp | 0 .../palsuite/c_runtime/sprintf_s/test7/test7.cpp | 0 .../palsuite/c_runtime/sprintf_s/test8/test8.cpp | 0 .../palsuite/c_runtime/sprintf_s/test9/test9.cpp | 0 .../tests/palsuite/c_runtime/sqrt/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/sqrtf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/sscanf_s/sscanf_s.h | 0 .../palsuite/c_runtime/sscanf_s/test1/test1.cpp | 0 .../palsuite/c_runtime/sscanf_s/test10/test10.cpp | 0 .../palsuite/c_runtime/sscanf_s/test11/test11.cpp | 0 .../palsuite/c_runtime/sscanf_s/test12/test12.cpp | 0 .../palsuite/c_runtime/sscanf_s/test13/test13.cpp | 0 .../palsuite/c_runtime/sscanf_s/test14/test14.cpp | 0 .../palsuite/c_runtime/sscanf_s/test15/test15.cpp | 0 .../palsuite/c_runtime/sscanf_s/test16/test16.cpp | 0 .../palsuite/c_runtime/sscanf_s/test17/test17.cpp | 0 .../palsuite/c_runtime/sscanf_s/test2/test2.cpp | 0 .../palsuite/c_runtime/sscanf_s/test3/test3.cpp | 0 .../palsuite/c_runtime/sscanf_s/test4/test4.cpp | 0 .../palsuite/c_runtime/sscanf_s/test5/test5.cpp | 0 .../palsuite/c_runtime/sscanf_s/test6/test6.cpp | 0 .../palsuite/c_runtime/sscanf_s/test7/test7.cpp | 0 .../palsuite/c_runtime/sscanf_s/test8/test8.cpp | 0 .../palsuite/c_runtime/sscanf_s/test9/test9.cpp | 0 .../palsuite/c_runtime/strcat/test1/test1.cpp | 0 .../palsuite/c_runtime/strchr/test1/test1.cpp | 0 .../palsuite/c_runtime/strcmp/test1/test1.cpp | 0 .../palsuite/c_runtime/strcpy/test1/test1.cpp | 0 .../palsuite/c_runtime/strcspn/test1/test1.cpp | 0 .../palsuite/c_runtime/strlen/test1/test1.cpp | 0 .../palsuite/c_runtime/strncat/test1/test1.cpp | 0 .../palsuite/c_runtime/strncmp/test1/test1.cpp | 0 .../palsuite/c_runtime/strncpy/test1/test1.cpp | 0 .../palsuite/c_runtime/strpbrk/test1/test1.cpp | 0 .../palsuite/c_runtime/strrchr/test1/test1.cpp | 0 .../palsuite/c_runtime/strspn/test1/test1.cpp | 0 .../palsuite/c_runtime/strstr/test1/test1.cpp | 0 .../palsuite/c_runtime/strtod/test1/test1.cpp | 0 .../palsuite/c_runtime/strtod/test2/test2.cpp | 0 .../palsuite/c_runtime/strtok/test1/test1.cpp | 0 .../palsuite/c_runtime/strtoul/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/swprintf/swprintf.h | 0 .../palsuite/c_runtime/swprintf/test1/test1.cpp | 0 .../palsuite/c_runtime/swprintf/test10/test10.cpp | 0 .../palsuite/c_runtime/swprintf/test11/test11.cpp | 0 .../palsuite/c_runtime/swprintf/test12/test12.cpp | 0 .../palsuite/c_runtime/swprintf/test13/test13.cpp | 0 .../palsuite/c_runtime/swprintf/test14/test14.cpp | 0 .../palsuite/c_runtime/swprintf/test15/test15.cpp | 0 .../palsuite/c_runtime/swprintf/test16/test16.cpp | 0 .../palsuite/c_runtime/swprintf/test17/test17.cpp | 0 .../palsuite/c_runtime/swprintf/test18/test18.cpp | 0 .../palsuite/c_runtime/swprintf/test19/test19.cpp | 0 .../palsuite/c_runtime/swprintf/test2/test2.cpp | 0 .../palsuite/c_runtime/swprintf/test3/test3.cpp | 0 .../palsuite/c_runtime/swprintf/test4/test4.cpp | 0 .../palsuite/c_runtime/swprintf/test6/test6.cpp | 0 .../palsuite/c_runtime/swprintf/test7/test7.cpp | 0 .../palsuite/c_runtime/swprintf/test8/test8.cpp | 0 .../palsuite/c_runtime/swprintf/test9/test9.cpp | 0 .../pal/tests/palsuite/c_runtime/swscanf/swscanf.h | 0 .../palsuite/c_runtime/swscanf/test1/test1.cpp | 0 .../palsuite/c_runtime/swscanf/test10/test10.cpp | 0 .../palsuite/c_runtime/swscanf/test11/test11.cpp | 0 .../palsuite/c_runtime/swscanf/test12/test12.cpp | 0 .../palsuite/c_runtime/swscanf/test13/test13.cpp | 0 .../palsuite/c_runtime/swscanf/test14/test14.cpp | 0 .../palsuite/c_runtime/swscanf/test15/test15.cpp | 0 .../palsuite/c_runtime/swscanf/test16/test16.cpp | 0 .../palsuite/c_runtime/swscanf/test17/test17.cpp | 0 .../palsuite/c_runtime/swscanf/test2/test2.cpp | 0 .../palsuite/c_runtime/swscanf/test3/test3.cpp | 0 .../palsuite/c_runtime/swscanf/test4/test4.cpp | 0 .../palsuite/c_runtime/swscanf/test5/test5.cpp | 0 .../palsuite/c_runtime/swscanf/test6/test6.cpp | 0 .../palsuite/c_runtime/swscanf/test7/test7.cpp | 0 .../palsuite/c_runtime/swscanf/test8/test8.cpp | 0 .../palsuite/c_runtime/swscanf/test9/test9.cpp | 0 .../tests/palsuite/c_runtime/tan/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/tanf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/tanh/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/tanhf/test1/test1.cpp | 0 .../tests/palsuite/c_runtime/time/test1/test1.cpp | 0 .../palsuite/c_runtime/tolower/test1/test1.cpp | 0 .../palsuite/c_runtime/toupper/test1/test1.cpp | 0 .../palsuite/c_runtime/towlower/test1/test1.cpp | 0 .../palsuite/c_runtime/towupper/test1/test1.cpp | 0 .../palsuite/c_runtime/vfprintf/test1/test1.cpp | 0 .../palsuite/c_runtime/vfprintf/test10/test10.cpp | 0 .../palsuite/c_runtime/vfprintf/test11/test11.cpp | 0 .../palsuite/c_runtime/vfprintf/test12/test12.cpp | 0 .../palsuite/c_runtime/vfprintf/test13/test13.cpp | 0 .../palsuite/c_runtime/vfprintf/test14/test14.cpp | 0 .../palsuite/c_runtime/vfprintf/test15/test15.cpp | 0 .../palsuite/c_runtime/vfprintf/test16/test16.cpp | 0 .../palsuite/c_runtime/vfprintf/test17/test17.cpp | 0 .../palsuite/c_runtime/vfprintf/test18/test18.cpp | 0 .../palsuite/c_runtime/vfprintf/test19/test19.cpp | 0 .../palsuite/c_runtime/vfprintf/test2/test2.cpp | 0 .../palsuite/c_runtime/vfprintf/test3/test3.cpp | 0 .../palsuite/c_runtime/vfprintf/test4/test4.cpp | 0 .../palsuite/c_runtime/vfprintf/test5/test5.cpp | 0 .../palsuite/c_runtime/vfprintf/test6/test6.cpp | 0 .../palsuite/c_runtime/vfprintf/test7/test7.cpp | 0 .../palsuite/c_runtime/vfprintf/test8/test8.cpp | 0 .../palsuite/c_runtime/vfprintf/test9/test9.cpp | 0 .../tests/palsuite/c_runtime/vfprintf/vfprintf.h | 0 .../palsuite/c_runtime/vprintf/test1/test1.cpp | 0 .../palsuite/c_runtime/vprintf/test10/test10.cpp | 0 .../palsuite/c_runtime/vprintf/test11/test11.cpp | 0 .../palsuite/c_runtime/vprintf/test12/test12.cpp | 0 .../palsuite/c_runtime/vprintf/test13/test13.cpp | 0 .../palsuite/c_runtime/vprintf/test14/test14.cpp | 0 .../palsuite/c_runtime/vprintf/test15/test15.cpp | 0 .../palsuite/c_runtime/vprintf/test16/test16.cpp | 0 .../palsuite/c_runtime/vprintf/test17/test17.cpp | 0 .../palsuite/c_runtime/vprintf/test18/test18.cpp | 0 .../palsuite/c_runtime/vprintf/test19/test19.cpp | 0 .../palsuite/c_runtime/vprintf/test2/test2.cpp | 0 .../palsuite/c_runtime/vprintf/test3/test3.cpp | 0 .../palsuite/c_runtime/vprintf/test4/test4.cpp | 0 .../palsuite/c_runtime/vprintf/test5/test5.cpp | 0 .../palsuite/c_runtime/vprintf/test6/test6.cpp | 0 .../palsuite/c_runtime/vprintf/test7/test7.cpp | 0 .../palsuite/c_runtime/vprintf/test8/test8.cpp | 0 .../palsuite/c_runtime/vprintf/test9/test9.cpp | 0 .../pal/tests/palsuite/c_runtime/vprintf/vprintf.h | 0 .../palsuite/c_runtime/vsprintf/test1/test1.cpp | 0 .../palsuite/c_runtime/vsprintf/test10/test10.cpp | 0 .../palsuite/c_runtime/vsprintf/test11/test11.cpp | 0 .../palsuite/c_runtime/vsprintf/test12/test12.cpp | 0 .../palsuite/c_runtime/vsprintf/test13/test13.cpp | 0 .../palsuite/c_runtime/vsprintf/test14/test14.cpp | 0 .../palsuite/c_runtime/vsprintf/test15/test15.cpp | 0 .../palsuite/c_runtime/vsprintf/test16/test16.cpp | 0 .../palsuite/c_runtime/vsprintf/test17/test17.cpp | 0 .../palsuite/c_runtime/vsprintf/test18/test18.cpp | 0 .../palsuite/c_runtime/vsprintf/test19/test19.cpp | 0 .../palsuite/c_runtime/vsprintf/test2/test2.cpp | 0 .../palsuite/c_runtime/vsprintf/test3/test3.cpp | 0 .../palsuite/c_runtime/vsprintf/test4/test4.cpp | 0 .../palsuite/c_runtime/vsprintf/test6/test6.cpp | 0 .../palsuite/c_runtime/vsprintf/test7/test7.cpp | 0 .../palsuite/c_runtime/vsprintf/test8/test8.cpp | 0 .../palsuite/c_runtime/vsprintf/test9/test9.cpp | 0 .../tests/palsuite/c_runtime/vsprintf/vsprintf.h | 0 .../palsuite/c_runtime/vswprintf/test1/test1.cpp | 0 .../palsuite/c_runtime/vswprintf/test10/test10.cpp | 0 .../palsuite/c_runtime/vswprintf/test11/test11.cpp | 0 .../palsuite/c_runtime/vswprintf/test12/test12.cpp | 0 .../palsuite/c_runtime/vswprintf/test13/test13.cpp | 0 .../palsuite/c_runtime/vswprintf/test14/test14.cpp | 0 .../palsuite/c_runtime/vswprintf/test15/test15.cpp | 0 .../palsuite/c_runtime/vswprintf/test16/test16.cpp | 0 .../palsuite/c_runtime/vswprintf/test17/test17.cpp | 0 .../palsuite/c_runtime/vswprintf/test18/test18.cpp | 0 .../palsuite/c_runtime/vswprintf/test19/test19.cpp | 0 .../palsuite/c_runtime/vswprintf/test2/test2.cpp | 0 .../palsuite/c_runtime/vswprintf/test3/test3.cpp | 0 .../palsuite/c_runtime/vswprintf/test4/test4.cpp | 0 .../palsuite/c_runtime/vswprintf/test6/test6.cpp | 0 .../palsuite/c_runtime/vswprintf/test7/test7.cpp | 0 .../palsuite/c_runtime/vswprintf/test8/test8.cpp | 0 .../palsuite/c_runtime/vswprintf/test9/test9.cpp | 0 .../tests/palsuite/c_runtime/vswprintf/vswprintf.h | 0 .../palsuite/c_runtime/wcscat/test1/test1.cpp | 0 .../palsuite/c_runtime/wcschr/test1/test1.cpp | 0 .../palsuite/c_runtime/wcscmp/test1/test1.cpp | 0 .../palsuite/c_runtime/wcscpy/test1/test1.cpp | 0 .../palsuite/c_runtime/wcslen/test1/test1.cpp | 0 .../palsuite/c_runtime/wcsncmp/test1/test1.cpp | 0 .../palsuite/c_runtime/wcsncpy/test1/test1.cpp | 0 .../palsuite/c_runtime/wcspbrk/test1/test1.cpp | 0 .../palsuite/c_runtime/wcsrchr/test1/test1.cpp | 0 .../palsuite/c_runtime/wcsstr/test1/test1.cpp | 0 .../palsuite/c_runtime/wcstod/test1/test1.cpp | 0 .../palsuite/c_runtime/wcstod/test2/test2.cpp | 0 .../palsuite/c_runtime/wcstok/test1/test1.cpp | 0 .../palsuite/c_runtime/wcstoul/test1/test1.cpp | 0 .../palsuite/c_runtime/wcstoul/test2/test2.cpp | 0 .../palsuite/c_runtime/wcstoul/test3/test3.cpp | 0 .../palsuite/c_runtime/wcstoul/test4/test4.cpp | 0 .../palsuite/c_runtime/wcstoul/test5/test5.cpp | 0 .../palsuite/c_runtime/wcstoul/test6/test6.cpp | 0 .../palsuite/c_runtime/wprintf/test1/test1.cpp | 0 .../palsuite/c_runtime/wprintf/test2/test2.cpp | 0 .../pal/tests/palsuite/c_runtime/wprintf/wprintf.h | 0 .../pal/tests/palsuite/common/palsuite.cpp | 0 .../{src => }/pal/tests/palsuite/common/palsuite.h | 0 .../pal/tests/palsuite/compilableTests.txt | 0 .../pal/tests/palsuite/compileDisabledTests.txt | 0 .../object_management/event/nonshared/event.cpp | 0 .../object_management/event/nonshared/main.cpp | 0 .../object_management/event/shared/event.cpp | 0 .../object_management/event/shared/main.cpp | 0 .../object_management/mutex/nonshared/main.cpp | 0 .../object_management/mutex/nonshared/mutex.cpp | 0 .../object_management/mutex/shared/main.cpp | 0 .../object_management/mutex/shared/mutex.cpp | 0 .../composite/object_management/readme.txt | 0 .../object_management/semaphore/nonshared/main.cpp | 0 .../semaphore/nonshared/semaphore.cpp | 0 .../object_management/semaphore/shared/main.cpp | 0 .../semaphore/shared/semaphore.cpp | 0 .../criticalsection/criticalsection.cpp | 0 .../criticalsection/mainWrapper.cpp | 0 .../synchronization/criticalsection/readme.txt | 0 .../nativecriticalsection/mtx_critsect.cpp | 0 .../nativecriticalsection/mtx_critsect.h | 0 .../pal_composite_native_cs.cpp | 0 .../nativecriticalsection/readme.txt | 0 .../nativecriticalsection}/resultbuffer.cpp | 0 .../nativecriticalsection}/resultbuffer.h | 0 .../nativecs_interlocked/hpitinterlock.s | 0 .../nativecs_interlocked/interlocked.cpp | 0 .../synchronization/nativecs_interlocked/makefile | 0 .../nativecs_interlocked/mtx_critsect.cpp | 0 .../nativecs_interlocked/mtx_critsect.h | 0 .../synchronization/nativecs_interlocked/notes.txt | 0 .../pal_composite_native_cs.cpp | 0 .../nativecs_interlocked/readme.txt | 0 .../nativecs_interlocked}/resultbuffer.cpp | 0 .../nativecs_interlocked}/resultbuffer.h | 0 .../nativecs_interlocked/sparcinterloc.s | 0 .../threading/threadsuspension/mainWrapper.cpp | 0 .../threading/threadsuspension}/readme.txt | 0 .../threadsuspension/threadsuspension.cpp | 0 .../threadsuspension_switchthread/mainWrapper.cpp | 0 .../threadsuspension_switchthread}/readme.txt | 0 .../threadsuspension.cpp | 0 .../pal/tests/palsuite/composite/wfmo/main.cpp | 0 .../pal/tests/palsuite/composite/wfmo/mutex.cpp | 0 .../pal/tests/palsuite/composite/wfmo/readme.txt | 0 .../palsuite/debug_api/DebugBreak/test1/test1.cpp | 0 .../debug_api/OutputDebugStringA/test1/helper.cpp | 0 .../debug_api/OutputDebugStringA/test1/test1.cpp | 0 .../debug_api/OutputDebugStringW/test1/test1.cpp | 0 .../WriteProcessMemory/test1/commonconsts.h | 0 .../debug_api/WriteProcessMemory/test1/helper.cpp | 0 .../debug_api/WriteProcessMemory/test1/test1.cpp | 0 .../WriteProcessMemory/test3/commonconsts.h | 0 .../debug_api/WriteProcessMemory/test3/helper.cpp | 0 .../debug_api/WriteProcessMemory/test3/test3.cpp | 0 .../debug_api/WriteProcessMemory/test4/helper.cpp | 0 .../debug_api/WriteProcessMemory/test4/test4.cpp | 0 .../tests/palsuite/eventprovider/CMakeLists.txt | 2 +- .../palsuite/eventprovider/EnableEventLogging.cpp | 0 .../PAL_EXCEPT_FILTER/test1/PAL_EXCEPT_FILTER.cpp | 0 .../PAL_EXCEPT_FILTER/test2/pal_except_filter.cpp | 0 .../PAL_EXCEPT_FILTER/test3/pal_except_filter.cpp | 0 .../test1/PAL_EXCEPT_FILTER_EX.cpp | 0 .../test2/pal_except_filter_ex.cpp | 0 .../test3/pal_except_filter.cpp | 0 .../PAL_TRY_EXCEPT/test1/PAL_TRY_EXCEPT.cpp | 0 .../PAL_TRY_EXCEPT/test2/PAL_TRY_EXCEPT.cpp | 0 .../PAL_TRY_EXCEPT_EX/test1/PAL_TRY_EXCEPT_EX.cpp | 0 .../PAL_TRY_EXCEPT_EX/test2/PAL_TRY_EXCEPT_EX.cpp | 0 .../PAL_TRY_EXCEPT_EX/test3/PAL_TRY_EXCEPT_EX.cpp | 0 .../test1/PAL_TRY_LEAVE_FINALLY.cpp | 0 .../RaiseException/test1/test1.cpp | 0 .../RaiseException/test2/test2.cpp | 0 .../RaiseException/test3/test.cpp | 0 .../exception_handling/pal_except/test1/test1.cpp | 0 .../exception_handling/pal_except/test2/test2.cpp | 0 .../exception_handling/pal_except/test3/test3.cpp | 0 .../exception_handling/pal_except/test4/test4.cpp | 0 .../exception_handling/pal_except/test5/test5.cpp | 0 .../exception_handling/pal_except/test6/test6.cpp | 0 .../exception_handling/pal_except/test7/test7.cpp | 0 .../pal_finally/test1/pal_finally.cpp | 0 .../pal_sxs/test1/CMakeLists.txt | 0 .../exception_handling/pal_sxs/test1/dlltest1.cpp | 0 .../exception_handling/pal_sxs/test1/dlltest1.src | 0 .../exception_handling/pal_sxs/test1/dlltest2.cpp | 0 .../exception_handling/pal_sxs/test1/dlltest2.src | 0 .../pal_sxs/test1/exceptionsxs.cpp | 0 .../exception_handling/pal_sxs/test1/testinfo.dat | 0 .../palsuite/file_io/CopyFileA/test1/CopyFileA.cpp | 0 .../palsuite/file_io/CopyFileA/test2/test2.cpp | 0 .../palsuite/file_io/CopyFileA/test3/test3.cpp | 0 .../palsuite/file_io/CopyFileA/test4/test4.cpp | 0 .../palsuite/file_io/CopyFileW/test1/CopyFileW.cpp | 0 .../file_io/CopyFileW/test1/ExpectedResults.txt | 0 .../palsuite/file_io/CopyFileW/test2/test2.cpp | 0 .../palsuite/file_io/CopyFileW/test3/test3.cpp | 0 .../file_io/CreateFileA/test1/CreateFileA.cpp | 0 .../palsuite/file_io/CreateFileA}/test1/winoutput | 0 .../file_io/CreateFileW/test1/CreateFileW.cpp | 0 .../palsuite/file_io/CreateFileW}/test1/winoutput | 0 .../file_io/DeleteFileA/test1/DeleteFileA.cpp | 0 .../file_io/DeleteFileW/test1/DeleteFileW.cpp | 0 .../FILECanonicalizePath/FILECanonicalizePath.cpp | 0 .../palsuite/file_io/FindClose/test1/FindClose.cpp | 0 .../FindFirstFileA/test1/FindFirstFileA.cpp | 0 .../FindFirstFileW/test1/FindFirstFileW.cpp | 0 .../file_io/FindNextFileA/test1/FindNextFileA.cpp | 0 .../file_io/FindNextFileA/test2/findnextfilea.cpp | 0 .../file_io/FindNextFileW/test1/FindNextFileW.cpp | 0 .../file_io/FindNextFileW/test2/findnextfilew.cpp | 0 .../FlushFileBuffers/test1/FlushFileBuffers.cpp | 0 .../test1/GetConsoleOutputCP.cpp | 0 .../test1/GetCurrentDirectoryA.cpp | 0 .../test1/GetCurrentDirectoryW.cpp | 0 .../test1/.hidden_directory}/keepme | 0 .../file_io/GetFileAttributesA}/test1/.hidden_file | 0 .../test1/.hidden_ro_directory}/keepme | 0 .../GetFileAttributesA}/test1/.hidden_ro_file | 0 .../test1/GetFileAttributesA.cpp | 0 .../GetFileAttributesA/test1/no_directory}/keepme | 0 .../file_io/GetFileAttributesA}/test1/no_file | 0 .../test1/normal_test_directory}/keepme | 0 .../GetFileAttributesA}/test1/normal_test_file | 0 .../test1/ro_test_directory}/keepme | 0 .../file_io/GetFileAttributesA}/test1/ro_test_file | 0 .../GetFileAttributesA/test1/rw_directory}/keepme | 0 .../file_io/GetFileAttributesA}/test1/rw_file | 0 .../test1/.hidden_directory/anchor.txt | 0 .../GetFileAttributesExW/test1/.hidden_file | 0 .../test1/normal_test_directory}/keepme | 0 .../GetFileAttributesExW/test1/normal_test_file | 0 .../test1/ro_test_directory}/keepme | 0 .../GetFileAttributesExW/test1/ro_test_file | 0 .../file_io/GetFileAttributesExW/test1/test1.cpp | 0 .../file_io/GetFileAttributesExW/test2/test2.cpp | 0 .../test1/.hidden_directory}/keepme | 0 .../file_io/GetFileAttributesW}/test1/.hidden_file | 0 .../test1/.hidden_ro_directory}/keepme | 0 .../GetFileAttributesW}/test1/.hidden_ro_file | 0 .../test1/GetFileAttributesW.cpp | 0 .../GetFileAttributesW/test1/no_directory}/keepme | 0 .../file_io/GetFileAttributesW}/test1/no_file | 0 .../test1/normal_test_directory}/keepme | 0 .../GetFileAttributesW}/test1/normal_test_file | 0 .../test1/ro_test_directory}/keepme | 0 .../file_io/GetFileAttributesW}/test1/ro_test_file | 0 .../file_io/GetFileAttributesW}/test1/rw_file | 0 .../test1/rw_test_directory}/keepme | 0 .../file_io/GetFileSize/test1/GetFileSize.cpp | 0 .../file_io/GetFileSizeEx/test1/GetFileSizeEx.cpp | 0 .../GetFullPathNameA/test1/GetFullPathNameA.cpp | 0 .../file_io/GetFullPathNameA/test2/test2.cpp | 0 .../file_io/GetFullPathNameA/test3/test3.cpp | 0 .../file_io/GetFullPathNameA/test4/test4.cpp | 0 .../GetFullPathNameW/test1/GetFullPathNameW.cpp | 0 .../file_io/GetFullPathNameW/test2/test2.cpp | 0 .../file_io/GetFullPathNameW/test3/test3.cpp | 0 .../file_io/GetFullPathNameW/test4/test4.cpp | 0 .../file_io/GetStdHandle/test1/GetStdHandle.cpp | 0 .../file_io/GetStdHandle/test2/GetStdHandle.cpp | 0 .../palsuite/file_io/GetSystemTime/test1/test.cpp | 0 .../test1/GetSystemTimeAsFileTime.cpp | 0 .../GetTempFileNameA/test1/GetTempFileNameA.cpp | 0 .../GetTempFileNameA/test2/GetTempFileNameA.cpp | 0 .../GetTempFileNameA/test3/gettempfilenamea.cpp | 0 .../GetTempFileNameW/test1/GetTempFileNameW.cpp | 0 .../GetTempFileNameW/test2/GetTempFileNameW.cpp | 0 .../GetTempFileNameW/test3/gettempfilenamew.cpp | 0 .../file_io/GetTempPathW/test1/GetTempPathW.cpp | 0 .../file_io/MoveFileExA}/test1/ExpectedResults.txt | 0 .../file_io/MoveFileExA/test1/MoveFileExA.cpp | 0 .../file_io/MoveFileExW}/test1/ExpectedResults.txt | 0 .../file_io/MoveFileExW/test1/MoveFileExW.cpp | 0 .../file_io/ReadFile/test1/NonReadableFile.txt | 0 .../palsuite/file_io/ReadFile/test1/ReadFile.cpp | 0 .../palsuite/file_io/ReadFile/test2/ReadFile.cpp | 0 .../palsuite/file_io/ReadFile/test3/ReadFile.cpp | 0 .../palsuite/file_io/ReadFile/test4/readfile.cpp | 0 .../file_io/SearchPathW/test1/SearchPathW.cpp | 0 .../file_io/SetEndOfFile/test1/SetEndOfFile.cpp | 0 .../file_io/SetEndOfFile/test2/SetEndOfFile.cpp | 0 .../file_io/SetEndOfFile/test3/SetEndOfFile.cpp | 0 .../file_io/SetEndOfFile/test4/setendoffile.cpp | 0 .../palsuite/file_io/SetEndOfFile/test5/test5.cpp | 0 .../SetFilePointer/test1/SetFilePointer.cpp | 0 .../SetFilePointer/test2/SetFilePointer.cpp | 0 .../SetFilePointer/test3/SetFilePointer.cpp | 0 .../SetFilePointer/test4/SetFilePointer.cpp | 0 .../SetFilePointer/test5/SetFilePointer.cpp | 0 .../SetFilePointer/test6/SetFilePointer.cpp | 0 .../SetFilePointer/test7/SetFilePointer.cpp | 0 .../palsuite/file_io/WriteFile/test1/WriteFile.cpp | 0 .../palsuite/file_io/WriteFile/test2/Results.txt | 0 .../palsuite/file_io/WriteFile/test2/WriteFile.cpp | 0 .../palsuite/file_io/WriteFile/test3/WriteFile.cpp | 0 .../palsuite/file_io/WriteFile/test4/writefile.cpp | 0 .../palsuite/file_io/WriteFile/test5/writefile.cpp | 0 .../file_io/errorpathnotfound/test1/test1.cpp | 0 .../file_io/errorpathnotfound/test2/test2.cpp | 0 .../file_io/gettemppatha/test1/gettemppatha.cpp | 0 .../CreateFileMapping_neg.cpp | 0 .../test1/CreateFileMappingW.cpp | 0 .../test2/CreateFileMappingW.cpp | 0 .../test3/CreateFileMappingW.cpp | 0 .../test4/CreateFileMappingW.cpp | 0 .../test5/CreateFileMappingW.cpp | 0 .../test6/CreateFileMappingW.cpp | 0 .../CreateFileMappingW/test7/createfilemapping.cpp | 0 .../CreateFileMappingW/test8/createfilemapping.cpp | 0 .../CreateFileMappingW/test9/createfilemapping.cpp | 0 .../FreeLibrary/test1/FreeLibrary.cpp | 0 .../FreeLibrary/test1/dlltest.cpp | 0 .../filemapping_memmgt/FreeLibrary/test2/test2.cpp | 0 .../FreeLibraryAndExitThread/test1/dlltest.cpp | 0 .../FreeLibraryAndExitThread/test1/test1.cpp | 0 .../test1/GetModuleFileNameA.cpp | 0 .../test2/GetModuleFileNameA.cpp | 0 .../test1/GetModuleFileNameW.cpp | 0 .../test2/GetModuleFileNameW.cpp | 0 .../GetProcAddress/test1/test1.cpp | 0 .../GetProcAddress/test1/testlib.cpp | 0 .../GetProcAddress/test2/test2.cpp | 0 .../GetProcAddress/test2/testlib.cpp | 0 .../LocalAlloc/test1/LocalAlloc.cpp | 0 .../LocalFree/test1/LocalFree.cpp | 0 .../LocalFree/test2/LocalFree.cpp | 0 .../MapViewOfFile/test1/MapViewOfFile.cpp | 0 .../MapViewOfFile/test2/MapViewOfFile.cpp | 0 .../MapViewOfFile/test3/MapViewOfFile.cpp | 0 .../MapViewOfFile/test4/mapviewoffile.cpp | 0 .../MapViewOfFile/test5/mapviewoffile.cpp | 0 .../MapViewOfFile/test6/mapviewoffile.cpp | 0 .../OpenFileMappingW/test1/OpenFileMappingW.cpp | 0 .../OpenFileMappingW/test2/OpenFileMappingW.cpp | 0 .../OpenFileMappingW/test3/OpenFileMappingW.cpp | 0 .../ProbeMemory_neg1/ProbeMemory_neg.cpp | 0 .../ProbeMemory/test1/ProbeMemory.cpp | 0 .../UnmapViewOfFile/test1/UnmapViewOfFile.cpp | 0 .../UnmapViewOfFile/test2/unmapviewoffile.cpp | 0 .../VirtualAlloc/test1/VirtualAlloc.cpp | 0 .../VirtualAlloc/test10/VirtualAlloc.cpp | 0 .../VirtualAlloc/test11/VirtualAlloc.cpp | 0 .../VirtualAlloc/test12/VirtualAlloc.cpp | 0 .../VirtualAlloc/test13/VirtualAlloc.cpp | 0 .../VirtualAlloc/test14/VirtualAlloc.cpp | 0 .../VirtualAlloc/test15/VirtualAlloc.cpp | 0 .../VirtualAlloc/test16/VirtualAlloc.cpp | 0 .../VirtualAlloc/test17/VirtualAlloc.cpp | 0 .../VirtualAlloc/test18/VirtualAlloc.cpp | 0 .../VirtualAlloc/test19/VirtualAlloc.cpp | 0 .../VirtualAlloc/test2/VirtualAlloc.cpp | 0 .../VirtualAlloc/test20/virtualalloc.cpp | 0 .../VirtualAlloc/test21/virtualalloc.cpp | 0 .../VirtualAlloc/test22/VirtualAlloc.cpp | 0 .../VirtualAlloc/test3/VirtualAlloc.cpp | 0 .../VirtualAlloc/test4/VirtualAlloc.cpp | 0 .../VirtualAlloc/test5/VirtualAlloc.cpp | 0 .../VirtualAlloc/test6/VirtualAlloc.cpp | 0 .../VirtualAlloc/test7/VirtualAlloc.cpp | 0 .../VirtualAlloc/test8/VirtualAlloc.cpp | 0 .../VirtualAlloc/test9/VirtualAlloc.cpp | 0 .../VirtualFree/test1/VirtualFree.cpp | 0 .../VirtualFree/test2/VirtualFree.cpp | 0 .../VirtualFree/test3/VirtualFree.cpp | 0 .../VirtualProtect/test1/VirtualProtect.cpp | 0 .../VirtualProtect/test2/VirtualProtect.cpp | 0 .../VirtualProtect/test3/VirtualProtect.cpp | 0 .../VirtualProtect/test4/VirtualProtect.cpp | 0 .../VirtualProtect/test6/VirtualProtect.cpp | 0 .../VirtualProtect/test7/VirtualProtect.cpp | 0 .../VirtualQuery/test1/VirtualQuery.cpp | 0 .../{src => }/pal/tests/palsuite/issues.targets | 0 .../loader/LoadLibraryA/test1/LoadLibraryA.cpp | 0 .../loader/LoadLibraryA/test2/LoadLibraryA.cpp | 0 .../loader/LoadLibraryA/test3/loadlibrarya.cpp | 0 .../loader/LoadLibraryA/test5/loadlibrarya.cpp | 0 .../loader/LoadLibraryA/test6}/dlltest.cpp | 0 .../loader/LoadLibraryA/test6/loadlibrarya.cpp | 0 .../loader/LoadLibraryA/test7/LoadLibraryA.cpp | 0 .../loader/LoadLibraryA/test8}/dlltest.cpp | 0 .../loader/LoadLibraryA/test8/loadlibrarya.cpp | 0 .../loader/LoadLibraryW/test1/LoadLibraryW.cpp | 0 .../loader/LoadLibraryW/test2/loadlibraryw.cpp | 0 .../loader/LoadLibraryW/test3/loadlibraryw.cpp | 0 .../loader/LoadLibraryW/test5/loadlibraryw.cpp | 0 .../locale_info/CompareStringA/test1/test1.cpp | 0 .../locale_info/CompareStringW/test1/test1.cpp | 0 .../palsuite/locale_info/GetACP/test1/test1.cpp | 0 .../locale_info/GetLocaleInfoW/test1/test1.cpp | 0 .../locale_info/GetLocaleInfoW/test2/test2.cpp | 0 .../MultiByteToWideChar/test1/test1.cpp | 0 .../MultiByteToWideChar/test2/test2.cpp | 0 .../MultiByteToWideChar/test3/test3.cpp | 0 .../MultiByteToWideChar/test4/test4.cpp | 0 .../WideCharToMultiByte/test1/test1.cpp | 0 .../WideCharToMultiByte/test2/test2.cpp | 0 .../WideCharToMultiByte/test3/test3.cpp | 0 .../WideCharToMultiByte/test4/test4.cpp | 0 .../WideCharToMultiByte/test5/test5.cpp | 0 .../pal/tests/palsuite/manual-inspect.dat | 0 .../pal/tests/palsuite/manual-unautomatable.dat | 0 .../palsuite/miscellaneous/CGroup/test1/test.cpp | 0 .../miscellaneous/CloseHandle/test1/test.cpp | 0 .../miscellaneous/CloseHandle/test2/test.cpp | 0 .../miscellaneous/CreatePipe/test1/test1.cpp | 0 .../FlushInstructionCache/test1/test1.cpp | 0 .../miscellaneous/FormatMessageW/test1/test.cpp | 0 .../miscellaneous/FormatMessageW/test2/test.cpp | 0 .../miscellaneous/FormatMessageW/test3/test.cpp | 0 .../miscellaneous/FormatMessageW/test4/test.cpp | 0 .../miscellaneous/FormatMessageW/test5/test.cpp | 0 .../miscellaneous/FormatMessageW/test6/test.cpp | 0 .../FreeEnvironmentStringsW/test1/test.cpp | 0 .../FreeEnvironmentStringsW/test2/test.cpp | 0 .../miscellaneous/GetCommandLineW/test1/test.cpp | 0 .../GetEnvironmentStringsW/test1/test.cpp | 0 .../GetEnvironmentVariableA/test1/test.cpp | 0 .../GetEnvironmentVariableA/test2/test.cpp | 0 .../GetEnvironmentVariableA/test3/test.cpp | 0 .../GetEnvironmentVariableA/test4/test.cpp | 0 .../GetEnvironmentVariableA/test5/test5.cpp | 0 .../GetEnvironmentVariableA/test6/test6.cpp | 0 .../GetEnvironmentVariableW/test1/test.cpp | 0 .../GetEnvironmentVariableW/test2/test.cpp | 0 .../GetEnvironmentVariableW/test3/test.cpp | 0 .../GetEnvironmentVariableW/test4/test.cpp | 0 .../GetEnvironmentVariableW/test5/test5.cpp | 0 .../GetEnvironmentVariableW/test6/test6.cpp | 0 .../miscellaneous/GetLastError/test1/test.cpp | 0 .../miscellaneous/GetSystemInfo/test1/test.cpp | 0 .../miscellaneous/GetTickCount/test1/test.cpp | 0 .../GlobalMemoryStatusEx/test1/test.cpp | 0 .../InterLockedExchangeAdd/test1/test.cpp | 0 .../miscellaneous/InterlockedBit/test1/test.cpp | 0 .../miscellaneous/InterlockedBit/test2/test.cpp | 0 .../InterlockedCompareExchange/test1/test.cpp | 0 .../InterlockedCompareExchange/test2/test.cpp | 0 .../InterlockedCompareExchange64/test1/test.cpp | 0 .../InterlockedCompareExchange64/test2/test.cpp | 0 .../test1/test.cpp | 0 .../InterlockedDecrement/test1/test.cpp | 0 .../InterlockedDecrement/test2/test.cpp | 0 .../InterlockedDecrement64/test1/test.cpp | 0 .../InterlockedDecrement64/test2/test.cpp | 0 .../InterlockedExchange/test1/test.cpp | 0 .../InterlockedExchange64/test1/test.cpp | 0 .../test1/InterlockedExchangePointer.cpp | 0 .../InterlockedIncrement/test1/test.cpp | 0 .../InterlockedIncrement/test2/test.cpp | 0 .../InterlockedIncrement64/test1/test.cpp | 0 .../InterlockedIncrement64/test2/test.cpp | 0 .../miscellaneous/IsBadCodePtr/test1/test1.cpp | 0 .../miscellaneous/IsBadReadPtr/test1/test.cpp | 0 .../miscellaneous/IsBadWritePtr/test1/test.cpp | 0 .../miscellaneous/IsBadWritePtr/test2/test2.cpp | 0 .../miscellaneous/IsBadWritePtr/test3/test3.cpp | 0 .../miscellaneous/MessageBoxW/test1/test.cpp | 0 .../miscellaneous/MessageBoxW/test2/test.cpp | 0 .../SetEnvironmentVariableA/test1/test1.cpp | 0 .../SetEnvironmentVariableA/test2/test2.cpp | 0 .../SetEnvironmentVariableA/test3/test3.cpp | 0 .../SetEnvironmentVariableA/test4/test4.cpp | 0 .../SetEnvironmentVariableW/test1/test.cpp | 0 .../SetEnvironmentVariableW/test2/test.cpp | 0 .../SetEnvironmentVariableW/test3/test3.cpp | 0 .../SetEnvironmentVariableW/test4/test4.cpp | 0 .../miscellaneous/SetLastError/test1/test.cpp | 0 .../palsuite/miscellaneous/_i64tow/test1/test1.cpp | 0 .../queryperformancecounter/test1/test1.cpp | 0 .../queryperformancefrequency/test1/test1.cpp | 0 .../test1/PAL_GetUserTempDirectoryW.cpp | 0 .../test1/PAL_Initialize_Terminate.cpp | 0 .../test2/pal_initialize_twice.cpp | 0 .../PAL_RegisterLibraryW_UnregisterLibraryW.cpp | 0 .../test2_neg/reg_unreg_libraryw_neg.cpp | 0 .../pal_specific/PAL_errno/test1/PAL_errno.cpp | 0 .../PAL_get_stderr/test1/PAL_get_stderr.cpp | 0 .../PAL_get_stdin/test1/PAL_get_stdin.cpp | 0 .../PAL_get_stdout/test1/PAL_get_stdout.cpp | 0 .../{src => }/pal/tests/palsuite/paltestlist.txt | 0 .../tests/palsuite/paltestlist_to_be_reviewed.txt | 0 .../{src => }/pal/tests/palsuite/paltests.cpp | 0 .../pal/tests/palsuite/producepaltestlist.proj | 0 .../{src => }/pal/tests/palsuite/runpaltests.sh | 0 .../pal/tests/palsuite/runpaltestshelix.sh | 0 .../pal/tests/palsuite/samples/test1/test.cpp | 0 .../pal/tests/palsuite/samples/test2/test.cpp | 0 .../{src => }/pal/tests/palsuite/smoketest.script | 0 .../{src => }/pal/tests/palsuite/tests-manual.dat | 0 .../threading/CreateEventW/test1/test1.cpp | 0 .../threading/CreateEventW/test2/test2.cpp | 0 .../threading/CreateEventW/test3/test3.cpp | 0 .../test1/CreateMutexW.cpp | 0 .../test2/CreateMutexW.cpp | 0 .../CreateProcessW/test1/childProcess.cpp | 0 .../CreateProcessW/test1/parentProcess.cpp | 0 .../CreateProcessW/test2/childprocess.cpp | 0 .../CreateProcessW/test2/parentprocess.cpp | 0 .../threading/CreateProcessW/test2/test2.h | 0 .../test1/CreateSemaphore.cpp | 0 .../test2/CreateSemaphore.cpp | 0 .../test3/createsemaphore.cpp | 0 .../threading/CreateThread/test1/test1.cpp | 0 .../threading/CreateThread/test2/test2.cpp | 0 .../threading/CreateThread/test3/test3.cpp | 0 .../test1/InitializeCriticalSection.cpp | 0 .../CriticalSectionFunctions/test2/test2.cpp | 0 .../CriticalSectionFunctions/test3/test3.cpp | 0 .../CriticalSectionFunctions/test4/test4.cpp | 0 .../CriticalSectionFunctions/test5/test5.cpp | 0 .../CriticalSectionFunctions/test6/test6.cpp | 0 .../CriticalSectionFunctions/test7/test7.cpp | 0 .../CriticalSectionFunctions/test8/test8.cpp | 0 .../DisableThreadLibraryCalls/test1/test1.cpp | 0 .../DisableThreadLibraryCalls/test1/testinfo.dat | 0 .../DisableThreadLibraryCalls/test1/testlib.cpp | 0 .../DisableThreadLibraryCalls/test2/test2.cpp | 0 .../DisableThreadLibraryCalls/test2/testinfo.dat | 0 .../threading/DuplicateHandle/test1/test1.cpp | 0 .../threading/DuplicateHandle/test10/test10.cpp | 0 .../DuplicateHandle/test11/childprocess.cpp | 0 .../threading/DuplicateHandle/test11/myexitcode.h | 0 .../threading/DuplicateHandle/test11/test11.cpp | 0 .../threading/DuplicateHandle/test12/test12.cpp | 0 .../threading/DuplicateHandle/test2/test2.cpp | 0 .../threading/DuplicateHandle/test3/test3.cpp | 0 .../threading/DuplicateHandle/test4/test4.cpp | 0 .../threading/DuplicateHandle/test5/test5.cpp | 0 .../threading/DuplicateHandle/test6/test6.cpp | 0 .../threading/DuplicateHandle/test7/test7.cpp | 0 .../threading/DuplicateHandle/test8/test8.cpp | 0 .../threading/DuplicateHandle/test9/test9.cpp | 0 .../threading/ExitProcess/test1/ExitProcess.cpp | 0 .../palsuite/threading/ExitProcess/test2/test2.cpp | 0 .../palsuite/threading/ExitProcess/test3/test3.cpp | 0 .../palsuite/threading/ExitThread/test1/test1.cpp | 0 .../threading/ExitThread/test2/childprocess.cpp | 0 .../threading/ExitThread/test2/myexitcode.h | 0 .../palsuite/threading/ExitThread/test2/test2.cpp | 0 .../threading/ExitThread/test3/dllmain.cpp | 0 .../palsuite/threading/ExitThread/test3/test3.cpp | 0 .../threading/ExitThread/test3/testinfo.dat | 0 .../threading/GetCurrentProcess/test1/process.cpp | 0 .../GetCurrentProcessId/test1/processId.cpp | 0 .../threading/GetCurrentThread/test1/thread.cpp | 0 .../threading/GetCurrentThread/test2/test2.cpp | 0 .../GetCurrentThreadId/test1/threadId.cpp | 0 .../GetExitCodeProcess/test1/childProcess.cpp | 0 .../GetExitCodeProcess/test1/myexitcode.h | 0 .../threading/GetExitCodeProcess/test1/test1.cpp | 0 .../threading/GetProcessTimes/test2/test2.cpp | 0 .../threading/GetThreadTimes/test1/test1.cpp | 0 .../threading/NamedMutex/test1/namedmutex.cpp | 0 .../palsuite/threading/NamedMutex/test1/nopal.cpp | 0 .../palsuite/threading/OpenEventW/test1/test1.cpp | 0 .../palsuite/threading/OpenEventW/test2/test2.cpp | 0 .../threading/OpenEventW/test3/childprocess.cpp | 0 .../palsuite/threading/OpenEventW/test3/test3.cpp | 0 .../palsuite/threading/OpenEventW/test4/test4.cpp | 0 .../palsuite/threading/OpenEventW/test5/test5.cpp | 0 .../threading/OpenProcess/test1/childProcess.cpp | 0 .../threading/OpenProcess/test1/myexitcode.h | 0 .../palsuite/threading/OpenProcess/test1/test1.cpp | 0 .../threading/QueryThreadCycleTime/test1/test1.cpp | 0 .../threading/QueueUserAPC/test1/test1.cpp | 0 .../threading/QueueUserAPC/test2/test2.cpp | 0 .../threading/QueueUserAPC/test3/test3.cpp | 0 .../threading/QueueUserAPC/test4/test4.cpp | 0 .../threading/QueueUserAPC/test5/test5.cpp | 0 .../threading/QueueUserAPC/test6/test6.cpp | 0 .../threading/QueueUserAPC/test7/test7.cpp | 0 .../threading/ReleaseMutex/test3/ReleaseMutex.cpp | 0 .../palsuite/threading/ResetEvent/test1/test1.cpp | 0 .../palsuite/threading/ResetEvent/test2/test2.cpp | 0 .../palsuite/threading/ResetEvent/test3/test3.cpp | 0 .../palsuite/threading/ResetEvent/test4/test4.cpp | 0 .../threading/ResumeThread/test1/test1.cpp | 0 .../threading/SetErrorMode/test1/test1.cpp | 0 .../palsuite/threading/SetEvent/test1/test1.cpp | 0 .../palsuite/threading/SetEvent/test2/test2.cpp | 0 .../palsuite/threading/SetEvent/test3/test3.cpp | 0 .../palsuite/threading/SetEvent/test4/test4.cpp | 0 .../SignalObjectAndWaitTest.cpp | 0 .../tests/palsuite/threading/Sleep/test1/Sleep.cpp | 0 .../tests/palsuite/threading/Sleep/test2/sleep.cpp | 0 .../palsuite/threading/SleepEx/test1/test1.cpp | 0 .../palsuite/threading/SleepEx/test2/test2.cpp | 0 .../threading/SwitchToThread/test1/test1.cpp | 0 .../TerminateProcess/test1/TerminateProcess.cpp | 0 .../ThreadPriority/test1/ThreadPriority.cpp | 0 .../WaitForMultipleObjects/test1/test1.cpp | 0 .../WaitForMultipleObjectsEx/test1/test1.cpp | 0 .../WaitForMultipleObjectsEx/test2/test2.cpp | 0 .../WaitForMultipleObjectsEx/test3/test3.cpp | 0 .../WaitForMultipleObjectsEx/test4/test4.cpp | 0 .../WaitForMultipleObjectsEx/test5/commonconsts.h | 0 .../WaitForMultipleObjectsEx/test5/helper.cpp | 0 .../WaitForMultipleObjectsEx/test5/test5.cpp | 0 .../WaitForMultipleObjectsEx/test6/child6.cpp | 0 .../WaitForMultipleObjectsEx/test6/test6.cpp | 0 .../WFSOExMutexTest/WFSOExMutexTest.cpp | 0 .../WFSOExSemaphoreTest/WFSOExSemaphoreTest.cpp | 0 .../WFSOExThreadTest/WFSOExThreadTest.cpp | 0 .../WFSOMutexTest/WFSOMutexTest.cpp | 0 .../WFSOProcessTest/ChildProcess.cpp | 0 .../WFSOProcessTest/WFSOProcessTest.cpp | 0 .../WFSOSemaphoreTest/WFSOSemaphoreTest.cpp | 0 .../WFSOThreadTest/WFSOThreadTest.cpp | 0 .../threading/WaitForSingleObject/test1/test1.cpp | 0 .../threading/YieldProcessor/test1/test1.cpp | 0 .../threading/releasesemaphore/test1/test.cpp | 0 .../{src => }/pal/tools/gen-dactable-rva.sh | 0 src/coreclr/{src => }/pal/tools/preptests.sh | 0 src/coreclr/{src => }/pal/tools/setup-ubuntuvm.sh | 0 src/coreclr/{src => }/pal/tools/smarty.sh | 0 src/coreclr/{src => }/palrt/CMakeLists.txt | 0 src/coreclr/{src => }/palrt/bstr.cpp | 0 src/coreclr/{src => }/palrt/coguid.cpp | 0 src/coreclr/{src => }/palrt/comem.cpp | 0 src/coreclr/{src => }/palrt/common.h | 0 src/coreclr/{src => }/palrt/guid.cpp | 0 src/coreclr/{src => }/palrt/memorystream.cpp | 0 src/coreclr/{src => }/palrt/path.cpp | 0 src/coreclr/{src => }/palrt/shlwapip.h | 0 src/coreclr/{src => }/palrt/variant.cpp | 0 src/coreclr/{src => }/scripts/genDummyProvider.py | 0 src/coreclr/{src => }/scripts/genEtwProvider.py | 0 src/coreclr/{src => }/scripts/genEventPipe.py | 0 src/coreclr/{src => }/scripts/genEventing.py | 0 src/coreclr/{src => }/scripts/genEventingTests.py | 0 src/coreclr/{src => }/scripts/genLttngProvider.py | 0 .../{src => }/scripts/genRuntimeEventSources.py | 0 src/coreclr/{src => }/scripts/pgocheck.py | 0 src/coreclr/{src => }/scripts/scripts.pyproj | 0 src/coreclr/scripts/superpmi.py | 8 +- src/coreclr/{src => }/scripts/utilities.py | 0 src/coreclr/src/CMakeLists.txt | 102 ---------------- src/coreclr/src/Directory.Build.props | 9 -- src/coreclr/src/Directory.Build.targets | 23 ---- src/coreclr/{src => }/tools/CMakeLists.txt | 0 .../{src => }/tools/Common/CommandLine/Argument.cs | 0 .../tools/Common/CommandLine/ArgumentCommand.cs | 0 .../tools/Common/CommandLine/ArgumentCommand_1.cs | 0 .../tools/Common/CommandLine/ArgumentLexer.cs | 0 .../tools/Common/CommandLine/ArgumentList_1.cs | 0 .../tools/Common/CommandLine/ArgumentParser.cs | 0 .../tools/Common/CommandLine/ArgumentSyntax.cs | 0 .../Common/CommandLine/ArgumentSyntaxException.cs | 0 .../Common/CommandLine/ArgumentSyntax_Definers.cs | 0 .../tools/Common/CommandLine/ArgumentToken.cs | 0 .../tools/Common/CommandLine/Argument_1.cs | 0 .../Common/CommandLine/CommandLineException.cs | 0 .../tools/Common/CommandLine/CommandLineHelpers.cs | 0 .../tools/Common/CommandLine/Enumerable.cs | 0 .../tools/Common/CommandLine/HelpTextGenerator.cs | 0 .../Common/CommandLine/Resources/Strings.resx | 0 .../Compiler/CodeGenerationFailedException.cs | 0 .../tools/Common/Compiler/CompilationBuilder.cs | 0 .../Common/Compiler/CompilationModuleGroup.cs | 0 .../CompilerTypeSystemContext.Validation.cs | 0 .../Common/Compiler/CompilerTypeSystemContext.cs | 0 .../tools/Common/Compiler/CoreRTNameMangler.cs | 0 .../DependencyAnalysis/AssemblyStubNode.cs | 0 .../DependencyAnalysis/CompilerComparer.cs | 0 .../EmbeddedDataContainerNode.cs | 0 .../Compiler/DependencyAnalysis/IMethodBodyNode.cs | 0 .../Compiler/DependencyAnalysis/IMethodNode.cs | 0 .../DependencyAnalysis/INodeWithCodeInfo.cs | 0 .../INodeWithRuntimeDeterminedDependencies.cs | 0 .../Compiler/DependencyAnalysis/ISortableNode.cs | 0 .../Compiler/DependencyAnalysis/ISymbolNode.cs | 0 .../DependencyAnalysis/MethodReadOnlyDataNode.cs | 0 .../ObjectAndOffsetSymbolNode.cs | 0 .../DependencyAnalysis/ObjectDataBuilder.cs | 0 .../Compiler/DependencyAnalysis/ObjectNode.cs | 0 .../DependencyAnalysis/ObjectNodeSection.cs | 0 .../Compiler/DependencyAnalysis/Relocation.cs | 0 .../DependencyAnalysis/ShadowConcreteMethodNode.cs | 0 .../DependencyAnalysis/SortableDependencyNode.cs | 0 .../DependencyAnalysis/Target_ARM/ARMEmitter.cs | 0 .../DependencyAnalysis/Target_ARM/Register.cs | 0 .../Target_ARM/TargetRegisterMap.cs | 0 .../Target_ARM64/ARM64Emitter.cs | 0 .../DependencyAnalysis/Target_ARM64/AddrMode.cs | 0 .../DependencyAnalysis/Target_ARM64/Register.cs | 0 .../Target_ARM64/TargetRegisterMap.cs | 0 .../DependencyAnalysis/Target_X64/AddrMode.cs | 0 .../DependencyAnalysis/Target_X64/Register.cs | 0 .../Target_X64/TargetRegisterMap.cs | 0 .../DependencyAnalysis/Target_X64/X64Emitter.cs | 0 .../DependencyAnalysis/Target_X86/AddrMode.cs | 0 .../DependencyAnalysis/Target_X86/Register.cs | 0 .../Target_X86/TargetRegisterMap.cs | 0 .../DependencyAnalysis/Target_X86/X86Emitter.cs | 0 .../Common/Compiler/DependencyTrackingLevel.cs | 0 .../Common/Compiler/DevirtualizationManager.cs | 0 .../Common/Compiler/HardwareIntrinsicHelpers.cs | 0 .../Common/Compiler/ICompilationRootProvider.cs | 0 .../tools/Common/Compiler/InstructionSetSupport.cs | 0 .../Compiler/InternalCompilerErrorException.cs | 0 .../{src => }/tools/Common/Compiler/Logger.cs | 0 .../{src => }/tools/Common/Compiler/NameMangler.cs | 0 .../Common/Compiler/SingleMethodRootProvider.cs | 0 .../tools/Common/Compiler/TypeExtensions.cs | 0 .../Common/Compiler/VectorFieldLayoutAlgorithm.cs | 0 .../Common/Internal/NativeFormat/NativeFormat.cs | 0 .../NativeFormat/NativeFormatWriter.Primitives.cs | 0 .../Internal/NativeFormat/NativeFormatWriter.cs | 0 .../tools/Common/Internal/Runtime/CorConstants.cs | 0 .../tools/Common/Internal/Runtime/ModuleHeaders.cs | 0 .../Common/Internal/Runtime/ReadyToRunConstants.cs | 0 .../Internal/Runtime/ReadyToRunInstructionSet.cs | 0 .../Runtime/ReadyToRunInstructionSetHelper.cs | 0 .../tools/Common/Internal/Text/Utf8String.cs | 0 .../Common/Internal/Text/Utf8StringBuilder.cs | 0 .../tools/Common/JitInterface/CorInfoBase.cs | 0 .../tools/Common/JitInterface/CorInfoHelpFunc.cs | 0 .../Common/JitInterface/CorInfoImpl.Intrinsics.cs | 0 .../tools/Common/JitInterface/CorInfoImpl.cs | 0 .../Common/JitInterface/CorInfoInstructionSet.cs | 0 .../Common/JitInterface/CorInfoTypes.VarInfo.cs | 0 .../tools/Common/JitInterface/CorInfoTypes.cs | 0 .../tools/Common/JitInterface/JitConfigProvider.cs | 0 .../tools/Common/JitInterface/MemoryHelper.cs | 0 .../JitInterface/SystemVStructClassificator.cs | 0 .../ThunkGenerator/InstructionSetDesc.txt | 0 .../ThunkGenerator/InstructionSetGenerator.cs | 0 .../Common/JitInterface/ThunkGenerator/Program.cs | 0 .../ThunkGenerator/ThunkGenerator.csproj | 0 .../JitInterface/ThunkGenerator/ThunkInput.txt | 0 .../Common/JitInterface/ThunkGenerator/gen.bat | 0 .../Common/JitInterface/ThunkGenerator/gen.sh | 0 .../tools/Common/JitInterface/TypeString.cs | 0 .../Common/JitInterface/UnboxingMethodDesc.cs | 0 .../tools/Common/Sorting/ArrayAccessor.cs | 0 .../tools/Common/Sorting/ICompareAsEqualAction.cs | 0 .../Sorting/ISortableDataStructureAccessor.cs | 0 .../{src => }/tools/Common/Sorting/ListAccessor.cs | 0 .../{src => }/tools/Common/Sorting/MergeSort.cs | 0 .../tools/Common/Sorting/MergeSortCore.cs | 0 .../System/Collections/Generic/ArrayBuilder.cs | 0 .../tools/Common/System/FormattingHelpers.cs | 0 .../Common/TypeSystem/Canon/ArrayType.Canon.cs | 0 .../Common/TypeSystem/Canon/ByRefType.Canon.cs | 0 .../TypeSystem/Canon/CanonTypes.Diagnostic.cs | 0 .../Common/TypeSystem/Canon/CanonTypes.Interop.cs | 0 .../Common/TypeSystem/Canon/CanonTypes.Sorting.cs | 0 .../tools/Common/TypeSystem/Canon/CanonTypes.cs | 0 .../tools/Common/TypeSystem/Canon/DefType.Canon.cs | 0 .../TypeSystem/Canon/FunctionPointerType.Canon.cs | 0 .../TypeSystem/Canon/GenericParameterDesc.Canon.cs | 0 .../TypeSystem/Canon/InstantiatedMethod.Canon.cs | 0 .../TypeSystem/Canon/InstantiatedType.Canon.cs | 0 .../Common/TypeSystem/Canon/MetadataType.Canon.cs | 0 .../TypeSystem/Canon/MethodDelegator.Canon.cs | 0 .../Common/TypeSystem/Canon/MethodDesc.Canon.cs | 0 .../Canon/MethodForInstantiatedType.Canon.cs | 0 .../TypeSystem/Canon/ParameterizedType.Canon.cs | 0 .../Common/TypeSystem/Canon/PointerType.Canon.cs | 0 .../TypeSystem/Canon/SignatureVariable.Canon.cs | 0 .../Canon/StandardCanonicalizationAlgorithm.cs | 0 .../Common/TypeSystem/Canon/TypeDesc.Canon.cs | 0 .../TypeSystem/Canon/TypeSystemContext.Canon.cs | 0 .../Common/TypeSystem/CodeGen/FieldDesc.CodeGen.cs | 0 .../TypeSystem/CodeGen/MethodDelegator.CodeGen.cs | 0 .../TypeSystem/CodeGen/MethodDesc.CodeGen.cs | 0 .../TypeSystem/CodeGen/TargetDetails.CodeGen.cs | 0 .../Common/TypeSystem/CodeGen/TypeDesc.CodeGen.cs | 0 .../Common/TypeSystem/Common/AlignmentHelper.cs | 0 .../TypeSystem/Common/ArrayMethod.Diagnostic.cs | 0 .../Common/ArrayOfTRuntimeInterfacesAlgorithm.cs | 0 .../tools/Common/TypeSystem/Common/ArrayType.cs | 0 .../Common/BaseTypeRuntimeInterfacesAlgorithm.cs | 0 .../tools/Common/TypeSystem/Common/ByRefType.cs | 0 .../Common/TypeSystem/Common/CastingHelper.cs | 0 .../Common/ConstructedTypeRewritingHelpers.cs | 0 .../Common/TypeSystem/Common/DefType.Diagnostic.cs | 0 .../TypeSystem/Common/DefType.Dummy.Diagnostic.cs | 0 .../TypeSystem/Common/DefType.FieldLayout.cs | 0 .../tools/Common/TypeSystem/Common/DefType.cs | 0 .../Common/TypeSystem/Common/ExceptionStringID.cs | 0 .../TypeSystem/Common/ExplicitLayoutValidator.cs | 0 .../TypeSystem/Common/FieldDesc.FieldLayout.cs | 0 .../Common/TypeSystem/Common/FieldDesc.ToString.cs | 0 .../tools/Common/TypeSystem/Common/FieldDesc.cs | 0 .../TypeSystem/Common/FieldForInstantiatedType.cs | 0 .../TypeSystem/Common/FieldLayoutAlgorithm.cs | 0 .../TypeSystem/Common/FunctionPointerType.cs | 0 .../Common/GenericParameterDesc.Diagnostic.cs | 0 .../GenericParameterDesc.Dummy.Diagnostic.cs | 0 .../TypeSystem/Common/GenericParameterDesc.cs | 0 .../Common/TypeSystem/Common/IAssemblyDesc.cs | 0 .../Common/TypeSystem/Common/IModuleResolver.cs | 0 .../Common/InstantiatedMethod.Diagnostic.cs | 0 .../Common/TypeSystem/Common/InstantiatedMethod.cs | 0 .../Common/InstantiatedType.Diagnostic.cs | 0 .../Common/InstantiatedType.Interfaces.cs | 0 .../Common/InstantiatedType.MethodImpls.cs | 0 .../Common/TypeSystem/Common/InstantiatedType.cs | 0 .../Common/TypeSystem/Common/Instantiation.cs | 0 .../tools/Common/TypeSystem/Common/LayoutInt.cs | 0 .../tools/Common/TypeSystem/Common/LinqPoison.cs | 0 .../TypeSystem/Common/LocalVariableDefinition.cs | 0 .../Common/MetadataFieldLayoutAlgorithm.cs | 0 .../Common/MetadataRuntimeInterfacesAlgorithm.cs | 0 .../TypeSystem/Common/MetadataType.Interfaces.cs | 0 .../TypeSystem/Common/MetadataType.MethodImpls.cs | 0 .../tools/Common/TypeSystem/Common/MetadataType.cs | 0 .../TypeSystem/Common/MetadataTypeSystemContext.cs | 0 .../Common/MetadataVirtualMethodAlgorithm.cs | 0 .../Common/MethodDelegator.Diagnostic.cs | 0 .../Common/TypeSystem/Common/MethodDelegator.cs | 0 .../TypeSystem/Common/MethodDesc.Diagnostic.cs | 0 .../Common/MethodDesc.Dummy.Diagnostic.cs | 0 .../TypeSystem/Common/MethodDesc.ToString.cs | 0 .../tools/Common/TypeSystem/Common/MethodDesc.cs | 0 .../Common/MethodForInstantiatedType.Diagnostic.cs | 0 .../TypeSystem/Common/MethodForInstantiatedType.cs | 0 .../tools/Common/TypeSystem/Common/ModuleDesc.cs | 0 .../Common/TypeSystem/Common/ParameterizedType.cs | 0 .../tools/Common/TypeSystem/Common/PointerType.cs | 0 .../TypeSystem/Common/Properties/Resources.resx | 0 .../Common/TypeSystem/Common/PropertySignature.cs | 0 .../Common/RuntimeInterfacesAlgorithm.cs | 0 .../Common/TypeSystem/Common/SignatureVariable.cs | 0 .../TypeSystem/Common/TargetDetails.ToString.cs | 0 .../Common/TypeSystem/Common/TargetDetails.cs | 0 .../Common/TypeSystem/Common/ThreadSafeFlags.cs | 0 .../Common/TypeSystem/Common/ThrowHelper.Common.cs | 0 .../tools/Common/TypeSystem/Common/ThrowHelper.cs | 0 .../TypeSystem/Common/TypeDesc.Interfaces.cs | 0 .../Common/TypeSystem/Common/TypeDesc.ToString.cs | 0 .../tools/Common/TypeSystem/Common/TypeDesc.cs | 0 .../tools/Common/TypeSystem/Common/TypeFlags.cs | 0 .../TypeSystem/Common/TypeHashingAlgorithms.cs | 0 .../Common/TypeSystemConstraintsHelpers.cs | 0 .../Common/TypeSystem/Common/TypeSystemContext.cs | 0 .../Common/TypeSystem/Common/TypeSystemEntity.cs | 0 .../Common/TypeSystemException.Resources.cs | 0 .../TypeSystem/Common/TypeSystemException.cs | 0 .../Common/TypeSystem/Common/TypeSystemHelpers.cs | 0 .../Common/UniversalCanonLayoutAlgorithm.cs | 0 .../Utilities/CustomAttributeTypeNameFormatter.cs | 0 .../Utilities/CustomAttributeTypeNameParser.cs | 0 .../Common/Utilities/DebugNameFormatter.cs | 0 .../ExceptionTypeNameFormatter.Metadata.cs | 0 .../Common/Utilities/ExceptionTypeNameFormatter.cs | 0 .../Common/Utilities/GCPointerMap.Algorithm.cs | 0 .../TypeSystem/Common/Utilities/GCPointerMap.cs | 0 .../Common/Utilities/LockFreeReaderHashtable.cs | 0 .../Common/Utilities/TypeNameFormatter.cs | 0 .../TypeSystem/Common/VirtualMethodAlgorithm.cs | 0 .../Common/TypeSystem/Common/WellKnownType.cs | 0 .../Ecma/CachingMetadataStringDecoder.cs | 0 .../TypeSystem/Ecma/CustomAttributeTypeProvider.cs | 0 .../Common/TypeSystem/Ecma/EcmaAssembly.Symbols.cs | 0 .../tools/Common/TypeSystem/Ecma/EcmaAssembly.cs | 0 .../Common/TypeSystem/Ecma/EcmaField.CodeGen.cs | 0 .../TypeSystem/Ecma/EcmaField.Serialization.cs | 0 .../Common/TypeSystem/Ecma/EcmaField.Sorting.cs | 0 .../tools/Common/TypeSystem/Ecma/EcmaField.cs | 0 .../Ecma/EcmaGenericParameter.Diagnostic.cs | 0 .../Ecma/EcmaGenericParameter.Sorting.cs | 0 .../Common/TypeSystem/Ecma/EcmaGenericParameter.cs | 0 .../TypeSystem/Ecma/EcmaMethod.Diagnostic.cs | 0 .../Common/TypeSystem/Ecma/EcmaMethod.Sorting.cs | 0 .../tools/Common/TypeSystem/Ecma/EcmaMethod.cs | 0 .../Common/TypeSystem/Ecma/EcmaModule.Sorting.cs | 0 .../Common/TypeSystem/Ecma/EcmaModule.Symbols.cs | 0 .../tools/Common/TypeSystem/Ecma/EcmaModule.cs | 0 .../Common/TypeSystem/Ecma/EcmaSignatureParser.cs | 0 .../Common/TypeSystem/Ecma/EcmaType.Diagnostic.cs | 0 .../Common/TypeSystem/Ecma/EcmaType.Interfaces.cs | 0 .../Common/TypeSystem/Ecma/EcmaType.MethodImpls.cs | 0 .../TypeSystem/Ecma/EcmaType.Serialization.cs | 0 .../Common/TypeSystem/Ecma/EcmaType.Sorting.cs | 0 .../tools/Common/TypeSystem/Ecma/EcmaType.cs | 0 .../Ecma/IMetadataStringDecoderProvider.cs | 0 .../Common/TypeSystem/Ecma/MetadataExtensions.cs | 0 .../TypeSystem/Ecma/PrimitiveTypeProvider.cs | 0 .../Ecma/SymbolReader/PdbSymbolReader.cs | 0 .../Ecma/SymbolReader/PortablePdbSymbolReader.cs | 0 .../Ecma/SymbolReader/UnmanagedPdbSymbolReader.cs | 0 .../Common/TypeSystem/IL/EcmaMethodIL.Symbols.cs | 0 .../tools/Common/TypeSystem/IL/EcmaMethodIL.cs | 0 .../tools/Common/TypeSystem/IL/HelperExtensions.cs | 0 .../tools/Common/TypeSystem/IL/ILDisassembler.cs | 0 .../tools/Common/TypeSystem/IL/ILImporter.cs | 0 .../tools/Common/TypeSystem/IL/ILOpcode.cs | 0 .../tools/Common/TypeSystem/IL/ILOpcodeHelper.cs | 0 .../tools/Common/TypeSystem/IL/ILProvider.cs | 0 .../tools/Common/TypeSystem/IL/ILStackHelper.cs | 0 .../Common/TypeSystem/IL/InstantiatedMethodIL.cs | 0 .../tools/Common/TypeSystem/IL/MethodIL.Symbols.cs | 0 .../tools/Common/TypeSystem/IL/MethodIL.cs | 0 .../Common/TypeSystem/IL/MethodILDebugView.cs | 0 .../tools/Common/TypeSystem/IL/StackValueKind.cs | 0 .../TypeSystem/IL/Stubs/ComparerIntrinsics.cs | 0 .../tools/Common/TypeSystem/IL/Stubs/ILEmitter.cs | 0 .../TypeSystem/IL/Stubs/InterlockedIntrinsics.cs | 0 .../TypeSystem/IL/Stubs/MemoryMarshalIntrinsics.cs | 0 .../TypeSystem/IL/Stubs/PInvokeILCodeStreams.cs | 0 .../Stubs/PInvokeTargetNativeMethod.Diagnostic.cs | 0 .../IL/Stubs/PInvokeTargetNativeMethod.Mangling.cs | 0 .../IL/Stubs/PInvokeTargetNativeMethod.Sorting.cs | 0 .../IL/Stubs/PInvokeTargetNativeMethod.cs | 0 .../IL/Stubs/RuntimeHelpersIntrinsics.cs | 0 .../Common/TypeSystem/IL/Stubs/UnsafeIntrinsics.cs | 0 .../TypeSystem/IL/Stubs/VolatileIntrinsics.cs | 0 .../Common/TypeSystem/Interop/FieldDesc.Interop.cs | 0 .../Common/TypeSystem/Interop/IL/MarshalHelpers.cs | 0 .../Common/TypeSystem/Interop/IL/MarshalUtils.cs | 0 .../Common/TypeSystem/Interop/IL/Marshaller.cs | 0 .../TypeSystem/Interop/InstantiatedType.Interop.cs | 0 .../Common/TypeSystem/Interop/InteropTypes.cs | 0 .../TypeSystem/Interop/MarshalAsDescriptor.cs | 0 .../TypeSystem/Interop/MetadataType.Interop.cs | 0 .../TypeSystem/Interop/MethodDelegator.Interop.cs | 0 .../TypeSystem/Interop/MethodDesc.Interop.cs | 0 .../TypeSystem/Mangling/IPrefixMangledMethod.cs | 0 .../TypeSystem/Mangling/IPrefixMangledSignature.cs | 0 .../TypeSystem/Mangling/IPrefixMangledType.cs | 0 .../ArrayType.RuntimeDetermined.cs | 0 .../ByRefType.RuntimeDetermined.cs | 0 .../RuntimeDetermined/DefType.RuntimeDetermined.cs | 0 .../FieldDesc.RuntimeDetermined.cs | 0 .../FunctionPointerType.RuntimeDetermined.cs | 0 .../GenericParameterDesc.RuntimeDetermined.cs | 0 .../MethodDesc.RuntimeDetermined.cs | 0 .../MethodForRuntimeDeterminedType.Diagnostic.cs | 0 .../MethodForRuntimeDeterminedType.Sorting.cs | 0 .../MethodForRuntimeDeterminedType.cs | 0 .../ParameterizedType.RuntimeDetermined.cs | 0 .../PointerType.RuntimeDetermined.cs | 0 .../RuntimeDeterminedCanonicalizationAlgorithm.cs | 0 .../RuntimeDeterminedFieldLayoutAlgorithm.cs | 0 .../RuntimeDeterminedType.Diagnostic.cs | 0 .../RuntimeDeterminedType.Sorting.cs | 0 .../RuntimeDetermined/RuntimeDeterminedType.cs | 0 .../RuntimeDeterminedTypeUtilities.cs | 0 .../SignatureVariable.RuntimeDetermined.cs | 0 .../TypeDesc.RuntimeDetermined.cs | 0 .../TypeSystemContext.RuntimeDetermined.cs | 0 .../Serialization/FieldDesc.Serialization.cs | 0 .../Serialization/TypeDesc.Serialization.cs | 0 .../Common/TypeSystem/Sorting/ArrayType.Sorting.cs | 0 .../Common/TypeSystem/Sorting/ByRefType.Sorting.cs | 0 .../Common/TypeSystem/Sorting/FieldDesc.Sorting.cs | 0 .../Sorting/FieldForInstantiatedType.Sorting.cs | 0 .../Sorting/FunctionPointerType.Sorting.cs | 0 .../Sorting/InstantiatedMethod.Sorting.cs | 0 .../TypeSystem/Sorting/InstantiatedType.Sorting.cs | 0 .../TypeSystem/Sorting/MethodDesc.Sorting.cs | 0 .../Sorting/MethodForInstantiatedType.Sorting.cs | 0 .../TypeSystem/Sorting/MethodSignature.Sorting.cs | 0 .../TypeSystem/Sorting/PointerType.Sorting.cs | 0 .../Sorting/SignatureVariable.Sorting.cs | 0 .../Common/TypeSystem/Sorting/TypeDesc.Sorting.cs | 0 .../TypeSystem/Sorting/TypeSystemComparer.cs | 0 src/coreclr/{src => }/tools/Directory.Build.props | 0 .../{src => }/tools/Directory.Build.targets | 0 src/coreclr/{src => }/tools/GCLogParser/App.config | 0 .../tools/GCLogParser/Properties/AssemblyInfo.cs | 0 .../{src => }/tools/GCLogParser/parse-hb-log.cs | 0 .../tools/GCLogParser/parse-hb-log.csproj | 0 .../{src => }/tools/GCLogParser/parse-hb-log.sln | 0 .../tools/GenClrDebugResource/CMakeLists.txt | 0 .../GenClrDebugResource/GenClrDebugResource.cpp | 0 .../GenClrDebugResource}/native.rc | 0 .../ILVerification/AccessVerificationHelpers.cs | 0 .../tools/ILVerification/ILImporter.StackValue.cs | 0 .../tools/ILVerification/ILImporter.Verify.cs | 0 .../tools/ILVerification/ILVerification.csproj | 0 .../tools/ILVerification/ILVerification.projitems | 0 .../ILVerification/ILVerifyTypeSystemContext.cs | 0 .../{src => }/tools/ILVerification/IResolver.cs | 0 .../ILVerification/InstantiatedGenericParameter.cs | 0 .../{src => }/tools/ILVerification/README.md | 0 .../SimpleArrayOfTRuntimeInterfacesAlgorithm.cs | 0 .../{src => }/tools/ILVerification/Strings.resx | 0 .../tools/ILVerification/TypeSystemHelpers.cs | 0 .../{src => }/tools/ILVerification/TypeVerifier.cs | 0 .../tools/ILVerification/VerificationResult.cs | 0 .../{src => }/tools/ILVerification/Verifier.cs | 0 .../tools/ILVerification/VerifierError.cs | 0 .../{src => }/tools/ILVerify/ILVerify.csproj | 0 src/coreclr/{src => }/tools/ILVerify/Program.cs | 0 src/coreclr/{src => }/tools/ILVerify/README.md | 0 .../{src => }/tools/InjectResource/CMakeLists.txt | 0 .../tools/InjectResource/InjectResource.cpp | 0 .../InjectResource}/native.rc | 0 .../ComputedStaticDependencyNode.cs | 0 .../DependencyAnalyzer.cs | 0 .../DependencyAnalyzerBase.cs | 0 .../DependencyNode.cs | 0 .../DependencyNodeCore.cs | 0 .../DgmlWriter.cs | 0 .../EventSourceLogStrategy.cs | 0 .../FirstMarkLogStrategy.cs | 0 .../FullGraphLogStrategy.cs | 0 .../IDependencyAnalysisMarkStrategy.cs | 0 .../IDependencyAnalyzerLogEdgeVisitor.cs | 0 .../IDependencyAnalyzerLogNodeVisitor.cs | 0 .../IDependencyNode.cs | 0 .../ILCompiler.DependencyAnalysisFramework.csproj | 0 .../NoLogStrategy.cs | 0 .../PerfEventSource.cs | 0 .../CodeGen/ReadyToRunObjectWriter.cs | 0 .../Compiler/CompilationModuleGroup.ReadyToRun.cs | 0 .../Compiler/CryptographicHashProvider.cs | 0 .../DependencyAnalysis/AllMethodsOnTypeNode.cs | 0 .../DependencyAnalysis/ArrayOfEmbeddedDataNode.cs | 0 .../ArrayOfEmbeddedPointersNode.cs | 0 .../DependencyAnalysis/EmbeddedObjectNode.cs | 0 .../EmbeddedPointerIndirectionNode.cs | 0 .../DependencyAnalysis/ReadyToRun/ArgIterator.cs | 0 .../ReadyToRun/AssemblyTableNode.cs | 0 .../ReadyToRun/AttributePresenceFilterNode.cs | 0 .../ReadyToRun/ByteArrayComparer.cs | 0 .../ReadyToRun/CompilerIdentifierNode.cs | 0 .../ReadyToRun/CopiedCorHeaderNode.cs | 0 .../ReadyToRun/CopiedFieldRvaNode.cs | 0 .../ReadyToRun/CopiedManagedResourcesNode.cs | 0 .../ReadyToRun/CopiedMetadataBlobNode.cs | 0 .../ReadyToRun/CopiedMethodILNode.cs | 0 .../ReadyToRun/CopiedStrongNameSignatureNode.cs | 0 .../ReadyToRun/DebugDirectoryEntryNode.cs | 0 .../ReadyToRun/DebugDirectoryNode.cs | 0 .../ReadyToRun/DebugInfoTableNode.cs | 0 .../ReadyToRun/DelayLoadHelperImport.cs | 0 .../ReadyToRun/DelayLoadHelperMethodImport.cs | 0 .../DelayLoadMethodCallThunkNodeRange.cs | 0 .../ReadyToRun/DelayLoadMethodImport.cs | 0 .../ReadyToRun/DelegateCtorSignature.cs | 0 .../ReadyToRun/DevirtualizationManager.cs | 0 .../ReadyToRun/ExceptionInfoLookupTableNode.cs | 0 .../ReadyToRun/FieldFixupSignature.cs | 0 .../ReadyToRun/GCRefMapBuilder.cs | 0 .../DependencyAnalysis/ReadyToRun/GCRefMapNode.cs | 0 .../ReadyToRun/GenericLookupSignature.cs | 0 .../DependencyAnalysis/ReadyToRun/HeaderNode.cs | 0 .../DependencyAnalysis/ReadyToRun/Import.cs | 0 .../ReadyToRun/ImportSectionNode.cs | 0 .../ReadyToRun/ImportSectionsTableNode.cs | 0 .../DependencyAnalysis/ReadyToRun/ImportThunk.cs | 0 .../ReadyToRun/InliningInfoNode.cs | 0 .../ReadyToRun/InstanceEntryPointTableNode.cs | 0 .../ReadyToRun/ManifestMetadataTableNode.cs | 0 .../ReadyToRun/MethodEntryPointTableNode.cs | 0 .../ReadyToRun/MethodFixupSignature.cs | 0 .../ReadyToRun/MethodGCInfoNode.cs | 0 .../ReadyToRun/MethodWithGCInfo.cs | 0 .../DependencyAnalysis/ReadyToRun/ModuleToken.cs | 0 .../ReadyToRun/ModuleTokenResolver.cs | 0 .../ReadyToRun/NewArrayFixupSignature.cs | 0 .../ReadyToRun/NewObjectFixupSignature.cs | 0 .../DependencyAnalysis/ReadyToRun/NibbleWriter.cs | 0 .../ReadyToRun/OwnerCompositeExecutableNode.cs | 0 .../ReadyToRun/PrecodeHelperImport.cs | 0 .../ReadyToRun/PrecodeMethodImport.cs | 0 .../ReadyToRun/ProfileDataNode.cs | 0 .../ReadyToRun/ProfileDataSectionNode.cs | 0 .../ReadyToRun/ReadyToRunHelperSignature.cs | 0 .../ReadyToRunInstructionSetSupportSignature.cs | 0 .../ReadyToRun/RuntimeFunctionsGCInfoNode.cs | 0 .../ReadyToRun/RuntimeFunctionsTableNode.cs | 0 .../DependencyAnalysis/ReadyToRun/Signature.cs | 0 .../ReadyToRun/SignatureBuilder.cs | 0 .../ReadyToRun/SignatureContext.cs | 0 .../SignatureEmbeddedPointerIndirectionNode.cs | 0 .../DependencyAnalysis/ReadyToRun/StringImport.cs | 0 .../ReadyToRun/StringImportSignature.cs | 0 .../ReadyToRun/Target_ARM/ImportThunk.cs | 0 .../ReadyToRun/Target_ARM64/ImportThunk.cs | 0 .../ReadyToRun/Target_X64/ImportThunk.cs | 0 .../ReadyToRun/Target_X86/ImportThunk.cs | 0 .../ReadyToRun/TransitionBlock.cs | 0 .../ReadyToRun/TypeFixupSignature.cs | 0 .../ReadyToRun/TypesTableNode.cs | 0 .../ReadyToRun/Win32ResourcesNode.cs | 0 .../ReadyToRunCodegenNodeFactory.cs | 0 .../ReadyToRunSymbolNodeFactory.cs | 0 .../SortableDependencyNodeCompilerSpecific.cs | 0 .../Compiler/DependencyAnalysis/TypeAndMethod.cs | 0 .../Compiler/IRootingServiceProvider.cs | 0 .../Compiler/MethodExtensions.cs | 0 .../Compiler/NoMethodsCompilationModuleGroup.cs | 0 .../Compiler/PerfEventSource.cs | 0 .../ILCompiler.ReadyToRun/Compiler/ProfileData.cs | 0 .../Compiler/ReadyToRunCodegenCompilation.cs | 0 .../ReadyToRunCodegenCompilationBuilder.cs | 0 .../ReadyToRunCompilationModuleGroupBase.cs | 0 .../Compiler/ReadyToRunCompilerContext.cs | 0 .../Compiler/ReadyToRunFileLayoutOptimizer.cs | 0 .../Compiler/ReadyToRunHashCode.cs | 0 .../Compiler/ReadyToRunLibraryRootProvider.cs | 0 .../ReadyToRunMetadataFieldLayoutAlgorithm.cs | 0 ...adyToRunSingleAssemblyCompilationModuleGroup.cs | 0 .../Compiler/ReadyToRunTableManager.cs | 0 .../Compiler/RuntimeDeterminedTypeHelper.cs | 0 .../Compiler/SingleMethodCompilationModuleGroup.cs | 0 .../Compiler/SystemObjectFieldLayoutAlgorithm.cs | 0 .../aot/ILCompiler.ReadyToRun/IBC/IBCDataModel.cs | 0 .../aot/ILCompiler.ReadyToRun/IBC/IBCDataReader.cs | 0 .../ILCompiler.ReadyToRun/IBC/IBCProfileData.cs | 0 .../ILCompiler.ReadyToRun/IBC/IBCProfileParser.cs | 0 .../ILCompiler.ReadyToRun/IBC/MIbcProfileParser.cs | 0 .../ILCompiler.ReadyToRun/IBC/ReaderExtensions.cs | 0 .../IL/ReadyToRunILProvider.cs | 0 .../IL/Stubs/PInvokeILEmitter.cs | 0 .../ILCompiler.ReadyToRun.csproj | 0 .../Interop/IL/Marshaller.ReadyToRun.cs | 0 .../JitInterface/CorInfoImpl.ReadyToRun.cs | 0 .../JitInterface/UnboxingMethodDescFactory.cs | 0 .../ObjectWriter/MapFileBuilder.cs | 0 .../ObjectWriter/R2RPEBuilder.cs | 0 .../ObjectWriter/RelocationHelper.cs | 0 .../ObjectWriter/SectionBuilder.cs | 0 .../ObjectWriter/TargetExtensions.cs | 0 .../Win32Resources/ResourceData.Reader.cs | 0 .../ResourceData.ResourcesDataModel.cs | 0 .../ResourceData.UpdateResourceDataModel.cs | 0 .../Win32Resources/ResourceData.Win32Structs.cs | 0 .../Win32Resources/ResourceData.cs | 0 .../Amd64/GcInfo.cs | 0 .../Amd64/GcSlotTable.cs | 0 .../Amd64/GcTransition.cs | 0 .../Amd64/Registers.cs | 0 .../Amd64/UnwindInfo.cs | 0 .../Arm/Registers.cs | 0 .../Arm/UnwindInfo.cs | 0 .../Arm64/Registers.cs | 0 .../Arm64/UnwindInfo.cs | 0 .../ILCompiler.Reflection.ReadyToRun/DebugInfo.cs | 0 .../DebugInfoTypes.cs | 0 .../DisassemblingTypeProvider.cs | 0 .../aot/ILCompiler.Reflection.ReadyToRun/EHInfo.cs | 0 .../GCInfoTypes.cs | 0 .../ILCompiler.Reflection.ReadyToRun/GCRefMap.cs | 0 .../IAssemblyMetadata.cs | 0 .../IAssemblyResolver.cs | 0 .../ILCompiler.Reflection.ReadyToRun.csproj | 0 .../InliningInfoSection.cs | 0 .../InliningInfoSection2.cs | 0 .../NativeArray.cs | 0 .../NativeHashtable.cs | 0 .../NativeReader.cs | 0 .../NibbleReader.cs | 0 .../PEReaderExtensions.cs | 0 .../ReadyToRunHeader.cs | 0 .../ReadyToRunImportSection.cs | 0 .../ReadyToRunMethod.cs | 0 .../ReadyToRunReader.cs | 0 .../ReadyToRunSection.cs | 0 .../ReadyToRunSignature.cs | 0 .../StandaloneAssemblyMetadata.cs | 0 .../StringExtensions.cs | 0 .../TransitionBlock.cs | 0 .../x86/CallPattern.cs | 0 .../ILCompiler.Reflection.ReadyToRun/x86/GcInfo.cs | 0 .../x86/GcSlotTable.cs | 0 .../x86/GcTransition.cs | 0 .../x86/InfoHdr.cs | 0 .../x86/Registers.cs | 0 .../x86/UnwindInfo.cs | 0 .../ArchitectureSpecificFieldLayoutTests.cs | 0 .../CanonicalizationTests.cs | 0 .../CastingTests.cs | 0 .../ConstraintsValidationTest.cs | 0 .../CoreTestAssembly/Canonicalization.cs | 0 .../CoreTestAssembly/Casting.cs | 0 .../CoreTestAssembly/CoreTestAssembly.csproj | 0 .../CoreTestAssembly/GCPointerMap.cs | 0 .../CoreTestAssembly/GenericConstraints.cs | 0 .../CoreTestAssembly/GenericTypes.cs | 0 .../CoreTestAssembly/Hashcode.cs | 0 .../CoreTestAssembly/InstanceFieldLayout.cs | 0 .../CoreTestAssembly/InterfaceArrangements.cs | 0 .../CoreTestAssembly/Platform.cs | 0 .../CoreTestAssembly/StaticFieldLayout.cs | 0 .../CoreTestAssembly/SyntheticVirtualOverride.cs | 0 .../CoreTestAssembly/TypeNameParsing.cs | 0 .../ValueTypeShapeCharacteristics.cs | 0 .../CoreTestAssembly/VirtualFunctionOverride.cs | 0 .../ExceptionStringTests.cs | 0 .../GCPointerMapTests.cs | 0 .../GenericTypeAndMethodTests.cs | 0 .../HashcodeTests.cs | 0 .../ILCompiler.TypeSystem.ReadyToRun.Tests.csproj | 0 .../ILDisassemblerTests.cs | 0 .../ILTestAssembly/ILDisassembler.il | 0 .../ILTestAssembly/ILTestAssembly.ilproj | 0 .../ILTestAssembly/InstanceFieldLayout.il | 0 .../ILTestAssembly/Main.il | 0 .../ILTestAssembly/MethodImplOverride1.il | 0 .../ILTestAssembly/Signature.il | 0 .../ILTestAssembly/StaticFieldLayout.il | 0 .../ILTestAssembly/VirtualFunctionOverride.il | 0 .../InstanceFieldLayoutTests.cs | 0 .../InterfacesTests.cs | 0 .../RuntimeDeterminedTypesTests.cs | 0 .../SignatureTests.cs | 0 .../StaticFieldLayoutTests.cs | 0 ...SyntheticVirtualOverrideTests.DiagnosticName.cs | 0 .../SyntheticVirtualOverrideTests.cs | 0 .../TestMetadataFieldLayoutAlgorithm.cs | 0 .../TestTypeSystemContext.cs | 0 .../TypeNameParsingTests.cs | 0 .../UniversalGenericFieldLayoutTests.cs | 0 .../ValueTypeShapeCharacteristicsTests.cs | 0 .../VirtualFunctionOverrideTests.cs | 0 .../WellKnownTypeTests.cs | 0 .../ILCompiler.TypeSystem.ReadyToRun.csproj | 0 src/coreclr/{src => }/tools/aot/crossgen2.sln | 0 .../tools/aot/crossgen2/CommandLineOptions.cs | 0 .../{src => }/tools/aot/crossgen2/Program.cs | 0 .../tools/aot/crossgen2/Properties/Resources.resx | 0 .../{src => }/tools/aot/crossgen2/crossgen2.csproj | 0 .../tools/aot/jitinterface/CMakeLists.txt | 0 .../tools/aot/jitinterface/corinfoexception.cpp | 0 .../tools/aot/jitinterface/corinfoexception.h | 0 .../{src => }/tools/aot/jitinterface/dllexport.h | 0 .../{src => }/tools/aot/jitinterface/jithost.cpp | 0 .../tools/aot/jitinterface/jitinterface.cpp | 0 .../tools/aot/jitinterface/jitinterface.h | 0 .../tools/aot/jitinterface/jitwrapper.cpp | 0 .../{src => }/tools/crossgen/CMakeLists.txt | 0 src/coreclr/{src => }/tools/crossgen/Native.rc | 0 src/coreclr/{src => }/tools/crossgen/compare.bat | 0 src/coreclr/{src => }/tools/crossgen/crossgen.cpp | 0 src/coreclr/{src => }/tools/dotnet-pgo/Logger.cs | 0 .../JitTraceRuntime.cs | 0 .../{src => }/tools/dotnet-pgo/ModuleLoadLogger.cs | 0 .../{src => }/tools/dotnet-pgo/PgoTraceProcess.cs | 0 src/coreclr/{src => }/tools/dotnet-pgo/Program.cs | 0 .../tools/dotnet-pgo/R2RSignatureTypeProvider.cs | 0 src/coreclr/{src => }/tools/dotnet-pgo/README.md | 0 .../dotnet-pgo/TraceRuntimeDescToTypeSystemDesc.cs | 0 .../tools/dotnet-pgo/TraceTypeSystemContext.cs | 0 .../tools/dotnet-pgo/TypeSystemMetadataEmitter.cs | 0 .../tools/dotnet-pgo/dotnet-pgo-experiment.md | 0 .../{src => }/tools/dotnet-pgo/dotnet-pgo.csproj | 0 .../{src => }/tools/dotnet-pgo/dotnet-pgo.sln | 0 src/coreclr/{src => }/tools/metainfo/Native.rc | 0 src/coreclr/{src => }/tools/metainfo/mdinfo.cpp | 0 src/coreclr/{src => }/tools/metainfo/mdinfo.h | 0 src/coreclr/{src => }/tools/metainfo/mdobj.cpp | 0 .../{src => }/tools/r2rdump/CommandLineOptions.cs | 0 .../{src => }/tools/r2rdump/CoreDisTools.cs | 0 src/coreclr/{src => }/tools/r2rdump/Extensions.cs | 0 .../{src => }/tools/r2rdump/ISymNGenWriter.cs | 0 src/coreclr/{src => }/tools/r2rdump/PdbWriter.cs | 0 src/coreclr/{src => }/tools/r2rdump/R2RDiff.cs | 0 src/coreclr/{src => }/tools/r2rdump/R2RDump.cs | 0 src/coreclr/{src => }/tools/r2rdump/R2RDump.csproj | 0 src/coreclr/{src => }/tools/r2rdump/R2RDump.sln | 0 src/coreclr/{src => }/tools/r2rdump/R2RFormat.png | Bin src/coreclr/{src => }/tools/r2rdump/README.md | 0 src/coreclr/{src => }/tools/r2rdump/TextDumper.cs | 0 src/coreclr/{src => }/tools/r2rtest/Buckets.cs | 0 src/coreclr/{src => }/tools/r2rtest/BuildFolder.cs | 0 .../{src => }/tools/r2rtest/BuildFolderSet.cs | 0 .../{src => }/tools/r2rtest/BuildOptions.cs | 0 .../{src => }/tools/r2rtest/CommandLineOptions.cs | 0 .../r2rtest/Commands/CompileDirectoryCommand.cs | 0 .../r2rtest/Commands/CompileFrameworkCommand.cs | 0 .../tools/r2rtest/Commands/CompileNugetCommand.cs | 0 .../tools/r2rtest/Commands/CompileSerpCommand.cs | 0 .../r2rtest/Commands/CompileSubtreeCommand.cs | 0 .../{src => }/tools/r2rtest/CompilerRunner.cs | 0 .../tools/r2rtest/ComputeManagedAssemblies.cs | 0 .../{src => }/tools/r2rtest/Crossgen2Runner.cs | 0 .../{src => }/tools/r2rtest/CrossgenRunner.cs | 0 src/coreclr/{src => }/tools/r2rtest/DotnetCli.cs | 0 src/coreclr/{src => }/tools/r2rtest/JitRunner.cs | 0 src/coreclr/{src => }/tools/r2rtest/Linux.cs | 0 .../{src => }/tools/r2rtest/ParallelRunner.cs | 0 src/coreclr/{src => }/tools/r2rtest/PathHelpers.cs | 0 .../tools/r2rtest/PerfEventSourceListener.cs | 0 .../{src => }/tools/r2rtest/ProcessRunner.cs | 0 src/coreclr/{src => }/tools/r2rtest/Program.cs | 0 src/coreclr/{src => }/tools/r2rtest/R2RTest.csproj | 0 .../tools/r2rtest/ReadyToRunJittedMethods.cs | 0 .../{src => }/tools/r2rtest/TestExclusion.cs | 0 .../{src => }/tools/runincontext/runincontext.cs | 0 .../tools/runincontext/runincontext.csproj | 0 src/coreclr/{src => }/tools/util/consoleargs.cpp | 0 src/coreclr/{src => }/tools/util/consoleargs.h | 0 src/coreclr/{src => }/tools/util/list.h | 0 src/coreclr/{src => }/tools/util/tree.h | 0 src/coreclr/{src => }/unwinder/CMakeLists.txt | 10 +- .../{src => }/unwinder/amd64/dbs_stack_x64.cpp | 0 .../{src => }/unwinder/amd64/unwinder_amd64.cpp | 0 .../{src => }/unwinder/amd64/unwinder_amd64.h | 0 .../{src => }/unwinder/arm/unwinder_arm.cpp | 0 src/coreclr/{src => }/unwinder/arm/unwinder_arm.h | 0 .../{src => }/unwinder/arm64/unwinder_arm64.cpp | 0 .../{src => }/unwinder/arm64/unwinder_arm64.h | 0 .../{src => }/unwinder/i386/unwinder_i386.cpp | 0 .../{src => }/unwinder/i386/unwinder_i386.h | 0 src/coreclr/{src => }/unwinder/stdafx.cpp | 0 src/coreclr/{src => }/unwinder/stdafx.h | 0 src/coreclr/{src => }/unwinder/unwinder.cpp | 0 src/coreclr/{src => }/unwinder/unwinder.h | 0 src/coreclr/{src => }/utilcode/CMakeLists.txt | 0 src/coreclr/{src => }/utilcode/arraylist.cpp | 0 src/coreclr/{src => }/utilcode/bitvector.cpp | 0 src/coreclr/{src => }/utilcode/ccomprc.cpp | 0 src/coreclr/{src => }/utilcode/check.cpp | 0 src/coreclr/{src => }/utilcode/clrconfig.cpp | 0 src/coreclr/{src => }/utilcode/clrhelpers.cpp | 0 src/coreclr/{src => }/utilcode/clrhost.cpp | 0 .../{src => }/utilcode/clrhost_nodependencies.cpp | 0 src/coreclr/{src => }/utilcode/collections.cpp | 0 src/coreclr/{src => }/utilcode/comex.cpp | 0 src/coreclr/{src => }/utilcode/configuration.cpp | 0 src/coreclr/{src => }/utilcode/corimage.cpp | 0 src/coreclr/{src => }/utilcode/cycletimer.cpp | 0 src/coreclr/{src => }/utilcode/dacutil.cpp | 0 src/coreclr/{src => }/utilcode/debug.cpp | 0 src/coreclr/{src => }/utilcode/dlwrap.cpp | 0 src/coreclr/{src => }/utilcode/ex.cpp | 0 src/coreclr/{src => }/utilcode/format1.cpp | 0 src/coreclr/{src => }/utilcode/fstream.cpp | 0 src/coreclr/{src => }/utilcode/fstring.cpp | 0 src/coreclr/{src => }/utilcode/guidfromname.cpp | 0 src/coreclr/{src => }/utilcode/hostimpl.cpp | 0 src/coreclr/{src => }/utilcode/iallocator.cpp | 0 src/coreclr/{src => }/utilcode/ilformatter.cpp | 0 src/coreclr/{src => }/utilcode/loaderheap.cpp | 0 src/coreclr/{src => }/utilcode/log.cpp | 0 .../{src => }/utilcode/longfilepathwrappers.cpp | 0 src/coreclr/{src => }/utilcode/makepath.cpp | 0 src/coreclr/{src => }/utilcode/md5.cpp | 0 src/coreclr/{src => }/utilcode/memorypool.cpp | 0 src/coreclr/{src => }/utilcode/namespaceutil.cpp | 0 src/coreclr/{src => }/utilcode/opinfo.cpp | 0 src/coreclr/{src => }/utilcode/outstring.cpp | 0 src/coreclr/{src => }/utilcode/pedecoder.cpp | 0 src/coreclr/{src => }/utilcode/peinformation.cpp | 0 src/coreclr/{src => }/utilcode/posterror.cpp | 0 src/coreclr/{src => }/utilcode/prettyprintsig.cpp | 0 src/coreclr/{src => }/utilcode/regutil.cpp | 0 src/coreclr/{src => }/utilcode/safewrap.cpp | 0 src/coreclr/{src => }/utilcode/sbuffer.cpp | 0 src/coreclr/{src => }/utilcode/securityutil.cpp | 0 src/coreclr/{src => }/utilcode/securitywrapper.cpp | 0 src/coreclr/{src => }/utilcode/sha1.cpp | 0 src/coreclr/{src => }/utilcode/sigbuilder.cpp | 0 src/coreclr/{src => }/utilcode/sigparser.cpp | 0 src/coreclr/{src => }/utilcode/splitpath.cpp | 0 src/coreclr/{src => }/utilcode/sstring.cpp | 0 src/coreclr/{src => }/utilcode/sstring_com.cpp | 0 src/coreclr/{src => }/utilcode/stacktrace.cpp | 0 src/coreclr/{src => }/utilcode/stdafx.h | 0 src/coreclr/{src => }/utilcode/stgpool.cpp | 0 src/coreclr/{src => }/utilcode/stgpooli.cpp | 0 src/coreclr/{src => }/utilcode/stgpoolreadonly.cpp | 0 src/coreclr/{src => }/utilcode/stresslog.cpp | 0 src/coreclr/{src => }/utilcode/util.cpp | 0 .../{src => }/utilcode/util_nodependencies.cpp | 0 src/coreclr/{src => }/utilcode/utilmessagebox.cpp | 0 src/coreclr/{src => }/utilcode/utsem.cpp | 0 src/coreclr/{src => }/utilcode/winfix.cpp | 0 .../utilcode/yieldprocessornormalized.cpp | 0 .../{src => }/vm/.vscode/c_cpp_properties.json | 0 src/coreclr/{src => }/vm/CMakeLists.txt | 0 src/coreclr/{src => }/vm/ClrEtwAll.man | 0 src/coreclr/{src => }/vm/ClrEtwAllMeta.lst | 0 src/coreclr/{src => }/vm/amd64/AsmHelpers.asm | 0 src/coreclr/{src => }/vm/amd64/AsmMacros.inc | 0 .../{src => }/vm/amd64/CallDescrWorkerAMD64.asm | 0 src/coreclr/{src => }/vm/amd64/ComCallPreStub.asm | 0 src/coreclr/{src => }/vm/amd64/CrtHelpers.asm | 0 .../vm/amd64/ExternalMethodFixupThunk.asm | 0 .../{src => }/vm/amd64/GenericComCallStubs.asm | 0 .../{src => }/vm/amd64/GenericComPlusCallStubs.asm | 0 src/coreclr/{src => }/vm/amd64/JitHelpers_Fast.asm | 0 .../vm/amd64/JitHelpers_FastWriteBarriers.asm | 0 .../vm/amd64/JitHelpers_InlineGetThread.asm | 0 .../vm/amd64/JitHelpers_SingleAppDomain.asm | 0 src/coreclr/{src => }/vm/amd64/JitHelpers_Slow.asm | 0 src/coreclr/{src => }/vm/amd64/PInvokeStubs.asm | 0 .../vm/amd64/RedirectedHandledJITCase.asm | 0 src/coreclr/{src => }/vm/amd64/ThePreStubAMD64.asm | 0 src/coreclr/{src => }/vm/amd64/UMThunkStub.asm | 0 .../{src => }/vm/amd64/VirtualCallStubAMD64.asm | 0 src/coreclr/{src => }/vm/amd64/asmconstants.h | 0 src/coreclr/{src => }/vm/amd64/asmhelpers.S | 0 .../{src => }/vm/amd64/calldescrworkeramd64.S | 0 src/coreclr/{src => }/vm/amd64/cgenamd64.cpp | 0 src/coreclr/{src => }/vm/amd64/cgencpu.h | 0 src/coreclr/{src => }/vm/amd64/crthelpers.S | 0 src/coreclr/{src => }/vm/amd64/excepamd64.cpp | 0 src/coreclr/{src => }/vm/amd64/excepcpu.h | 0 .../{src => }/vm/amd64/externalmethodfixupthunk.S | 0 src/coreclr/{src => }/vm/amd64/getstate.S | 0 src/coreclr/{src => }/vm/amd64/getstate.asm | 0 src/coreclr/{src => }/vm/amd64/gmsamd64.cpp | 0 src/coreclr/{src => }/vm/amd64/gmscpu.h | 0 src/coreclr/{src => }/vm/amd64/jithelpers_fast.S | 0 .../vm/amd64/jithelpers_fastwritebarriers.S | 0 .../vm/amd64/jithelpers_singleappdomain.S | 0 src/coreclr/{src => }/vm/amd64/jithelpers_slow.S | 0 .../{src => }/vm/amd64/jitinterfaceamd64.cpp | 0 src/coreclr/{src => }/vm/amd64/pinvokestubs.S | 0 src/coreclr/{src => }/vm/amd64/profiler.cpp | 0 src/coreclr/{src => }/vm/amd64/stublinkeramd64.cpp | 0 src/coreclr/{src => }/vm/amd64/stublinkeramd64.h | 0 src/coreclr/{src => }/vm/amd64/theprestubamd64.S | 0 src/coreclr/{src => }/vm/amd64/umthunkstub.S | 0 src/coreclr/{src => }/vm/amd64/unixasmhelpers.S | 0 src/coreclr/{src => }/vm/amd64/unixstubs.cpp | 0 .../{src => }/vm/amd64/virtualcallstubamd64.S | 0 .../{src => }/vm/amd64/virtualcallstubcpu.hpp | 0 src/coreclr/{src => }/vm/amsi.cpp | 0 src/coreclr/{src => }/vm/amsi.h | 0 src/coreclr/{src => }/vm/appdomain.cpp | 0 src/coreclr/{src => }/vm/appdomain.hpp | 0 src/coreclr/{src => }/vm/appdomain.inl | 0 src/coreclr/{src => }/vm/appdomainnative.cpp | 0 src/coreclr/{src => }/vm/appdomainnative.hpp | 0 src/coreclr/{src => }/vm/argdestination.h | 0 src/coreclr/{src => }/vm/argslot.h | 0 src/coreclr/{src => }/vm/arm/CrtHelpers.asm | 0 src/coreclr/{src => }/vm/arm/PInvokeStubs.asm | 0 src/coreclr/{src => }/vm/arm/armsinglestepper.cpp | 0 src/coreclr/{src => }/vm/arm/asmconstants.h | 0 src/coreclr/{src => }/vm/arm/asmhelpers.S | 0 src/coreclr/{src => }/vm/arm/asmhelpers.asm | 0 src/coreclr/{src => }/vm/arm/asmmacros.h | 0 src/coreclr/{src => }/vm/arm/cgencpu.h | 0 src/coreclr/{src => }/vm/arm/crthelpers.S | 0 src/coreclr/{src => }/vm/arm/ehhelpers.S | 0 src/coreclr/{src => }/vm/arm/ehhelpers.asm | 0 src/coreclr/{src => }/vm/arm/exceparm.cpp | 0 src/coreclr/{src => }/vm/arm/excepcpu.h | 0 src/coreclr/{src => }/vm/arm/gmscpu.h | 0 src/coreclr/{src => }/vm/arm/patchedcode.S | 0 src/coreclr/{src => }/vm/arm/patchedcode.asm | 0 src/coreclr/{src => }/vm/arm/pinvokestubs.S | 0 src/coreclr/{src => }/vm/arm/profiler.cpp | 0 src/coreclr/{src => }/vm/arm/stubs.cpp | 0 src/coreclr/{src => }/vm/arm/unixstubs.cpp | 0 .../{src => }/vm/arm/virtualcallstubcpu.hpp | 0 .../{src => }/vm/arm64/CallDescrWorkerARM64.asm | 0 src/coreclr/{src => }/vm/arm64/PInvokeStubs.asm | 0 .../{src => }/vm/arm64/arm64singlestepper.cpp | 0 src/coreclr/{src => }/vm/arm64/asmconstants.h | 0 src/coreclr/{src => }/vm/arm64/asmhelpers.S | 0 src/coreclr/{src => }/vm/arm64/asmhelpers.asm | 0 src/coreclr/{src => }/vm/arm64/asmmacros.h | 0 .../{src => }/vm/arm64/calldescrworkerarm64.S | 0 src/coreclr/{src => }/vm/arm64/cgencpu.h | 0 src/coreclr/{src => }/vm/arm64/crthelpers.S | 0 src/coreclr/{src => }/vm/arm64/crthelpers.asm | 0 src/coreclr/{src => }/vm/arm64/excepcpu.h | 0 src/coreclr/{src => }/vm/arm64/gmscpu.h | 0 src/coreclr/{src => }/vm/arm64/pinvokestubs.S | 0 src/coreclr/{src => }/vm/arm64/profiler.cpp | 0 src/coreclr/{src => }/vm/arm64/stubs.cpp | 0 src/coreclr/{src => }/vm/arm64/unixstubs.cpp | 0 .../{src => }/vm/arm64/virtualcallstubcpu.hpp | 0 src/coreclr/{src => }/vm/arm64singlestepper.h | 0 src/coreclr/{src => }/vm/armsinglestepper.h | 0 src/coreclr/{src => }/vm/array.cpp | 0 src/coreclr/{src => }/vm/array.h | 0 src/coreclr/{src => }/vm/assembly.cpp | 0 src/coreclr/{src => }/vm/assembly.hpp | 0 src/coreclr/{src => }/vm/assemblyloadcontext.cpp | 0 src/coreclr/{src => }/vm/assemblyloadcontext.h | 0 src/coreclr/{src => }/vm/assemblyname.cpp | 0 src/coreclr/{src => }/vm/assemblyname.hpp | 0 src/coreclr/{src => }/vm/assemblynative.cpp | 0 src/coreclr/{src => }/vm/assemblynative.hpp | 0 src/coreclr/{src => }/vm/assemblyspec.cpp | 0 src/coreclr/{src => }/vm/assemblyspec.hpp | 0 src/coreclr/{src => }/vm/assemblyspecbase.h | 0 src/coreclr/{src => }/vm/autotrace.cpp | 0 src/coreclr/{src => }/vm/autotrace.h | 0 src/coreclr/{src => }/vm/baseassemblyspec.cpp | 0 src/coreclr/{src => }/vm/baseassemblyspec.h | 0 src/coreclr/{src => }/vm/baseassemblyspec.inl | 0 src/coreclr/{src => }/vm/binder.cpp | 0 src/coreclr/{src => }/vm/binder.h | 0 src/coreclr/{src => }/vm/bundle.cpp | 0 src/coreclr/{src => }/vm/cachelinealloc.cpp | 0 src/coreclr/{src => }/vm/cachelinealloc.h | 0 src/coreclr/{src => }/vm/callcounting.cpp | 0 src/coreclr/{src => }/vm/callcounting.h | 0 src/coreclr/{src => }/vm/callhelpers.cpp | 0 src/coreclr/{src => }/vm/callhelpers.h | 0 src/coreclr/{src => }/vm/callingconvention.h | 0 src/coreclr/{src => }/vm/callsiteinspect.cpp | 0 src/coreclr/{src => }/vm/callsiteinspect.h | 0 src/coreclr/{src => }/vm/castcache.cpp | 0 src/coreclr/{src => }/vm/castcache.h | 0 src/coreclr/{src => }/vm/ceeload.cpp | 0 src/coreclr/{src => }/vm/ceeload.h | 0 src/coreclr/{src => }/vm/ceeload.inl | 0 src/coreclr/{src => }/vm/ceemain.cpp | 0 src/coreclr/{src => }/vm/ceemain.h | 0 src/coreclr/{src => }/vm/cgensys.h | 0 src/coreclr/{src => }/vm/class.cpp | 0 src/coreclr/{src => }/vm/class.h | 0 src/coreclr/{src => }/vm/class.inl | 0 src/coreclr/{src => }/vm/classcompat.cpp | 0 src/coreclr/{src => }/vm/classcompat.h | 0 src/coreclr/{src => }/vm/classhash.cpp | 0 src/coreclr/{src => }/vm/classhash.h | 0 src/coreclr/{src => }/vm/classhash.inl | 0 src/coreclr/{src => }/vm/classlayoutinfo.cpp | 0 src/coreclr/{src => }/vm/classloadlevel.h | 0 src/coreclr/{src => }/vm/classnames.h | 0 src/coreclr/{src => }/vm/clrconfignative.cpp | 0 src/coreclr/{src => }/vm/clrconfignative.h | 0 src/coreclr/{src => }/vm/clrex.cpp | 0 src/coreclr/{src => }/vm/clrex.h | 0 src/coreclr/{src => }/vm/clrex.inl | 0 src/coreclr/{src => }/vm/clrtocomcall.cpp | 0 src/coreclr/{src => }/vm/clrtocomcall.h | 0 src/coreclr/{src => }/vm/clrtracelogging.cpp | 0 src/coreclr/{src => }/vm/clrvarargs.cpp | 0 src/coreclr/{src => }/vm/clrvarargs.h | 0 src/coreclr/{src => }/vm/clsload.cpp | 0 src/coreclr/{src => }/vm/clsload.hpp | 0 src/coreclr/{src => }/vm/clsload.inl | 0 src/coreclr/{src => }/vm/codeman.cpp | 0 src/coreclr/{src => }/vm/codeman.h | 0 src/coreclr/{src => }/vm/codeman.inl | 0 src/coreclr/{src => }/vm/codepitchingmanager.cpp | 0 src/coreclr/{src => }/vm/codeversion.cpp | 0 src/coreclr/{src => }/vm/codeversion.h | 0 src/coreclr/{src => }/vm/comcache.cpp | 0 src/coreclr/{src => }/vm/comcache.h | 0 src/coreclr/{src => }/vm/comcallablewrapper.cpp | 0 src/coreclr/{src => }/vm/comcallablewrapper.h | 0 src/coreclr/{src => }/vm/comconnectionpoints.cpp | 0 src/coreclr/{src => }/vm/comconnectionpoints.h | 0 src/coreclr/{src => }/vm/comdatetime.cpp | 0 src/coreclr/{src => }/vm/comdatetime.h | 0 src/coreclr/{src => }/vm/comdelegate.cpp | 0 src/coreclr/{src => }/vm/comdelegate.h | 0 src/coreclr/{src => }/vm/comdependenthandle.cpp | 0 src/coreclr/{src => }/vm/comdependenthandle.h | 0 src/coreclr/{src => }/vm/comdynamic.cpp | 0 src/coreclr/{src => }/vm/comdynamic.h | 0 src/coreclr/{src => }/vm/cominterfacemarshaler.cpp | 0 src/coreclr/{src => }/vm/cominterfacemarshaler.h | 0 src/coreclr/{src => }/vm/commodule.cpp | 0 src/coreclr/{src => }/vm/commodule.h | 0 src/coreclr/{src => }/vm/common.h | 0 src/coreclr/{src => }/vm/commtmemberinfomap.cpp | 0 src/coreclr/{src => }/vm/commtmemberinfomap.h | 0 src/coreclr/{src => }/vm/compatibilityswitch.cpp | 0 src/coreclr/{src => }/vm/compatibilityswitch.h | 0 src/coreclr/{src => }/vm/compile.cpp | 0 src/coreclr/{src => }/vm/compile.h | 0 src/coreclr/{src => }/vm/comreflectioncache.hpp | 0 src/coreclr/{src => }/vm/comreflectioncache.inl | 0 src/coreclr/{src => }/vm/comsynchronizable.cpp | 0 src/coreclr/{src => }/vm/comsynchronizable.h | 0 src/coreclr/{src => }/vm/comthreadpool.cpp | 0 src/coreclr/{src => }/vm/comthreadpool.h | 0 src/coreclr/{src => }/vm/comtoclrcall.cpp | 0 src/coreclr/{src => }/vm/comtoclrcall.h | 0 src/coreclr/{src => }/vm/comutilnative.cpp | 0 src/coreclr/{src => }/vm/comutilnative.h | 0 src/coreclr/{src => }/vm/comwaithandle.cpp | 0 src/coreclr/{src => }/vm/comwaithandle.h | 0 src/coreclr/{src => }/vm/contractimpl.cpp | 0 src/coreclr/{src => }/vm/contractimpl.h | 0 src/coreclr/{src => }/vm/coreassemblyspec.cpp | 0 src/coreclr/{src => }/vm/corebindresult.cpp | 0 src/coreclr/{src => }/vm/coreclr/corebindresult.h | 0 .../{src => }/vm/coreclr/corebindresult.inl | 0 src/coreclr/{src => }/vm/corelib.cpp | 0 src/coreclr/{src => }/vm/corelib.h | 0 src/coreclr/{src => }/vm/corhost.cpp | 0 src/coreclr/{src => }/vm/crossgen/CMakeLists.txt | 2 +- src/coreclr/{src => }/vm/crossgencompile.cpp | 0 .../{src => }/vm/crossloaderallocatorhash.h | 0 .../{src => }/vm/crossloaderallocatorhash.inl | 0 src/coreclr/{src => }/vm/crst.cpp | 0 src/coreclr/{src => }/vm/crst.h | 0 src/coreclr/{src => }/vm/ctxtcall.h | 0 src/coreclr/{src => }/vm/customattribute.cpp | 0 src/coreclr/{src => }/vm/customattribute.h | 0 src/coreclr/{src => }/vm/custommarshalerinfo.cpp | 0 src/coreclr/{src => }/vm/custommarshalerinfo.h | 0 src/coreclr/{src => }/vm/dataimage.cpp | 0 src/coreclr/{src => }/vm/dataimage.h | 0 src/coreclr/{src => }/vm/dataimagesection.h | 0 src/coreclr/{src => }/vm/dbginterface.h | 0 src/coreclr/{src => }/vm/debugdebugger.cpp | 0 src/coreclr/{src => }/vm/debugdebugger.h | 0 src/coreclr/{src => }/vm/debughelp.cpp | 0 src/coreclr/{src => }/vm/debuginfostore.cpp | 0 src/coreclr/{src => }/vm/debuginfostore.h | 0 src/coreclr/{src => }/vm/decodemd.cpp | 0 src/coreclr/{src => }/vm/decodemd.h | 0 src/coreclr/{src => }/vm/delegateinfo.h | 0 src/coreclr/{src => }/vm/diagnosticserver.cpp | 0 src/coreclr/{src => }/vm/diagnosticserver.h | 0 src/coreclr/{src => }/vm/diagnosticsprotocol.h | 0 src/coreclr/{src => }/vm/disassembler.cpp | 0 src/coreclr/{src => }/vm/disassembler.h | 0 src/coreclr/{src => }/vm/dispatchinfo.cpp | 0 src/coreclr/{src => }/vm/dispatchinfo.h | 0 src/coreclr/{src => }/vm/dispparammarshaler.cpp | 0 src/coreclr/{src => }/vm/dispparammarshaler.h | 0 src/coreclr/{src => }/vm/dllimport.cpp | 0 src/coreclr/{src => }/vm/dllimport.h | 0 src/coreclr/{src => }/vm/dllimportcallback.cpp | 0 src/coreclr/{src => }/vm/dllimportcallback.h | 0 src/coreclr/{src => }/vm/domainfile.cpp | 0 src/coreclr/{src => }/vm/domainfile.h | 0 src/coreclr/{src => }/vm/domainfile.inl | 0 .../{src => }/vm/dumpdiagnosticprotocolhelper.cpp | 0 .../{src => }/vm/dumpdiagnosticprotocolhelper.h | 0 src/coreclr/{src => }/vm/dwbucketmanager.hpp | 0 src/coreclr/{src => }/vm/dwreport.cpp | 0 src/coreclr/{src => }/vm/dwreport.h | 0 .../{src => }/vm/dynamicinterfacecastable.cpp | 0 .../{src => }/vm/dynamicinterfacecastable.h | 0 src/coreclr/{src => }/vm/dynamicmethod.cpp | 0 src/coreclr/{src => }/vm/dynamicmethod.h | 0 src/coreclr/{src => }/vm/ecall.cpp | 0 src/coreclr/{src => }/vm/ecall.h | 0 src/coreclr/{src => }/vm/ecalllist.h | 0 src/coreclr/{src => }/vm/eeconfig.cpp | 0 src/coreclr/{src => }/vm/eeconfig.h | 0 src/coreclr/{src => }/vm/eecontract.cpp | 0 src/coreclr/{src => }/vm/eecontract.h | 0 src/coreclr/{src => }/vm/eedbginterface.h | 0 src/coreclr/{src => }/vm/eedbginterfaceimpl.cpp | 0 src/coreclr/{src => }/vm/eedbginterfaceimpl.h | 0 src/coreclr/{src => }/vm/eedbginterfaceimpl.inl | 0 src/coreclr/{src => }/vm/eehash.cpp | 0 src/coreclr/{src => }/vm/eehash.h | 0 src/coreclr/{src => }/vm/eehash.inl | 0 src/coreclr/{src => }/vm/eemessagebox.cpp | 0 src/coreclr/{src => }/vm/eemessagebox.h | 0 src/coreclr/{src => }/vm/eepolicy.cpp | 0 src/coreclr/{src => }/vm/eepolicy.h | 0 src/coreclr/{src => }/vm/eeprofinterfaces.h | 0 src/coreclr/{src => }/vm/eeprofinterfaces.inl | 0 src/coreclr/{src => }/vm/eetoprofinterfaceimpl.cpp | 0 src/coreclr/{src => }/vm/eetoprofinterfaceimpl.h | 0 src/coreclr/{src => }/vm/eetoprofinterfaceimpl.inl | 0 .../{src => }/vm/eetoprofinterfacewrapper.inl | 0 src/coreclr/{src => }/vm/eetwain.cpp | 0 src/coreclr/{src => }/vm/encee.cpp | 0 src/coreclr/{src => }/vm/encee.h | 0 src/coreclr/{src => }/vm/eventing/CMakeLists.txt | 2 +- .../vm/eventing/EtwProvider/CMakeLists.txt | 2 +- .../{src => }/vm/eventing/eventpipe/CMakeLists.txt | 2 +- src/coreclr/{src => }/vm/eventpipe.cpp | 0 src/coreclr/{src => }/vm/eventpipe.h | 0 src/coreclr/{src => }/vm/eventpipeblock.cpp | 0 src/coreclr/{src => }/vm/eventpipeblock.h | 0 src/coreclr/{src => }/vm/eventpipebuffer.cpp | 0 src/coreclr/{src => }/vm/eventpipebuffer.h | 0 .../{src => }/vm/eventpipebuffermanager.cpp | 0 src/coreclr/{src => }/vm/eventpipebuffermanager.h | 0 src/coreclr/{src => }/vm/eventpipecommontypes.cpp | 0 src/coreclr/{src => }/vm/eventpipecommontypes.h | 0 .../{src => }/vm/eventpipeconfiguration.cpp | 0 src/coreclr/{src => }/vm/eventpipeconfiguration.h | 0 src/coreclr/{src => }/vm/eventpipeevent.cpp | 0 src/coreclr/{src => }/vm/eventpipeevent.h | 0 .../{src => }/vm/eventpipeeventinstance.cpp | 0 src/coreclr/{src => }/vm/eventpipeeventinstance.h | 0 src/coreclr/{src => }/vm/eventpipeeventpayload.cpp | 0 src/coreclr/{src => }/vm/eventpipeeventpayload.h | 0 src/coreclr/{src => }/vm/eventpipeeventsource.cpp | 0 src/coreclr/{src => }/vm/eventpipeeventsource.h | 0 src/coreclr/{src => }/vm/eventpipefile.cpp | 0 src/coreclr/{src => }/vm/eventpipefile.h | 0 src/coreclr/{src => }/vm/eventpipeinternal.cpp | 0 src/coreclr/{src => }/vm/eventpipeinternal.h | 0 src/coreclr/{src => }/vm/eventpipejsonfile.cpp | 0 src/coreclr/{src => }/vm/eventpipejsonfile.h | 0 .../{src => }/vm/eventpipemetadatagenerator.cpp | 0 .../{src => }/vm/eventpipemetadatagenerator.h | 0 .../{src => }/vm/eventpipeprotocolhelper.cpp | 0 src/coreclr/{src => }/vm/eventpipeprotocolhelper.h | 0 src/coreclr/{src => }/vm/eventpipeprovider.cpp | 0 src/coreclr/{src => }/vm/eventpipeprovider.h | 0 src/coreclr/{src => }/vm/eventpipesession.cpp | 0 src/coreclr/{src => }/vm/eventpipesession.h | 0 .../{src => }/vm/eventpipesessionprovider.cpp | 0 .../{src => }/vm/eventpipesessionprovider.h | 0 src/coreclr/{src => }/vm/eventpipethread.cpp | 0 src/coreclr/{src => }/vm/eventpipethread.h | 0 src/coreclr/{src => }/vm/eventreporter.cpp | 0 src/coreclr/{src => }/vm/eventreporter.h | 0 src/coreclr/{src => }/vm/eventstore.cpp | 0 src/coreclr/{src => }/vm/eventstore.hpp | 0 src/coreclr/{src => }/vm/eventtrace.cpp | 0 src/coreclr/{src => }/vm/eventtrace.inl | 0 src/coreclr/{src => }/vm/eventtracepriv.h | 0 src/coreclr/{src => }/vm/excep.cpp | 0 src/coreclr/{src => }/vm/excep.h | 0 src/coreclr/{src => }/vm/exceptionhandling.cpp | 0 src/coreclr/{src => }/vm/exceptionhandling.h | 0 src/coreclr/{src => }/vm/exceptmacros.h | 0 src/coreclr/{src => }/vm/exinfo.cpp | 0 src/coreclr/{src => }/vm/exinfo.h | 0 src/coreclr/{src => }/vm/exstate.cpp | 0 src/coreclr/{src => }/vm/exstate.h | 0 src/coreclr/{src => }/vm/exstatecommon.h | 0 src/coreclr/{src => }/vm/fastserializableobject.h | 0 src/coreclr/{src => }/vm/fastserializer.cpp | 0 src/coreclr/{src => }/vm/fastserializer.h | 0 src/coreclr/{src => }/vm/fcall.cpp | 0 src/coreclr/{src => }/vm/fcall.h | 0 src/coreclr/{src => }/vm/field.cpp | 0 src/coreclr/{src => }/vm/field.h | 0 src/coreclr/{src => }/vm/fieldmarshaler.cpp | 0 src/coreclr/{src => }/vm/fieldmarshaler.h | 0 src/coreclr/{src => }/vm/finalizerthread.cpp | 0 src/coreclr/{src => }/vm/finalizerthread.h | 0 src/coreclr/{src => }/vm/formattype.cpp | 0 src/coreclr/{src => }/vm/fptrstubs.cpp | 0 src/coreclr/{src => }/vm/fptrstubs.h | 0 src/coreclr/{src => }/vm/frames.cpp | 0 src/coreclr/{src => }/vm/frames.h | 0 src/coreclr/{src => }/vm/gccover.cpp | 0 src/coreclr/{src => }/vm/gccover.h | 0 src/coreclr/{src => }/vm/gcdecode.cpp | 0 src/coreclr/{src => }/vm/gcdesc.h | 0 src/coreclr/{src => }/vm/gcenv.ee.common.cpp | 0 src/coreclr/{src => }/vm/gcenv.ee.cpp | 0 src/coreclr/{src => }/vm/gcenv.ee.h | 0 src/coreclr/{src => }/vm/gcenv.ee.standalone.cpp | 0 src/coreclr/{src => }/vm/gcenv.ee.static.cpp | 0 src/coreclr/{src => }/vm/gcenv.h | 0 src/coreclr/{src => }/vm/gcenv.interlocked.h | 0 src/coreclr/{src => }/vm/gcenv.interlocked.inl | 0 src/coreclr/{src => }/vm/gcenv.os.cpp | 0 src/coreclr/{src => }/vm/gcenv.os.h | 0 src/coreclr/{src => }/vm/gcenv.unix.inl | 0 src/coreclr/{src => }/vm/gcenv.windows.inl | 0 src/coreclr/{src => }/vm/gchandleutilities.cpp | 0 src/coreclr/{src => }/vm/gchandleutilities.h | 0 src/coreclr/{src => }/vm/gcheaphashtable.h | 0 src/coreclr/{src => }/vm/gcheaphashtable.inl | 0 src/coreclr/{src => }/vm/gcheaputilities.cpp | 0 src/coreclr/{src => }/vm/gcheaputilities.h | 0 src/coreclr/{src => }/vm/gchelpers.cpp | 0 src/coreclr/{src => }/vm/gchelpers.h | 0 src/coreclr/{src => }/vm/gchelpers.inl | 0 src/coreclr/{src => }/vm/gcinfodecoder.cpp | 0 src/coreclr/{src => }/vm/gcinterface.h | 0 src/coreclr/{src => }/vm/gcstress.h | 0 src/coreclr/{src => }/vm/gctoclreventsink.cpp | 0 src/coreclr/{src => }/vm/gctoclreventsink.h | 0 src/coreclr/{src => }/vm/gdbjit.cpp | 0 src/coreclr/{src => }/vm/gdbjit.h | 0 src/coreclr/{src => }/vm/gdbjithelpers.h | 0 src/coreclr/{src => }/vm/genanalysis.cpp | 0 src/coreclr/{src => }/vm/genanalysis.h | 0 src/coreclr/{src => }/vm/genericdict.cpp | 0 src/coreclr/{src => }/vm/genericdict.h | 0 src/coreclr/{src => }/vm/generics.cpp | 0 src/coreclr/{src => }/vm/generics.h | 0 src/coreclr/{src => }/vm/genmeth.cpp | 0 src/coreclr/{src => }/vm/gms.h | 0 src/coreclr/{src => }/vm/h2inc.pl | 0 src/coreclr/{src => }/vm/h2inc.ps1 | 0 src/coreclr/{src => }/vm/hash.cpp | 0 src/coreclr/{src => }/vm/hash.h | 0 src/coreclr/{src => }/vm/hillclimbing.cpp | 0 src/coreclr/{src => }/vm/hillclimbing.h | 0 src/coreclr/{src => }/vm/hosting.cpp | 0 src/coreclr/{src => }/vm/i386/AsmMacros.inc | 0 src/coreclr/{src => }/vm/i386/PInvokeStubs.asm | 0 .../{src => }/vm/i386/RedirectedHandledJITCase.asm | 0 src/coreclr/{src => }/vm/i386/asmconstants.h | 0 src/coreclr/{src => }/vm/i386/asmhelpers.S | 0 src/coreclr/{src => }/vm/i386/asmhelpers.asm | 0 src/coreclr/{src => }/vm/i386/cgencpu.h | 0 src/coreclr/{src => }/vm/i386/cgenx86.cpp | 0 src/coreclr/{src => }/vm/i386/ehhelpers.S | 0 src/coreclr/{src => }/vm/i386/excepcpu.h | 0 src/coreclr/{src => }/vm/i386/excepx86.cpp | 0 src/coreclr/{src => }/vm/i386/gmsasm.S | 0 src/coreclr/{src => }/vm/i386/gmsasm.asm | 0 src/coreclr/{src => }/vm/i386/gmscpu.h | 0 src/coreclr/{src => }/vm/i386/gmsx86.cpp | 0 src/coreclr/{src => }/vm/i386/jithelp.S | 0 src/coreclr/{src => }/vm/i386/jithelp.asm | 0 src/coreclr/{src => }/vm/i386/jitinterfacex86.cpp | 0 src/coreclr/{src => }/vm/i386/pinvokestubs.S | 0 src/coreclr/{src => }/vm/i386/profiler.cpp | 0 src/coreclr/{src => }/vm/i386/stublinkerx86.cpp | 0 src/coreclr/{src => }/vm/i386/stublinkerx86.h | 0 src/coreclr/{src => }/vm/i386/umthunkstub.S | 0 src/coreclr/{src => }/vm/i386/unixstubs.cpp | 0 .../{src => }/vm/i386/virtualcallstubcpu.hpp | 0 src/coreclr/{src => }/vm/ibclogger.cpp | 0 src/coreclr/{src => }/vm/ibclogger.h | 0 src/coreclr/{src => }/vm/ildump.h | 0 src/coreclr/{src => }/vm/ilinstrumentation.cpp | 0 src/coreclr/{src => }/vm/ilinstrumentation.h | 0 src/coreclr/{src => }/vm/ilmarshalers.cpp | 0 src/coreclr/{src => }/vm/ilmarshalers.h | 0 src/coreclr/{src => }/vm/ilstubcache.cpp | 0 src/coreclr/{src => }/vm/ilstubcache.h | 0 src/coreclr/{src => }/vm/ilstubresolver.cpp | 0 src/coreclr/{src => }/vm/ilstubresolver.h | 0 src/coreclr/{src => }/vm/inlinetracking.cpp | 0 src/coreclr/{src => }/vm/inlinetracking.h | 0 src/coreclr/{src => }/vm/instmethhash.cpp | 0 src/coreclr/{src => }/vm/instmethhash.h | 0 src/coreclr/{src => }/vm/interopconverter.cpp | 0 src/coreclr/{src => }/vm/interopconverter.h | 0 src/coreclr/{src => }/vm/interoplibinterface.cpp | 0 src/coreclr/{src => }/vm/interoplibinterface.h | 0 src/coreclr/{src => }/vm/interoputil.cpp | 0 src/coreclr/{src => }/vm/interoputil.h | 0 src/coreclr/{src => }/vm/interoputil.inl | 0 src/coreclr/{src => }/vm/interpreter.cpp | 0 src/coreclr/{src => }/vm/interpreter.h | 0 src/coreclr/{src => }/vm/interpreter.hpp | 0 src/coreclr/{src => }/vm/invokeutil.cpp | 0 src/coreclr/{src => }/vm/invokeutil.h | 0 src/coreclr/{src => }/vm/ipcstreamfactory.cpp | 0 src/coreclr/{src => }/vm/ipcstreamfactory.h | 0 src/coreclr/{src => }/vm/iterator_util.h | 0 src/coreclr/{src => }/vm/jithelpers.cpp | 0 src/coreclr/{src => }/vm/jithost.cpp | 0 src/coreclr/{src => }/vm/jithost.h | 0 src/coreclr/{src => }/vm/jitinterface.cpp | 0 src/coreclr/{src => }/vm/jitinterface.h | 0 src/coreclr/{src => }/vm/jitinterfacegen.cpp | 0 src/coreclr/{src => }/vm/listlock.h | 0 src/coreclr/{src => }/vm/loaderallocator.cpp | 0 src/coreclr/{src => }/vm/loaderallocator.hpp | 0 src/coreclr/{src => }/vm/loaderallocator.inl | 0 src/coreclr/{src => }/vm/lockedrangelist.h | 0 src/coreclr/{src => }/vm/managedmdimport.cpp | 0 src/coreclr/{src => }/vm/managedmdimport.hpp | 0 src/coreclr/{src => }/vm/marshalnative.cpp | 0 src/coreclr/{src => }/vm/marshalnative.h | 0 src/coreclr/{src => }/vm/memberload.cpp | 0 src/coreclr/{src => }/vm/memberload.h | 0 src/coreclr/{src => }/vm/metasig.h | 0 src/coreclr/{src => }/vm/method.cpp | 0 src/coreclr/{src => }/vm/method.hpp | 0 src/coreclr/{src => }/vm/method.inl | 0 .../{src => }/vm/methoddescbackpatchinfo.cpp | 0 src/coreclr/{src => }/vm/methoddescbackpatchinfo.h | 0 src/coreclr/{src => }/vm/methodimpl.cpp | 0 src/coreclr/{src => }/vm/methodimpl.h | 0 src/coreclr/{src => }/vm/methoditer.cpp | 0 src/coreclr/{src => }/vm/methoditer.h | 0 src/coreclr/{src => }/vm/methodtable.cpp | 0 src/coreclr/{src => }/vm/methodtable.h | 0 src/coreclr/{src => }/vm/methodtable.inl | 0 src/coreclr/{src => }/vm/methodtablebuilder.cpp | 0 src/coreclr/{src => }/vm/methodtablebuilder.h | 0 src/coreclr/{src => }/vm/methodtablebuilder.inl | 0 src/coreclr/{src => }/vm/mlinfo.cpp | 0 src/coreclr/{src => }/vm/mlinfo.h | 0 src/coreclr/{src => }/vm/mngstdinterfaces.cpp | 0 src/coreclr/{src => }/vm/mngstdinterfaces.h | 0 src/coreclr/{src => }/vm/mngstditflist.h | 0 src/coreclr/{src => }/vm/mtypes.h | 0 src/coreclr/{src => }/vm/multicorejit.cpp | 0 src/coreclr/{src => }/vm/multicorejit.h | 0 src/coreclr/{src => }/vm/multicorejitimpl.h | 0 src/coreclr/{src => }/vm/multicorejitplayer.cpp | 0 src/coreclr/{src => }/vm/namespace.h | 0 src/coreclr/{src => }/vm/nativeeventsource.cpp | 0 src/coreclr/{src => }/vm/nativeeventsource.h | 0 src/coreclr/{src => }/vm/nativeformatreader.h | 0 src/coreclr/{src => }/vm/nativeimage.cpp | 0 src/coreclr/{src => }/vm/nativeimage.h | 0 src/coreclr/{src => }/vm/nativelibrarynative.cpp | 0 src/coreclr/{src => }/vm/nativelibrarynative.h | 0 src/coreclr/{src => }/vm/nativeoverlapped.cpp | 0 src/coreclr/{src => }/vm/nativeoverlapped.h | 0 src/coreclr/{src => }/vm/ngenhash.h | 0 src/coreclr/{src => }/vm/ngenhash.inl | 0 src/coreclr/{src => }/vm/notifyexternals.cpp | 0 src/coreclr/{src => }/vm/notifyexternals.h | 0 src/coreclr/{src => }/vm/object.cpp | 0 src/coreclr/{src => }/vm/object.h | 0 src/coreclr/{src => }/vm/object.inl | 0 src/coreclr/{src => }/vm/objectlist.cpp | 0 src/coreclr/{src => }/vm/objectlist.h | 0 src/coreclr/{src => }/vm/olecontexthelpers.cpp | 0 src/coreclr/{src => }/vm/olecontexthelpers.h | 0 src/coreclr/{src => }/vm/oletls.h | 0 src/coreclr/{src => }/vm/olevariant.cpp | 0 src/coreclr/{src => }/vm/olevariant.h | 0 src/coreclr/{src => }/vm/onstackreplacement.cpp | 0 src/coreclr/{src => }/vm/onstackreplacement.h | 0 src/coreclr/{src => }/vm/packedfields.inl | 0 src/coreclr/{src => }/vm/pefile.cpp | 0 src/coreclr/{src => }/vm/pefile.h | 0 src/coreclr/{src => }/vm/pefile.inl | 0 src/coreclr/{src => }/vm/peimage.cpp | 0 src/coreclr/{src => }/vm/peimage.h | 0 src/coreclr/{src => }/vm/peimage.inl | 0 src/coreclr/{src => }/vm/peimagelayout.cpp | 0 src/coreclr/{src => }/vm/peimagelayout.h | 0 src/coreclr/{src => }/vm/peimagelayout.inl | 0 src/coreclr/{src => }/vm/pendingload.cpp | 0 src/coreclr/{src => }/vm/pendingload.h | 0 src/coreclr/{src => }/vm/perfinfo.cpp | 0 src/coreclr/{src => }/vm/perfinfo.h | 0 src/coreclr/{src => }/vm/perfmap.cpp | 0 src/coreclr/{src => }/vm/perfmap.h | 0 src/coreclr/{src => }/vm/pgo.cpp | 0 src/coreclr/{src => }/vm/pgo.h | 0 src/coreclr/{src => }/vm/pinvokeoverride.cpp | 0 src/coreclr/{src => }/vm/precode.cpp | 0 src/coreclr/{src => }/vm/precode.h | 0 src/coreclr/{src => }/vm/prestub.cpp | 0 .../vm/processdiagnosticsprotocolhelper.cpp | 0 .../vm/processdiagnosticsprotocolhelper.h | 0 src/coreclr/{src => }/vm/profdetach.cpp | 0 src/coreclr/{src => }/vm/profdetach.h | 0 .../vm/profilerdiagnosticprotocolhelper.cpp | 0 .../vm/profilerdiagnosticprotocolhelper.h | 0 .../{src => }/vm/profilermetadataemitvalidator.cpp | 0 .../{src => }/vm/profilermetadataemitvalidator.h | 0 src/coreclr/{src => }/vm/profilingenumerators.cpp | 0 src/coreclr/{src => }/vm/profilingenumerators.h | 0 src/coreclr/{src => }/vm/profilinghelper.cpp | 0 src/coreclr/{src => }/vm/profilinghelper.h | 0 src/coreclr/{src => }/vm/profilinghelper.inl | 0 src/coreclr/{src => }/vm/proftoeeinterfaceimpl.cpp | 0 src/coreclr/{src => }/vm/proftoeeinterfaceimpl.h | 0 src/coreclr/{src => }/vm/proftoeeinterfaceimpl.inl | 0 src/coreclr/{src => }/vm/qcall.cpp | 0 src/coreclr/{src => }/vm/qcall.h | 0 src/coreclr/{src => }/vm/rcwrefcache.cpp | 0 src/coreclr/{src => }/vm/rcwrefcache.h | 0 src/coreclr/{src => }/vm/readytoruninfo.cpp | 0 src/coreclr/{src => }/vm/readytoruninfo.h | 0 src/coreclr/{src => }/vm/reflectclasswriter.cpp | 0 src/coreclr/{src => }/vm/reflectclasswriter.h | 0 src/coreclr/{src => }/vm/reflectioninvocation.cpp | 0 src/coreclr/{src => }/vm/reflectioninvocation.h | 0 src/coreclr/{src => }/vm/rejit.cpp | 0 src/coreclr/{src => }/vm/rejit.h | 0 src/coreclr/{src => }/vm/rejit.inl | 0 src/coreclr/{src => }/vm/rexcep.h | 0 src/coreclr/{src => }/vm/rtlfunctions.cpp | 0 src/coreclr/{src => }/vm/rtlfunctions.h | 0 .../{src => }/vm/runtimecallablewrapper.cpp | 0 src/coreclr/{src => }/vm/runtimecallablewrapper.h | 0 src/coreclr/{src => }/vm/runtimeexceptionkind.h | 0 src/coreclr/{src => }/vm/runtimehandles.cpp | 0 src/coreclr/{src => }/vm/runtimehandles.h | 0 src/coreclr/{src => }/vm/safehandle.cpp | 0 src/coreclr/{src => }/vm/sampleprofiler.cpp | 0 src/coreclr/{src => }/vm/sampleprofiler.h | 0 src/coreclr/{src => }/vm/sigformat.cpp | 0 src/coreclr/{src => }/vm/sigformat.h | 0 src/coreclr/{src => }/vm/siginfo.cpp | 0 src/coreclr/{src => }/vm/siginfo.hpp | 0 src/coreclr/{src => }/vm/simplerwlock.cpp | 0 src/coreclr/{src => }/vm/simplerwlock.hpp | 0 src/coreclr/{src => }/vm/sourceline.cpp | 0 src/coreclr/{src => }/vm/sourceline.h | 0 src/coreclr/{src => }/vm/specialstatics.h | 0 src/coreclr/{src => }/vm/spinlock.cpp | 0 src/coreclr/{src => }/vm/spinlock.h | 0 src/coreclr/{src => }/vm/stackcontents.h | 0 src/coreclr/{src => }/vm/stackingallocator.cpp | 0 src/coreclr/{src => }/vm/stackingallocator.h | 0 src/coreclr/{src => }/vm/stacksampler.cpp | 0 src/coreclr/{src => }/vm/stacksampler.h | 0 src/coreclr/{src => }/vm/stackwalk.cpp | 0 src/coreclr/{src => }/vm/stackwalk.h | 0 src/coreclr/{src => }/vm/stackwalktypes.h | 0 .../{src => }/vm/staticallocationhelpers.inl | 0 src/coreclr/{src => }/vm/stdinterfaces.cpp | 0 src/coreclr/{src => }/vm/stdinterfaces.h | 0 src/coreclr/{src => }/vm/stdinterfaces_internal.h | 0 src/coreclr/{src => }/vm/stdinterfaces_wrapper.cpp | 0 src/coreclr/{src => }/vm/stringliteralmap.cpp | 0 src/coreclr/{src => }/vm/stringliteralmap.h | 0 src/coreclr/{src => }/vm/stubcache.cpp | 0 src/coreclr/{src => }/vm/stubcache.h | 0 src/coreclr/{src => }/vm/stubgen.cpp | 0 src/coreclr/{src => }/vm/stubgen.h | 0 src/coreclr/{src => }/vm/stubhelpers.cpp | 0 src/coreclr/{src => }/vm/stubhelpers.h | 0 src/coreclr/{src => }/vm/stublink.cpp | 0 src/coreclr/{src => }/vm/stublink.h | 0 src/coreclr/{src => }/vm/stublink.inl | 0 src/coreclr/{src => }/vm/stubmgr.cpp | 0 src/coreclr/{src => }/vm/stubmgr.h | 0 src/coreclr/{src => }/vm/syncblk.cpp | 0 src/coreclr/{src => }/vm/syncblk.h | 0 src/coreclr/{src => }/vm/syncblk.inl | 0 src/coreclr/{src => }/vm/syncclean.cpp | 0 src/coreclr/{src => }/vm/syncclean.hpp | 0 src/coreclr/{src => }/vm/synch.cpp | 0 src/coreclr/{src => }/vm/synch.h | 0 src/coreclr/{src => }/vm/tailcallhelp.cpp | 0 src/coreclr/{src => }/vm/tailcallhelp.h | 0 .../{src => }/vm/threaddebugblockinginfo.cpp | 0 src/coreclr/{src => }/vm/threaddebugblockinginfo.h | 0 src/coreclr/{src => }/vm/threadpoolrequest.cpp | 0 src/coreclr/{src => }/vm/threadpoolrequest.h | 0 src/coreclr/{src => }/vm/threads.cpp | 0 src/coreclr/{src => }/vm/threads.h | 0 src/coreclr/{src => }/vm/threads.inl | 0 src/coreclr/{src => }/vm/threadstatics.cpp | 0 src/coreclr/{src => }/vm/threadstatics.h | 0 src/coreclr/{src => }/vm/threadsuspend.cpp | 0 src/coreclr/{src => }/vm/threadsuspend.h | 0 src/coreclr/{src => }/vm/tieredcompilation.cpp | 0 src/coreclr/{src => }/vm/tieredcompilation.h | 0 src/coreclr/{src => }/vm/typectxt.cpp | 0 src/coreclr/{src => }/vm/typectxt.h | 0 src/coreclr/{src => }/vm/typedesc.cpp | 0 src/coreclr/{src => }/vm/typedesc.h | 0 src/coreclr/{src => }/vm/typedesc.inl | 0 src/coreclr/{src => }/vm/typeequivalencehash.cpp | 0 src/coreclr/{src => }/vm/typeequivalencehash.hpp | 0 src/coreclr/{src => }/vm/typehandle.cpp | 0 src/coreclr/{src => }/vm/typehandle.h | 0 src/coreclr/{src => }/vm/typehandle.inl | 0 src/coreclr/{src => }/vm/typehash.cpp | 0 src/coreclr/{src => }/vm/typehash.h | 0 src/coreclr/{src => }/vm/typehashingalgorithms.h | 0 src/coreclr/{src => }/vm/typekey.h | 0 src/coreclr/{src => }/vm/typeparse.cpp | 0 src/coreclr/{src => }/vm/typeparse.h | 0 src/coreclr/{src => }/vm/typestring.cpp | 0 src/coreclr/{src => }/vm/typestring.h | 0 src/coreclr/{src => }/vm/util.cpp | 0 src/coreclr/{src => }/vm/util.hpp | 0 src/coreclr/{src => }/vm/vars.cpp | 0 src/coreclr/{src => }/vm/vars.hpp | 0 .../{src => }/vm/versionresilienthashcode.cpp | 0 .../{src => }/vm/versionresilienthashcode.h | 0 src/coreclr/{src => }/vm/virtualcallstub.cpp | 0 src/coreclr/{src => }/vm/virtualcallstub.h | 0 src/coreclr/{src => }/vm/vmholder.h | 0 src/coreclr/{src => }/vm/weakreferencenative.cpp | 0 src/coreclr/{src => }/vm/weakreferencenative.h | 0 src/coreclr/{src => }/vm/wellknownattributes.h | 0 src/coreclr/{src => }/vm/win32threadpool.cpp | 0 src/coreclr/{src => }/vm/win32threadpool.h | 0 src/coreclr/{src => }/vm/wks/CMakeLists.txt | 0 src/coreclr/{src => }/vm/wrappers.h | 0 .../{src => }/vm/yieldprocessornormalized.cpp | 0 src/coreclr/{src => }/vm/zapsig.cpp | 0 src/coreclr/{src => }/vm/zapsig.h | 0 src/coreclr/{src => }/zap/CMakeLists.txt | 0 src/coreclr/{src => }/zap/common.h | 0 src/coreclr/{src => }/zap/nativeformatwriter.cpp | 0 src/coreclr/{src => }/zap/nativeformatwriter.h | 0 src/coreclr/{src => }/zap/svcworker.cpp | 0 src/coreclr/{src => }/zap/zapcode.cpp | 0 src/coreclr/{src => }/zap/zapcode.h | 0 src/coreclr/{src => }/zap/zapheaders.cpp | 0 src/coreclr/{src => }/zap/zapheaders.h | 0 src/coreclr/{src => }/zap/zapimage.cpp | 0 src/coreclr/{src => }/zap/zapimage.h | 0 src/coreclr/{src => }/zap/zapimport.cpp | 0 src/coreclr/{src => }/zap/zapimport.h | 0 src/coreclr/{src => }/zap/zapinfo.cpp | 0 src/coreclr/{src => }/zap/zapinfo.h | 0 src/coreclr/{src => }/zap/zapinnerptr.cpp | 0 src/coreclr/{src => }/zap/zapinnerptr.h | 0 src/coreclr/{src => }/zap/zaplog.h | 0 src/coreclr/{src => }/zap/zapmetadata.cpp | 0 src/coreclr/{src => }/zap/zapmetadata.h | 0 src/coreclr/{src => }/zap/zapnodetype.h | 0 src/coreclr/{src => }/zap/zapper.cpp | 0 src/coreclr/{src => }/zap/zapperstats.cpp | 0 src/coreclr/{src => }/zap/zapperstats.h | 0 src/coreclr/{src => }/zap/zapreadytorun.cpp | 0 src/coreclr/{src => }/zap/zapreadytorun.h | 0 src/coreclr/{src => }/zap/zaprelocs.cpp | 0 src/coreclr/{src => }/zap/zaprelocs.h | 0 src/coreclr/{src => }/zap/zapwrapper.cpp | 0 src/coreclr/{src => }/zap/zapwrapper.h | 0 src/coreclr/{src => }/zap/zapwriter.cpp | 0 src/coreclr/{src => }/zap/zapwriter.h | 0 src/tests/CMakeLists.txt | 2 +- src/tests/Common/scripts/format.py | 2 +- src/tests/ilverify/ILVerification.Tests.csproj | 2 +- src/tests/profiler/native/CMakeLists.txt | 4 +- 5169 files changed, 207 insertions(+), 216 deletions(-) rename src/coreclr/{src => }/.nuget/Directory.Build.props (100%) rename src/coreclr/{src => }/.nuget/Directory.Build.targets (100%) rename src/coreclr/{src => }/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.pkgproj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.proj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.ILVerification/Microsoft.ILVerification.pkgproj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NET.Sdk.IL/Microsoft.NET.Sdk.IL.pkgproj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.props (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.targets (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.Common.targets (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.targets (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.pkgproj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.proj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.pkgproj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.proj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.pkgproj (100%) rename src/coreclr/{src => }/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.proj (100%) rename src/coreclr/{src => }/.nuget/_.pdb (100%) rename src/coreclr/{src => }/.nuget/builds.targets (100%) rename src/coreclr/{src => }/.nuget/coreclr-packages.proj (100%) rename src/coreclr/{src => }/.nuget/descriptions.json (100%) rename src/coreclr/{src => }/.nuget/dotnet-ilverify/DotnetToolSettings.xml (100%) rename src/coreclr/{src => }/.nuget/dotnet-ilverify/dotnet-ilverify.pkgproj (100%) rename src/coreclr/{src => }/.nuget/optdata/Directory.Build.props (100%) rename src/coreclr/{src => }/.nuget/optdata/Directory.Build.targets (100%) rename src/coreclr/{src => }/.nuget/optdata/optdata.csproj (100%) rename src/coreclr/{src => }/.nuget/packageIndex.json (100%) rename src/coreclr/{src => }/.nuget/packaging.props (100%) rename src/coreclr/{src => }/.nuget/versioning.targets (100%) rename src/coreclr/{src => }/System.Private.CoreLib/CreateRuntimeRootILLinkDescriptorFile.targets (100%) rename src/coreclr/{src => }/System.Private.CoreLib/MembersMustExist.AnalyzerData (100%) rename src/coreclr/{src => }/System.Private.CoreLib/System.Private.CoreLib.csproj (98%) rename src/coreclr/{src => }/System.Private.CoreLib/System.Private.CoreLib.sln (100%) rename src/coreclr/{src => }/System.Private.CoreLib/Tools/GenUnicodeProp/CategoryCasingInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/Tools/GenUnicodeProp/DataTable.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/Tools/GenUnicodeProp/GenUnicodeProp.csproj (100%) rename src/coreclr/{src => }/System.Private.CoreLib/Tools/GenUnicodeProp/NumericGraphemeInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/Tools/GenUnicodeProp/Program.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/Tools/GenUnicodeProp/Readme.md (100%) rename src/coreclr/{src => }/System.Private.CoreLib/Tools/GenUnicodeProp/StrongBidiCategory.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/Tools/GenUnicodeProp/TableLevels.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/ILLink/ILLinkTrim.xml (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComActivator.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComponentActivator.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/Internal/Runtime/InteropServices/InMemoryAssemblyLoader.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/Internal/Runtime/InteropServices/IsolatedComponentLoadContext.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/Interop/Unix/Interop.Libraries.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/Microsoft/Win32/OAVariantLib.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/ArgIterator.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Array.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Attribute.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/BadImageFormatException.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Buffer.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/CLRConfig.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Collections/EmptyReadOnlyDictionaryInternal.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Collections/Generic/ArraySortHelper.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Collections/Generic/Comparer.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Collections/Generic/ComparerHelpers.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Collections/Generic/EqualityComparer.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Collections/ObjectModel/ReadOnlyDictionary.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Currency.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/DateTime.Unix.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/DateTime.Windows.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Delegate.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/Debugger.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/EditAndContinueHelper.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/Eventing/EventPipe.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/ICustomDebuggerNotification.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/StackFrame.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/StackFrameHelper.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/StackTrace.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/ISymWriter.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/SymAddressKind.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/Token.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Enum.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Environment.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Exception.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/GC.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/IO/FileLoadException.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/IO/FileNotFoundException.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/IO/Stream.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Math.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/MathF.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/MissingMemberException.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/MulticastDelegate.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Object.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/OleAutBinder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Assembly.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/AssemblyName.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Associates.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/ConstructorInfo.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/CustomAttribute.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilderData.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/ConstructorBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/CustomAttributeBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/DynamicILGenerator.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/DynamicMethod.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/EnumBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/EventBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/FieldBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/GenericTypeParameterBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/ILGenerator.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/ISymWrapperCore.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/LocalBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilderInstantiation.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilderData.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/ParameterBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/PropertyBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/SignatureHelper.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/SymbolMethod.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/SymbolType.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilder.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilderInstantiation.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Emit/XXXOnTypeBuilderInstantiation.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/FieldInfo.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/INVOCATION_FLAGS.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/LoaderAllocator.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/MdConstant.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/MdFieldInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/MdImport.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/MemberInfo.Internal.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/Metadata/AssemblyExtensions.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/MethodBase.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RtFieldInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeAssembly.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeConstructorInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeEventInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeExceptionHandlingClause.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeFieldInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeLocalVariableInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeMethodBody.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeMethodInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeModule.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimeParameterInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Reflection/RuntimePropertyInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Resources/ManifestBasedResourceGroveler.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/CompilerServices/CastHelpers.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/CompilerServices/CrossLoaderAllocatorHashHelpers.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/CompilerServices/DependentHandle.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/CompilerServices/GCHeapHash.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/CompilerServices/ICastableHelpers.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeFeature.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeHelpers.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/CompilerServices/TypeDependencyAttribute.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/GCSettings.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsHelper.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsInfo.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerable.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerator.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/ComWrappers.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ComDataHelpers.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumVariantViewOfEnumerator.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableToDispatchMarshaler.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableViewOfDispatch.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorToEnumVariantMarshaler.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorViewOfEnumVariant.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ExpandoToDispatchExMarshaler.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/TypeToTypeInfoMarshaler.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/DynamicInterfaceCastableHelpers.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/GCHandle.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/IException.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/Marshal.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/MemoryMarshal.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/InteropServices/NativeLibrary.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/Intrinsics/X86/X86Base.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/Loader/AssemblyLoadContext.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Runtime/Versioning/CompatibilitySwitch.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/RuntimeArgumentHandle.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/RuntimeHandles.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/RuntimeType.ActivatorCache.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/RuntimeType.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Security/DynamicSecurityMethodAttribute.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/StartupHookProvider.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/String.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/StubHelpers.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Text/StringBuilder.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Unix.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Windows.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandleOverlapped.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/ClrThreadPoolPreAllocatedOverlapped.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/Interlocked.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/LowLevelLifoSemaphore.Unix.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/Monitor.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/Overlapped.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/ProcessorIdCache.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/StackCrawlMark.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/SynchronizationContext.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/Thread.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/ThreadPool.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/Timer.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Threading/WaitHandle.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Type.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/TypeLoadException.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/TypeNameParser.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/TypedReference.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/ValueType.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/Variant.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/WeakReference.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/WeakReference.T.CoreCLR.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/__Canon.cs (100%) rename src/coreclr/{src => }/System.Private.CoreLib/src/System/__ComObject.cs (100%) rename src/coreclr/{src => }/ToolBox/CMakeLists.txt (100%) rename src/coreclr/{src => }/ToolBox/SOS/CMakeLists.txt (100%) rename src/coreclr/{src => }/ToolBox/SOS/DIALib/DIALib.il (100%) rename src/coreclr/{src => }/ToolBox/SOS/DIALib/DIALib.ilproj (100%) rename src/coreclr/{src => }/ToolBox/SOS/DacTableGen/DacTableGen.csproj (100%) rename src/coreclr/{src => }/ToolBox/SOS/DacTableGen/MapSymbolProvider.cs (100%) rename src/coreclr/{src => }/ToolBox/SOS/DacTableGen/cvconst.cs (100%) rename src/coreclr/{src => }/ToolBox/SOS/DacTableGen/diautil.cs (100%) rename src/coreclr/{src => }/ToolBox/SOS/DacTableGen/main.cs (100%) rename src/coreclr/{src => }/ToolBox/SOS/Directory.Build.props (100%) rename src/coreclr/{src => }/ToolBox/SOS/SOS_README.md (100%) rename src/coreclr/{src/jit => ToolBox/superpmi}/.clang-format (100%) rename src/coreclr/{src => }/ToolBox/superpmi/CMakeLists.txt (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/CMakeLists.txt (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/commandline.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/commandline.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/mcs.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/mcs.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/removedup.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/removedup.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbasmdump.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbasmdump.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbconcat.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbconcat.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbdump.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbdump.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbdumpmap.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbdumpmap.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbdumptoc.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbdumptoc.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbfracture.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbfracture.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbildump.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbildump.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbinteg.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbinteg.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbmerge.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbmerge.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbprintjiteeversion.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbprintjiteeversion.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbremovedup.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbremovedup.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbstat.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbstat.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbstrip.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbstrip.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbtoc.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/mcs/verbtoc.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/readme.md (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/asmdumper.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/asmdumper.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/callutils.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/callutils.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/compileresult.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/compileresult.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/crlwmlist.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/errorhandling.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/errorhandling.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/hash.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/hash.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/icorjitcompilerimpl.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/icorjithostimpl.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/icorjitinfoimpl.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/lightweightmap.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/logging.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/logging.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/lwmlist.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/mclist.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/mclist.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/methodcontext.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/methodcontext.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/methodcontextiterator.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/methodcontextiterator.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/methodcontextreader.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/methodcontextreader.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/runtimedetails.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/simpletimer.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/simpletimer.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/spmidumphelper.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/spmidumphelper.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/spmirecordhelper.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/spmiutil.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/spmiutil.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/standardpch.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/tocfile.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/tocfile.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/typeutils.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shared/typeutils.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/CMakeLists.txt (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/jithost.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/jithost.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.def (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/CMakeLists.txt (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/jithost.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/jithost.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.def (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/CMakeLists.txt (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/jithost.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/jithost.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.def (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/CMakeLists.txt (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/commandline.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/commandline.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/cycletimer.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/cycletimer.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/icorjitinfo.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/icorjitinfo.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/jitdebugger.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/jitdebugger.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/jithost.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/jithost.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/jitinstance.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/jitinstance.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/methodstatsemitter.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/methodstatsemitter.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/neardiffer.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/neardiffer.h (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/parallelsuperpmi.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/superpmi.cpp (100%) rename src/coreclr/{src => }/ToolBox/superpmi/superpmi/superpmi.h (100%) rename src/coreclr/{src => }/binder/CMakeLists.txt (100%) rename src/coreclr/{src => }/binder/activitytracker.cpp (100%) rename src/coreclr/{src => }/binder/applicationcontext.cpp (100%) rename src/coreclr/{src => }/binder/assembly.cpp (100%) rename src/coreclr/{src => }/binder/assemblybinder.cpp (100%) rename src/coreclr/{src => }/binder/assemblyidentitycache.cpp (100%) rename src/coreclr/{src => }/binder/assemblyname.cpp (100%) rename src/coreclr/{src => }/binder/bindertracing.cpp (100%) rename src/coreclr/{src => }/binder/clrprivbinderassemblyloadcontext.cpp (100%) rename src/coreclr/{src => }/binder/clrprivbindercoreclr.cpp (100%) rename src/coreclr/{src => }/binder/coreclrbindercommon.cpp (100%) rename src/coreclr/{src => }/binder/failurecache.cpp (100%) rename src/coreclr/{src => }/binder/fusionassemblyname.cpp (100%) rename src/coreclr/{src => }/binder/inc/activitytracker.h (100%) rename src/coreclr/{src => }/binder/inc/applicationcontext.hpp (100%) rename src/coreclr/{src => }/binder/inc/applicationcontext.inl (100%) rename src/coreclr/{src => }/binder/inc/assembly.hpp (100%) rename src/coreclr/{src => }/binder/inc/assembly.inl (100%) rename src/coreclr/{src => }/binder/inc/assemblybinder.hpp (100%) rename src/coreclr/{src => }/binder/inc/assemblyentry.hpp (100%) rename src/coreclr/{src => }/binder/inc/assemblyhashtraits.hpp (100%) rename src/coreclr/{src => }/binder/inc/assemblyidentity.hpp (100%) rename src/coreclr/{src => }/binder/inc/assemblyidentitycache.hpp (100%) rename src/coreclr/{src => }/binder/inc/assemblyname.hpp (100%) rename src/coreclr/{src => }/binder/inc/assemblyname.inl (100%) rename src/coreclr/{src => }/binder/inc/assemblyversion.hpp (100%) rename src/coreclr/{src => }/binder/inc/assemblyversion.inl (100%) rename src/coreclr/{src => }/binder/inc/bindertracing.h (100%) rename src/coreclr/{src => }/binder/inc/bindertypes.hpp (100%) rename src/coreclr/{src => }/binder/inc/bindresult.hpp (100%) rename src/coreclr/{src => }/binder/inc/bindresult.inl (100%) rename src/coreclr/{src => }/binder/inc/clrprivbinderassemblyloadcontext.h (100%) rename src/coreclr/{src => }/binder/inc/clrprivbindercoreclr.h (100%) rename src/coreclr/{src => }/binder/inc/contextentry.hpp (100%) rename src/coreclr/{src => }/binder/inc/coreclrbindercommon.h (100%) rename src/coreclr/{src => }/binder/inc/failurecache.hpp (100%) rename src/coreclr/{src => }/binder/inc/failurecachehashtraits.hpp (100%) rename src/coreclr/{src => }/binder/inc/fusionassemblyname.hpp (100%) rename src/coreclr/{src => }/binder/inc/fusionhelpers.hpp (100%) rename src/coreclr/{src => }/binder/inc/loadcontext.hpp (100%) rename src/coreclr/{src => }/binder/inc/loadcontext.inl (100%) rename src/coreclr/{src => }/binder/inc/stringlexer.hpp (100%) rename src/coreclr/{src => }/binder/inc/stringlexer.inl (100%) rename src/coreclr/{src => }/binder/inc/textualidentityparser.hpp (100%) rename src/coreclr/{src => }/binder/inc/utils.hpp (100%) rename src/coreclr/{src => }/binder/inc/variables.hpp (100%) rename src/coreclr/{src => }/binder/stringlexer.cpp (100%) rename src/coreclr/{src => }/binder/textualidentityparser.cpp (100%) rename src/coreclr/{src => }/binder/utils.cpp (100%) rename src/coreclr/{src => }/binder/variables.cpp (100%) rename src/coreclr/{src => }/classlibnative/CMakeLists.txt (100%) rename src/coreclr/{src => }/classlibnative/bcltype/CMakeLists.txt (100%) rename src/coreclr/{src => }/classlibnative/bcltype/arraynative.cpp (100%) rename src/coreclr/{src => }/classlibnative/bcltype/arraynative.h (100%) rename src/coreclr/{src => }/classlibnative/bcltype/arraynative.inl (100%) rename src/coreclr/{src => }/classlibnative/bcltype/oavariant.cpp (100%) rename src/coreclr/{src => }/classlibnative/bcltype/oavariant.h (100%) rename src/coreclr/{src => }/classlibnative/bcltype/objectnative.cpp (100%) rename src/coreclr/{src => }/classlibnative/bcltype/objectnative.h (100%) rename src/coreclr/{src => }/classlibnative/bcltype/stringnative.cpp (100%) rename src/coreclr/{src => }/classlibnative/bcltype/stringnative.h (100%) rename src/coreclr/{src => }/classlibnative/bcltype/system.cpp (100%) rename src/coreclr/{src => }/classlibnative/bcltype/system.h (100%) rename src/coreclr/{src => }/classlibnative/bcltype/varargsnative.cpp (100%) rename src/coreclr/{src => }/classlibnative/bcltype/varargsnative.h (100%) rename src/coreclr/{src => }/classlibnative/bcltype/variant.cpp (100%) rename src/coreclr/{src => }/classlibnative/bcltype/variant.h (100%) rename src/coreclr/{src => }/classlibnative/float/CMakeLists.txt (100%) rename src/coreclr/{src => }/classlibnative/float/floatdouble.cpp (100%) rename src/coreclr/{src => }/classlibnative/float/floatsingle.cpp (100%) rename src/coreclr/{src => }/classlibnative/inc/floatdouble.h (100%) rename src/coreclr/{src => }/classlibnative/inc/floatsingle.h (100%) rename src/coreclr/{src => }/classlibnative/inc/nls.h (100%) rename src/coreclr/{src => }/cpp.hint (100%) rename src/coreclr/{src => }/debug/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/createdump/CMakeLists.txt (97%) rename src/coreclr/{src => }/debug/createdump/config.h.in (100%) rename src/coreclr/{src => }/debug/createdump/configure.cmake (100%) rename src/coreclr/{src => }/debug/createdump/crashinfo.cpp (100%) rename src/coreclr/{src => }/debug/createdump/crashinfo.h (100%) rename src/coreclr/{src => }/debug/createdump/crashinfomac.cpp (100%) rename src/coreclr/{src => }/debug/createdump/crashinfounix.cpp (100%) rename src/coreclr/{src => }/debug/createdump/createdump.h (100%) rename src/coreclr/{src => }/debug/createdump/createdump.rc (100%) rename src/coreclr/{src => }/debug/createdump/createdumpunix.cpp (100%) rename src/coreclr/{src => }/debug/createdump/createdumpwindows.cpp (100%) rename src/coreclr/{src => }/debug/createdump/datatarget.cpp (100%) rename src/coreclr/{src => }/debug/createdump/datatarget.h (100%) rename src/coreclr/{src => }/debug/createdump/dumpname.cpp (100%) rename src/coreclr/{src => }/debug/createdump/dumpwriter.cpp (100%) rename src/coreclr/{src => }/debug/createdump/dumpwriter.h (100%) rename src/coreclr/{src => }/debug/createdump/mac.h (100%) rename src/coreclr/{src => }/debug/createdump/main.cpp (100%) rename src/coreclr/{src => }/debug/createdump/memoryregion.h (100%) rename src/coreclr/{src => }/debug/createdump/threadinfo.cpp (100%) rename src/coreclr/{src => }/debug/createdump/threadinfo.h (100%) rename src/coreclr/{src => }/debug/createdump/threadinfomac.cpp (100%) rename src/coreclr/{src => }/debug/createdump/threadinfounix.cpp (100%) rename src/coreclr/{src => }/debug/daccess/CMakeLists.txt (89%) rename src/coreclr/{src/debug/ee => debug/daccess}/amd64/primitives.cpp (100%) rename src/coreclr/{src => }/debug/daccess/arm/primitives.cpp (100%) rename src/coreclr/{src => }/debug/daccess/arm64/primitives.cpp (100%) rename src/coreclr/{src => }/debug/daccess/daccess.cpp (100%) rename src/coreclr/{src => }/debug/daccess/dacdbiimpl.cpp (100%) rename src/coreclr/{src => }/debug/daccess/dacdbiimpl.h (100%) rename src/coreclr/{src => }/debug/daccess/dacdbiimpl.inl (100%) rename src/coreclr/{src => }/debug/daccess/dacdbiimpllocks.cpp (100%) rename src/coreclr/{src => }/debug/daccess/dacdbiimplstackwalk.cpp (100%) rename src/coreclr/{src => }/debug/daccess/dacfn.cpp (100%) rename src/coreclr/{src => }/debug/daccess/dacimpl.h (100%) rename src/coreclr/{src => }/debug/daccess/datatargetadapter.cpp (100%) rename src/coreclr/{src => }/debug/daccess/datatargetadapter.h (100%) rename src/coreclr/{src => }/debug/daccess/enummem.cpp (100%) rename src/coreclr/{src => }/debug/daccess/fntableaccess.cpp (100%) rename src/coreclr/{src => }/debug/daccess/fntableaccess.h (100%) rename src/coreclr/{src => }/debug/daccess/gcinterface.dac.h (100%) rename src/coreclr/{src/debug/ee => debug/daccess}/i386/primitives.cpp (100%) rename src/coreclr/{src => }/debug/daccess/inspect.cpp (100%) rename src/coreclr/{src => }/debug/daccess/nidump.cpp (100%) rename src/coreclr/{src => }/debug/daccess/nidump.h (100%) rename src/coreclr/{src => }/debug/daccess/nidump.inl (100%) rename src/coreclr/{src => }/debug/daccess/reimpl.cpp (100%) rename src/coreclr/{src => }/debug/daccess/request.cpp (100%) rename src/coreclr/{src => }/debug/daccess/request_common.h (100%) rename src/coreclr/{src => }/debug/daccess/request_svr.cpp (100%) rename src/coreclr/{src => }/debug/daccess/stack.cpp (100%) rename src/coreclr/{src => }/debug/daccess/stdafx.h (100%) rename src/coreclr/{src => }/debug/daccess/task.cpp (100%) rename src/coreclr/{src => }/debug/dbgutil/CMakeLists.txt (93%) rename src/coreclr/{src => }/debug/dbgutil/dbgutil.cpp (100%) rename src/coreclr/{src => }/debug/dbgutil/elfreader.cpp (100%) rename src/coreclr/{src => }/debug/dbgutil/elfreader.h (100%) rename src/coreclr/{src => }/debug/dbgutil/machoreader.cpp (100%) rename src/coreclr/{src => }/debug/dbgutil/machoreader.h (100%) rename src/coreclr/{src => }/debug/debug-pal/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/debug-pal/dummy/twowaypipe.cpp (100%) rename src/coreclr/{src => }/debug/debug-pal/unix/diagnosticsipc.cpp (100%) rename src/coreclr/{src => }/debug/debug-pal/unix/processdescriptor.cpp (100%) rename src/coreclr/{src => }/debug/debug-pal/unix/twowaypipe.cpp (100%) rename src/coreclr/{src => }/debug/debug-pal/win/diagnosticsipc.cpp (100%) rename src/coreclr/{src => }/debug/debug-pal/win/processdescriptor.cpp (100%) rename src/coreclr/{src => }/debug/debug-pal/win/twowaypipe.cpp (100%) rename src/coreclr/{src => }/debug/di/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/di/ICorDebugValueTypes.vsd (100%) rename src/coreclr/{src => }/debug/di/amd64/FloatConversion.asm (100%) rename src/coreclr/{src => }/debug/di/amd64/cordbregisterset.cpp (100%) rename src/coreclr/{src => }/debug/di/amd64/floatconversion.S (100%) rename src/coreclr/{src => }/debug/di/amd64/primitives.cpp (100%) rename src/coreclr/{src => }/debug/di/arm/cordbregisterset.cpp (100%) rename src/coreclr/{src => }/debug/di/arm/floatconversion.S (100%) rename src/coreclr/{src => }/debug/di/arm/floatconversion.asm (100%) rename src/coreclr/{src => }/debug/di/arm/primitives.cpp (100%) rename src/coreclr/{src => }/debug/di/arm64/cordbregisterset.cpp (100%) rename src/coreclr/{src => }/debug/di/arm64/floatconversion.S (100%) rename src/coreclr/{src => }/debug/di/arm64/floatconversion.asm (100%) rename src/coreclr/{src => }/debug/di/arm64/primitives.cpp (100%) rename src/coreclr/{src => }/debug/di/breakpoint.cpp (100%) rename src/coreclr/{src => }/debug/di/classfactory.h (100%) rename src/coreclr/{src => }/debug/di/cordb.cpp (100%) rename src/coreclr/{src => }/debug/di/dbgtransportmanager.cpp (100%) rename src/coreclr/{src => }/debug/di/dbgtransportmanager.h (100%) rename src/coreclr/{src => }/debug/di/dbgtransportpipeline.cpp (100%) rename src/coreclr/{src => }/debug/di/divalue.cpp (100%) rename src/coreclr/{src => }/debug/di/eventchannel.h (100%) rename src/coreclr/{src => }/debug/di/eventredirectionpipeline.cpp (100%) rename src/coreclr/{src => }/debug/di/eventredirectionpipeline.h (100%) rename src/coreclr/{src => }/debug/di/hash.cpp (100%) rename src/coreclr/{src => }/debug/di/helpers.h (100%) rename src/coreclr/{src => }/debug/di/i386/cordbregisterset.cpp (100%) rename src/coreclr/{src => }/debug/di/i386/primitives.cpp (100%) rename src/coreclr/{src => }/debug/di/localeventchannel.cpp (100%) rename src/coreclr/{src => }/debug/di/module.cpp (100%) rename src/coreclr/{src => }/debug/di/nativepipeline.cpp (100%) rename src/coreclr/{src => }/debug/di/nativepipeline.h (100%) rename src/coreclr/{src => }/debug/di/platformspecific.cpp (100%) rename src/coreclr/{src => }/debug/di/process.cpp (100%) rename src/coreclr/{src => }/debug/di/publish.cpp (100%) rename src/coreclr/{src => }/debug/di/remoteeventchannel.cpp (100%) rename src/coreclr/{src => }/debug/di/rsappdomain.cpp (100%) rename src/coreclr/{src => }/debug/di/rsassembly.cpp (100%) rename src/coreclr/{src => }/debug/di/rsclass.cpp (100%) rename src/coreclr/{src => }/debug/di/rsenumerator.hpp (100%) rename src/coreclr/{src => }/debug/di/rsfunction.cpp (100%) rename src/coreclr/{src => }/debug/di/rsmain.cpp (100%) rename src/coreclr/{src => }/debug/di/rsmda.cpp (100%) rename src/coreclr/{src => }/debug/di/rspriv.h (100%) rename src/coreclr/{src => }/debug/di/rspriv.inl (100%) rename src/coreclr/{src => }/debug/di/rsregsetcommon.cpp (100%) rename src/coreclr/{src => }/debug/di/rsstackwalk.cpp (100%) rename src/coreclr/{src => }/debug/di/rsthread.cpp (100%) rename src/coreclr/{src => }/debug/di/rstype.cpp (100%) rename src/coreclr/{src => }/debug/di/shared.cpp (100%) rename src/coreclr/{src => }/debug/di/shimcallback.cpp (100%) rename src/coreclr/{src => }/debug/di/shimdatatarget.cpp (100%) rename src/coreclr/{src => }/debug/di/shimdatatarget.h (100%) rename src/coreclr/{src => }/debug/di/shimevents.cpp (100%) rename src/coreclr/{src => }/debug/di/shimlocaldatatarget.cpp (100%) rename src/coreclr/{src => }/debug/di/shimpriv.h (100%) rename src/coreclr/{src => }/debug/di/shimprocess.cpp (100%) rename src/coreclr/{src => }/debug/di/shimremotedatatarget.cpp (100%) rename src/coreclr/{src => }/debug/di/shimstackwalk.cpp (100%) rename src/coreclr/{src => }/debug/di/stdafx.h (100%) rename src/coreclr/{src => }/debug/di/symbolinfo.cpp (100%) rename src/coreclr/{src => }/debug/di/symbolinfo.h (100%) rename src/coreclr/{src => }/debug/di/valuehome.cpp (100%) rename src/coreclr/{src => }/debug/di/windowspipeline.cpp (100%) rename src/coreclr/{src => }/debug/ee/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/ee/amd64/amd64InstrDecode.h (100%) rename src/coreclr/{src => }/debug/ee/amd64/amd64walker.cpp (100%) rename src/coreclr/{src => }/debug/ee/amd64/dbghelpers.S (100%) rename src/coreclr/{src => }/debug/ee/amd64/dbghelpers.asm (100%) rename src/coreclr/{src => }/debug/ee/amd64/debuggerregdisplayhelper.cpp (100%) rename src/coreclr/{src => }/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.cs (100%) rename src/coreclr/{src => }/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.csproj (100%) rename src/coreclr/{src => }/debug/ee/amd64/gen_amd64InstrDecode/README.md (100%) rename src/coreclr/{src => }/debug/ee/amd64/gen_amd64InstrDecode/createOpcodes.cpp (100%) rename src/coreclr/{src/debug/daccess => debug/ee}/amd64/primitives.cpp (100%) rename src/coreclr/{src => }/debug/ee/arm/armwalker.cpp (100%) rename src/coreclr/{src => }/debug/ee/arm/dbghelpers.S (100%) rename src/coreclr/{src => }/debug/ee/arm/dbghelpers.asm (100%) rename src/coreclr/{src => }/debug/ee/arm/primitives.cpp (100%) rename src/coreclr/{src => }/debug/ee/arm64/arm64walker.cpp (100%) rename src/coreclr/{src => }/debug/ee/arm64/dbghelpers.S (100%) rename src/coreclr/{src => }/debug/ee/arm64/dbghelpers.asm (100%) rename src/coreclr/{src => }/debug/ee/arm64/primitives.cpp (100%) rename src/coreclr/{src => }/debug/ee/canary.cpp (100%) rename src/coreclr/{src => }/debug/ee/canary.h (100%) rename src/coreclr/{src => }/debug/ee/controller.cpp (100%) rename src/coreclr/{src => }/debug/ee/controller.h (100%) rename src/coreclr/{src => }/debug/ee/controller.inl (100%) rename src/coreclr/{src => }/debug/ee/dac/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/ee/dactable.cpp (100%) rename src/coreclr/{src => }/debug/ee/datatest.h (100%) rename src/coreclr/{src => }/debug/ee/debugger.cpp (100%) rename src/coreclr/{src => }/debug/ee/debugger.h (100%) rename src/coreclr/{src => }/debug/ee/debugger.inl (100%) rename src/coreclr/{src => }/debug/ee/debuggermodule.cpp (100%) rename src/coreclr/{src => }/debug/ee/frameinfo.cpp (100%) rename src/coreclr/{src => }/debug/ee/frameinfo.h (100%) rename src/coreclr/{src => }/debug/ee/funceval.cpp (100%) rename src/coreclr/{src => }/debug/ee/functioninfo.cpp (100%) rename src/coreclr/{src => }/debug/ee/i386/dbghelpers.S (100%) rename src/coreclr/{src => }/debug/ee/i386/dbghelpers.asm (100%) rename src/coreclr/{src => }/debug/ee/i386/debuggerregdisplayhelper.cpp (100%) rename src/coreclr/{src/debug/daccess => debug/ee}/i386/primitives.cpp (100%) rename src/coreclr/{src => }/debug/ee/i386/x86walker.cpp (100%) rename src/coreclr/{src => }/debug/ee/rcthread.cpp (100%) rename src/coreclr/{src => }/debug/ee/shared.cpp (100%) rename src/coreclr/{src => }/debug/ee/stdafx.h (100%) rename src/coreclr/{src => }/debug/ee/walker.h (100%) rename src/coreclr/{src => }/debug/ee/wks/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/ildbsymlib/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/ildbsymlib/classfactory.h (100%) rename src/coreclr/{src => }/debug/ildbsymlib/ildbsymbols.cpp (100%) rename src/coreclr/{src => }/debug/ildbsymlib/pch.h (100%) rename src/coreclr/{src => }/debug/ildbsymlib/pdbdata.h (100%) rename src/coreclr/{src => }/debug/ildbsymlib/symbinder.cpp (100%) rename src/coreclr/{src => }/debug/ildbsymlib/symbinder.h (100%) rename src/coreclr/{src => }/debug/ildbsymlib/symread.cpp (100%) rename src/coreclr/{src => }/debug/ildbsymlib/symread.h (100%) rename src/coreclr/{src => }/debug/ildbsymlib/symwrite.cpp (100%) rename src/coreclr/{src => }/debug/ildbsymlib/symwrite.h (100%) rename src/coreclr/{src => }/debug/ildbsymlib/umisc.h (100%) rename src/coreclr/{src => }/debug/inc/amd64/primitives.h (100%) rename src/coreclr/{src => }/debug/inc/arm/primitives.h (100%) rename src/coreclr/{src => }/debug/inc/arm64/primitives.h (100%) rename src/coreclr/{src => }/debug/inc/arm_primitives.h (100%) rename src/coreclr/{src => }/debug/inc/common.h (100%) rename src/coreclr/{src => }/debug/inc/coreclrremotedebugginginterfaces.h (100%) rename src/coreclr/{src => }/debug/inc/dacdbiinterface.h (100%) rename src/coreclr/{src => }/debug/inc/dacdbistructures.h (100%) rename src/coreclr/{src => }/debug/inc/dacdbistructures.inl (100%) rename src/coreclr/{src => }/debug/inc/dbgappdomain.h (100%) rename src/coreclr/{src => }/debug/inc/dbgipcevents.h (100%) rename src/coreclr/{src => }/debug/inc/dbgipceventtypes.h (100%) rename src/coreclr/{src => }/debug/inc/dbgtargetcontext.h (100%) rename src/coreclr/{src => }/debug/inc/dbgtransportsession.h (100%) rename src/coreclr/{src => }/debug/inc/dbgutil.h (100%) rename src/coreclr/{src => }/debug/inc/ddmarshalutil.h (100%) rename src/coreclr/{src => }/debug/inc/diagnosticsipc.h (100%) rename src/coreclr/{src => }/debug/inc/dump/dumpcommon.h (100%) rename src/coreclr/{src => }/debug/inc/eventredirection.h (100%) rename src/coreclr/{src => }/debug/inc/i386/primitives.h (100%) rename src/coreclr/{src => }/debug/inc/processdescriptor.h (100%) rename src/coreclr/{src => }/debug/inc/readonlydatatargetfacade.h (100%) rename src/coreclr/{src => }/debug/inc/readonlydatatargetfacade.inl (100%) rename src/coreclr/{src => }/debug/inc/runtimeinfo.h (100%) rename src/coreclr/{src => }/debug/inc/stringcopyholder.h (100%) rename src/coreclr/{src => }/debug/inc/twowaypipe.h (100%) rename src/coreclr/{src => }/debug/runtimeinfo/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/runtimeinfo/runtimeinfo.cpp (100%) rename src/coreclr/{src => }/debug/shared/amd64/primitives.cpp (100%) rename src/coreclr/{src => }/debug/shared/arm/primitives.cpp (100%) rename src/coreclr/{src => }/debug/shared/arm64/primitives.cpp (100%) rename src/coreclr/{src => }/debug/shared/dbgtransportsession.cpp (100%) rename src/coreclr/{src => }/debug/shared/i386/primitives.cpp (100%) rename src/coreclr/{src => }/debug/shared/stringcopyholder.cpp (100%) rename src/coreclr/{src => }/debug/shared/utils.cpp (100%) rename src/coreclr/{src => }/debug/shim/CMakeLists.txt (100%) rename src/coreclr/{src => }/debug/shim/debugshim.cpp (100%) rename src/coreclr/{src => }/debug/shim/debugshim.h (100%) rename src/coreclr/{src => }/dlls/CMakeLists.txt (100%) rename src/coreclr/{src => }/dlls/clretwrc/CMakeLists.txt (100%) rename src/coreclr/{src => }/dlls/clretwrc/clretwrc.rc (100%) rename src/coreclr/{src => }/dlls/dbgshim/CMakeLists.txt (98%) rename src/coreclr/{src => }/dlls/dbgshim/dbgshim.cpp (100%) rename src/coreclr/{src => }/dlls/dbgshim/dbgshim.h (100%) rename src/coreclr/{src => }/dlls/dbgshim/dbgshim.ntdef (100%) rename src/coreclr/{src => }/dlls/dbgshim/dbgshim.rc (100%) rename src/coreclr/{src => }/dlls/dbgshim/dbgshim_unixexports.src (100%) rename src/coreclr/{src => }/dlls/mscordac/CMakeLists.txt (100%) rename src/coreclr/{src => }/dlls/mscordac/Native.rc (100%) rename src/coreclr/{src => }/dlls/mscordac/libredefines.S (100%) rename src/coreclr/{src => }/dlls/mscordac/mscordac.cpp (100%) rename src/coreclr/{src => }/dlls/mscordac/mscordac.src (100%) rename src/coreclr/{src => }/dlls/mscordac/mscordac_unixexports.src (100%) rename src/coreclr/{src => }/dlls/mscordac/palredefines.S (100%) rename src/coreclr/{src => }/dlls/mscordac/update.pl (100%) rename src/coreclr/{src => }/dlls/mscordbi/CMakeLists.txt (100%) rename src/coreclr/{src => }/dlls/mscordbi/Native.rc (100%) rename src/coreclr/{src => }/dlls/mscordbi/mscordbi.cpp (100%) rename src/coreclr/{src => }/dlls/mscordbi/mscordbi.src (100%) rename src/coreclr/{src => }/dlls/mscordbi/mscordbi_unixexports.src (100%) rename src/coreclr/{src => }/dlls/mscordbi/stdafx.h (100%) rename src/coreclr/{src => }/dlls/mscoree/CMakeLists.txt (100%) rename src/coreclr/{src => }/dlls/mscoree/Native.rc (100%) rename src/coreclr/{src => }/dlls/mscoree/coreclr/CMakeLists.txt (97%) rename src/coreclr/{src => }/dlls/mscoree/coreclr/README.md (100%) rename src/coreclr/{src => }/dlls/mscoree/coreclr/dump_helper_resource.bin (100%) rename src/coreclr/{src => }/dlls/mscoree/delayloadhook.cpp (100%) rename src/coreclr/{src => }/dlls/mscoree/gdbjit_unixexports.src (100%) rename src/coreclr/{src => }/dlls/mscoree/mscoree.cpp (100%) rename src/coreclr/{src => }/dlls/mscoree/mscorwks_ntdef.src (100%) rename src/coreclr/{src => }/dlls/mscoree/mscorwks_unixexports.src (100%) rename src/coreclr/{src => }/dlls/mscoree/stdafx.cpp (100%) rename src/coreclr/{src => }/dlls/mscoree/stdafx.h (100%) rename src/coreclr/{src => }/dlls/mscoree/unixinterface.cpp (100%) rename src/coreclr/{src => }/dlls/mscorpe/CMakeLists.txt (100%) rename src/coreclr/{src => }/dlls/mscorpe/ceefilegenwriter.cpp (100%) rename src/coreclr/{src => }/dlls/mscorpe/ceefilegenwritertokens.cpp (100%) rename src/coreclr/{src => }/dlls/mscorpe/iceefilegen.cpp (100%) rename src/coreclr/{src => }/dlls/mscorpe/pewriter.cpp (100%) rename src/coreclr/{src => }/dlls/mscorpe/pewriter.h (100%) rename src/coreclr/{src => }/dlls/mscorpe/stdafx.cpp (100%) rename src/coreclr/{src => }/dlls/mscorpe/stdafx.h (100%) rename src/coreclr/{src => }/dlls/mscorrc/CMakeLists.txt (100%) rename src/coreclr/{src => }/dlls/mscorrc/include.rc (100%) rename src/coreclr/{src => }/dlls/mscorrc/mscorrc.common.rc (100%) rename src/coreclr/{src => }/dlls/mscorrc/mscorrc.rc (100%) rename src/coreclr/{src => }/dlls/mscorrc/resource.h (100%) rename src/coreclr/{src => }/gc/CMakeLists.txt (100%) rename src/coreclr/{src => }/gc/env/common.cpp (100%) rename src/coreclr/{src => }/gc/env/common.h (100%) rename src/coreclr/{src => }/gc/env/etmdummy.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.base.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.ee.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.interlocked.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.interlocked.inl (100%) rename src/coreclr/{src => }/gc/env/gcenv.object.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.os.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.structs.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.sync.h (100%) rename src/coreclr/{src => }/gc/env/gcenv.unix.inl (100%) rename src/coreclr/{src => }/gc/env/gcenv.windows.inl (100%) rename src/coreclr/{src => }/gc/env/volatile.h (100%) rename src/coreclr/{src => }/gc/gc.cpp (100%) rename src/coreclr/{src => }/gc/gc.h (100%) rename src/coreclr/{src => }/gc/gccommon.cpp (100%) rename src/coreclr/{src => }/gc/gcconfig.cpp (100%) rename src/coreclr/{src => }/gc/gcconfig.h (100%) rename src/coreclr/{src => }/gc/gcdesc.h (100%) rename src/coreclr/{src => }/gc/gcee.cpp (100%) rename src/coreclr/{src => }/gc/gceesvr.cpp (100%) rename src/coreclr/{src => }/gc/gceewks.cpp (100%) rename src/coreclr/{src => }/gc/gcenv.ee.standalone.inl (100%) rename src/coreclr/{src => }/gc/gcenv.inl (100%) rename src/coreclr/{src => }/gc/gcevent_serializers.h (100%) rename src/coreclr/{src => }/gc/gcevents.h (100%) rename src/coreclr/{src => }/gc/gceventstatus.cpp (100%) rename src/coreclr/{src => }/gc/gceventstatus.h (100%) rename src/coreclr/{src => }/gc/gchandletable.cpp (100%) rename src/coreclr/{src => }/gc/gchandletableimpl.h (100%) rename src/coreclr/{src => }/gc/gcimpl.h (100%) rename src/coreclr/{src => }/gc/gcinterface.dac.h (100%) rename src/coreclr/{src => }/gc/gcinterface.dacvars.def (100%) rename src/coreclr/{src => }/gc/gcinterface.ee.h (100%) rename src/coreclr/{src => }/gc/gcinterface.h (100%) rename src/coreclr/{src => }/gc/gcload.cpp (100%) rename src/coreclr/{src => }/gc/gcpriv.h (100%) rename src/coreclr/{src => }/gc/gcrecord.h (100%) rename src/coreclr/{src => }/gc/gcscan.cpp (100%) rename src/coreclr/{src => }/gc/gcscan.h (100%) rename src/coreclr/{src => }/gc/gcsvr.cpp (100%) rename src/coreclr/{src => }/gc/gcwks.cpp (100%) rename src/coreclr/{src => }/gc/handletable.cpp (100%) rename src/coreclr/{src => }/gc/handletable.h (100%) rename src/coreclr/{src => }/gc/handletable.inl (100%) rename src/coreclr/{src => }/gc/handletablecache.cpp (100%) rename src/coreclr/{src => }/gc/handletablecore.cpp (100%) rename src/coreclr/{src => }/gc/handletablepriv.h (100%) rename src/coreclr/{src => }/gc/handletablescan.cpp (100%) rename src/coreclr/{src => }/gc/objecthandle.cpp (100%) rename src/coreclr/{src => }/gc/objecthandle.h (100%) rename src/coreclr/{src => }/gc/sample/CMakeLists.txt (100%) rename src/coreclr/{src => }/gc/sample/GCSample.cpp (100%) rename src/coreclr/{src => }/gc/sample/GCSample.vcxproj (100%) rename src/coreclr/{src => }/gc/sample/GCSample.vcxproj.filters (100%) rename src/coreclr/{src => }/gc/sample/gcenv.ee.cpp (100%) rename src/coreclr/{src => }/gc/sample/gcenv.h (100%) rename src/coreclr/{src => }/gc/softwarewritewatch.cpp (100%) rename src/coreclr/{src => }/gc/softwarewritewatch.h (100%) rename src/coreclr/{src => }/gc/unix/CMakeLists.txt (100%) rename src/coreclr/{src => }/gc/unix/cgroup.cpp (100%) rename src/coreclr/{src => }/gc/unix/cgroup.h (100%) rename src/coreclr/{src => }/gc/unix/config.gc.h.in (100%) rename src/coreclr/{src => }/gc/unix/configure.cmake (100%) rename src/coreclr/{src => }/gc/unix/events.cpp (100%) rename src/coreclr/{src => }/gc/unix/gcenv.unix.cpp (100%) rename src/coreclr/{src => }/gc/unix/globals.h (100%) rename src/coreclr/{src => }/gc/vxsort/alignment.h (100%) rename src/coreclr/{src => }/gc/vxsort/defs.h (100%) rename src/coreclr/{src => }/gc/vxsort/do_vxsort.h (100%) rename src/coreclr/{src => }/gc/vxsort/do_vxsort_avx2.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/do_vxsort_avx512.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/isa_detection.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/machine_traits.avx2.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/machine_traits.avx2.h (100%) rename src/coreclr/{src => }/gc/vxsort/machine_traits.avx512.h (100%) rename src/coreclr/{src => }/gc/vxsort/machine_traits.h (100%) rename src/coreclr/{src => }/gc/vxsort/packer.h (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/avx2_load_mask_tables.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.h (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.h (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.h (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.cpp (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.h (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/bitonic_sort.h (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/codegen/avx2.py (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/codegen/avx512.py (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/codegen/bitonic_gen.py (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/codegen/bitonic_isa.py (100%) rename src/coreclr/{src => }/gc/vxsort/smallsort/codegen/utils.py (100%) rename src/coreclr/{src => }/gc/vxsort/vxsort.h (100%) rename src/coreclr/{src => }/gc/vxsort/vxsort_targets_disable.h (100%) rename src/coreclr/{src => }/gc/vxsort/vxsort_targets_enable_avx2.h (100%) rename src/coreclr/{src => }/gc/vxsort/vxsort_targets_enable_avx512.h (100%) rename src/coreclr/{src => }/gc/windows/gcenv.windows.cpp (100%) rename src/coreclr/{src => }/gcdump/gcdump.cpp (100%) rename src/coreclr/{src => }/gcdump/gcdumpnonx86.cpp (100%) rename src/coreclr/{src => }/gcdump/i386/gcdumpx86.cpp (100%) rename src/coreclr/{src => }/gcinfo/CMakeLists.txt (100%) rename src/coreclr/{src => }/gcinfo/arraylist.cpp (100%) rename src/coreclr/{src => }/gcinfo/gcinfodumper.cpp (100%) rename src/coreclr/{src => }/gcinfo/gcinfoencoder.cpp (100%) rename src/coreclr/{src => }/gcinfo/simplerhash.cpp (100%) rename src/coreclr/{src => }/hosts/CMakeLists.txt (100%) rename src/coreclr/{src => }/hosts/applydefines.pl (100%) rename src/coreclr/{src => }/hosts/corerun/CMakeLists.txt (100%) rename src/coreclr/{src => }/hosts/corerun/corerun.cpp (100%) rename src/coreclr/{src => }/hosts/corerun/logger.cpp (100%) rename src/coreclr/{src => }/hosts/corerun/logger.h (100%) rename src/coreclr/{src => }/hosts/corerun/native.rc (100%) rename src/coreclr/{src => }/hosts/corerun/test.txt (100%) rename src/coreclr/{src => }/hosts/coreshim/CMakeLists.txt (100%) rename src/coreclr/{src => }/hosts/coreshim/ComActivation.cpp (100%) rename src/coreclr/{src => }/hosts/coreshim/CoreShim.cpp (100%) rename src/coreclr/{src => }/hosts/coreshim/CoreShim.h (100%) rename src/coreclr/{src => }/hosts/coreshim/Exports.def (100%) rename src/coreclr/{src => }/hosts/inc/coreclrhost.h (100%) rename src/coreclr/{src => }/hosts/unixcorerun/CMakeLists.txt (100%) rename src/coreclr/{src => }/hosts/unixcorerun/config.h.in (100%) rename src/coreclr/{src => }/hosts/unixcorerun/configure.cmake (100%) rename src/coreclr/{src => }/hosts/unixcorerun/corerun.cpp (100%) rename src/coreclr/{src => }/ilasm/CMakeLists.txt (100%) rename src/coreclr/{src => }/ilasm/Native.rc (100%) rename src/coreclr/{src => }/ilasm/asmenum.h (100%) rename src/coreclr/{src => }/ilasm/asmman.cpp (100%) rename src/coreclr/{src => }/ilasm/asmman.hpp (100%) rename src/coreclr/{src => }/ilasm/asmparse.h (100%) rename src/coreclr/{src => }/ilasm/asmparse.y (100%) rename src/coreclr/{src => }/ilasm/asmtemplates.h (100%) rename src/coreclr/{src => }/ilasm/assem.cpp (100%) rename src/coreclr/{src => }/ilasm/assembler.cpp (100%) rename src/coreclr/{src => }/ilasm/assembler.h (100%) rename src/coreclr/{src => }/ilasm/binstr.h (100%) rename src/coreclr/{src => }/ilasm/class.hpp (100%) rename src/coreclr/{src => }/ilasm/extractGrammar.pl (100%) rename src/coreclr/{src => }/ilasm/grammar_after.cpp (100%) rename src/coreclr/{src => }/ilasm/grammar_before.cpp (100%) rename src/coreclr/{src => }/ilasm/ilasmpch.h (100%) rename src/coreclr/{src => }/ilasm/main.cpp (100%) rename src/coreclr/{src => }/ilasm/method.cpp (100%) rename src/coreclr/{src => }/ilasm/method.hpp (100%) rename src/coreclr/{src => }/ilasm/nvpair.h (100%) rename src/coreclr/{src => }/ilasm/portable_pdb.cpp (100%) rename src/coreclr/{src => }/ilasm/portable_pdb.h (100%) rename src/coreclr/{src => }/ilasm/prebuilt/asmparse.cpp (100%) rename src/coreclr/{src => }/ilasm/prebuilt/asmparse.grammar (100%) rename src/coreclr/{src => }/ilasm/typar.hpp (100%) rename src/coreclr/{src => }/ilasm/writer.cpp (100%) rename src/coreclr/{src => }/ilasm/writer_enc.cpp (100%) rename src/coreclr/{src => }/ildasm/CMakeLists.txt (100%) rename src/coreclr/{src => }/ildasm/ceeload.cpp (100%) rename src/coreclr/{src => }/ildasm/ceeload.h (100%) rename src/coreclr/{src => }/ildasm/dasm.cpp (100%) rename src/coreclr/{src => }/ildasm/dasm.rc (100%) rename src/coreclr/{src => }/ildasm/dasm_formattype.cpp (100%) rename src/coreclr/{src => }/ildasm/dasm_mi.cpp (100%) rename src/coreclr/{src => }/ildasm/dasm_sz.cpp (100%) rename src/coreclr/{src => }/ildasm/dasm_sz.h (100%) rename src/coreclr/{src => }/ildasm/dasmenum.hpp (100%) rename src/coreclr/{src => }/ildasm/dis.cpp (100%) rename src/coreclr/{src => }/ildasm/dis.h (100%) rename src/coreclr/{src => }/ildasm/dman.cpp (100%) rename src/coreclr/{src => }/ildasm/dres.cpp (100%) rename src/coreclr/{src => }/ildasm/dynamicarray.h (100%) rename src/coreclr/{src => }/ildasm/exe/CMakeLists.txt (100%) rename src/coreclr/{src => }/ildasm/ildasmpch.cpp (100%) rename src/coreclr/{src => }/ildasm/ildasmpch.h (100%) rename src/coreclr/{src => }/ildasm/resource.h (100%) rename src/coreclr/{src => }/ildasm/util.hpp (100%) rename src/coreclr/{src => }/ildasm/windasm.cpp (100%) rename src/coreclr/{src => }/inc/CMakeLists.txt (100%) rename src/coreclr/{src => }/inc/CrstTypeTool.cs (100%) rename src/coreclr/{src => }/inc/CrstTypes.def (100%) rename src/coreclr/{src => }/inc/MSCOREE.IDL (100%) rename src/coreclr/{src => }/inc/OpCodeGen.pl (100%) rename src/coreclr/{src => }/inc/allocacheck.h (100%) rename src/coreclr/{src => }/inc/arrayholder.h (100%) rename src/coreclr/{src => }/inc/arraylist.h (100%) rename src/coreclr/{src => }/inc/bbsweep.h (100%) rename src/coreclr/{src => }/inc/bitmask.h (100%) rename src/coreclr/{src => }/inc/bitmask.inl (100%) rename src/coreclr/{src => }/inc/bitposition.h (100%) rename src/coreclr/{src => }/inc/bitvector.h (100%) rename src/coreclr/{src => }/inc/blobfetcher.h (100%) rename src/coreclr/{src => }/inc/bundle.h (100%) rename src/coreclr/{src => }/inc/cahlpr.h (100%) rename src/coreclr/{src => }/inc/caparser.h (100%) rename src/coreclr/{src => }/inc/ceefilegenwriter.h (100%) rename src/coreclr/{src => }/inc/ceegen.h (100%) rename src/coreclr/{src => }/inc/ceegentokenmapper.h (100%) rename src/coreclr/{src => }/inc/ceesectionstring.h (100%) rename src/coreclr/{src => }/inc/cfi.h (100%) rename src/coreclr/{src => }/inc/check.h (100%) rename src/coreclr/{src => }/inc/check.inl (100%) rename src/coreclr/{src => }/inc/clr/fs.h (100%) rename src/coreclr/{src => }/inc/clr/fs/path.h (100%) rename src/coreclr/{src => }/inc/clr/stack.h (100%) rename src/coreclr/{src => }/inc/clr/str.h (100%) rename src/coreclr/{src => }/inc/clr/win32.h (100%) rename src/coreclr/{src => }/inc/clr_std/algorithm (100%) rename src/coreclr/{src => }/inc/clr_std/string (100%) rename src/coreclr/{src => }/inc/clr_std/type_traits (100%) rename src/coreclr/{src => }/inc/clr_std/utility (100%) rename src/coreclr/{src => }/inc/clr_std/vector (100%) rename src/coreclr/{src => }/inc/clrconfig.h (100%) rename src/coreclr/{src => }/inc/clrconfigvalues.h (100%) rename src/coreclr/{src => }/inc/clrdata.idl (100%) rename src/coreclr/{src => }/inc/clrhost.h (100%) rename src/coreclr/{src => }/inc/clrinternal.idl (100%) rename src/coreclr/{src => }/inc/clrnt.h (100%) rename src/coreclr/{src => }/inc/clrprivbinderutil.h (100%) rename src/coreclr/{src => }/inc/clrprivbinding.idl (100%) rename src/coreclr/{src => }/inc/clrtypes.h (100%) rename src/coreclr/{src => }/inc/clrversion.h (100%) rename src/coreclr/{src => }/inc/complex.h (100%) rename src/coreclr/{src => }/inc/configuration.h (100%) rename src/coreclr/{src => }/inc/contract.h (100%) rename src/coreclr/{src => }/inc/contract.inl (100%) rename src/coreclr/{src => }/inc/contxt.h (100%) rename src/coreclr/{src => }/inc/cor.h (100%) rename src/coreclr/{src => }/inc/corbbtprof.h (100%) rename src/coreclr/{src => }/inc/corcompile.h (100%) rename src/coreclr/{src => }/inc/cordbpriv.h (100%) rename src/coreclr/{src => }/inc/cordebug.idl (100%) rename src/coreclr/{src => }/inc/cordebuginfo.h (100%) rename src/coreclr/{src => }/inc/coredistools.h (100%) rename src/coreclr/{src => }/inc/coregen.h (100%) rename src/coreclr/{src => }/inc/corerror.xml (100%) rename src/coreclr/{src => }/inc/corexcep.h (100%) rename src/coreclr/{src => }/inc/corhdr.h (100%) rename src/coreclr/{src => }/inc/corhlpr.cpp (100%) rename src/coreclr/{src => }/inc/corhlpr.h (100%) rename src/coreclr/{src => }/inc/corhlprpriv.cpp (100%) rename src/coreclr/{src => }/inc/corhlprpriv.h (100%) rename src/coreclr/{src => }/inc/corhost.h (100%) rename src/coreclr/{src => }/inc/corimage.h (100%) rename src/coreclr/{src => }/inc/corinfo.h (100%) rename src/coreclr/{src => }/inc/corinfoinstructionset.h (100%) rename src/coreclr/{src => }/inc/corjit.h (100%) rename src/coreclr/{src => }/inc/corjitflags.h (100%) rename src/coreclr/{src => }/inc/corjithost.h (100%) rename src/coreclr/{src => }/inc/corpriv.h (100%) rename src/coreclr/{src => }/inc/corprof.idl (100%) rename src/coreclr/{src => }/inc/corpub.idl (100%) rename src/coreclr/{src => }/inc/corsym.idl (100%) rename src/coreclr/{src => }/inc/cortypeinfo.h (100%) rename src/coreclr/{src => }/inc/crosscomp.h (100%) rename src/coreclr/{src => }/inc/crsttypes.h (100%) rename src/coreclr/{src => }/inc/crtwrap.h (100%) rename src/coreclr/{src => }/inc/cvconst.h (100%) rename src/coreclr/{src => }/inc/cvinfo.h (100%) rename src/coreclr/{src => }/inc/cycletimer.h (100%) rename src/coreclr/{src => }/inc/daccess.h (100%) rename src/coreclr/{src => }/inc/dacprivate.h (100%) rename src/coreclr/{src => }/inc/dacvars.h (100%) rename src/coreclr/{src => }/inc/dbgenginemetrics.h (100%) rename src/coreclr/{src => }/inc/dbgmeta.h (100%) rename src/coreclr/{src => }/inc/dbgportable.h (100%) rename src/coreclr/{src => }/inc/debugmacros.h (100%) rename src/coreclr/{src => }/inc/debugmacrosext.h (100%) rename src/coreclr/{src => }/inc/debugreturn.h (100%) rename src/coreclr/{src => }/inc/defaultallocator.h (100%) rename src/coreclr/{src => }/inc/dlwrap.h (100%) rename src/coreclr/{src => }/inc/ecmakey.h (100%) rename src/coreclr/{src => }/inc/eetwain.h (100%) rename src/coreclr/{src => }/inc/eexcp.h (100%) rename src/coreclr/{src => }/inc/entrypoints.h (100%) rename src/coreclr/{src => }/inc/eventtrace.h (100%) rename src/coreclr/{src => }/inc/eventtracebase.h (100%) rename src/coreclr/{src => }/inc/ex.h (100%) rename src/coreclr/{src => }/inc/factory.h (100%) rename src/coreclr/{src => }/inc/factory.inl (100%) rename src/coreclr/{src => }/inc/fixuppointer.h (100%) rename src/coreclr/{src => }/inc/formattype.cpp (100%) rename src/coreclr/{src => }/inc/formattype.h (100%) rename src/coreclr/{src => }/inc/fstream.h (100%) rename src/coreclr/{src => }/inc/fstring.h (100%) rename src/coreclr/{src => }/inc/fusion.idl (100%) rename src/coreclr/{src => }/inc/gcdecoder.cpp (100%) rename src/coreclr/{src => }/inc/gcdump.h (100%) rename src/coreclr/{src => }/inc/gcinfo.h (100%) rename src/coreclr/{src => }/inc/gcinfoarraylist.h (100%) rename src/coreclr/{src => }/inc/gcinfodecoder.h (100%) rename src/coreclr/{src => }/inc/gcinfodumper.h (100%) rename src/coreclr/{src => }/inc/gcinfoencoder.h (100%) rename src/coreclr/{src => }/inc/gcinfotypes.h (100%) rename src/coreclr/{src => }/inc/gcrefmap.h (100%) rename src/coreclr/{src => }/inc/genheaders.cs (100%) rename src/coreclr/{src => }/inc/genrops.pl (100%) rename src/coreclr/{src => }/inc/getproductversionnumber.h (100%) rename src/coreclr/{src => }/inc/guidfromname.h (100%) rename src/coreclr/{src => }/inc/holder.h (100%) rename src/coreclr/{src => }/inc/holderinst.h (100%) rename src/coreclr/{src => }/inc/iallocator.h (100%) rename src/coreclr/{src => }/inc/iceefilegen.h (100%) rename src/coreclr/{src => }/inc/il_kywd.h (100%) rename src/coreclr/{src => }/inc/ildbsymlib.h (100%) rename src/coreclr/{src => }/inc/ilformatter.h (100%) rename src/coreclr/{src => }/inc/internalunknownimpl.h (100%) rename src/coreclr/{src => }/inc/intrinsic.h (100%) rename src/coreclr/{src => }/inc/iterator.h (100%) rename src/coreclr/{src => }/inc/jiteeversionguid.h (100%) rename src/coreclr/{src => }/inc/jithelpers.h (100%) rename src/coreclr/{src => }/inc/livedatatarget.h (100%) rename src/coreclr/{src => }/inc/llvm/Dwarf.def (100%) rename src/coreclr/{src => }/inc/llvm/Dwarf.h (100%) rename src/coreclr/{src => }/inc/llvm/ELF.h (100%) rename src/coreclr/{src => }/inc/loaderheap.h (100%) rename src/coreclr/{src => }/inc/log.h (100%) rename src/coreclr/{src => }/inc/loglf.h (100%) rename src/coreclr/{src => }/inc/longfilepathwrappers.h (100%) rename src/coreclr/{src => }/inc/md5.h (100%) rename src/coreclr/{src => }/inc/mdcommon.h (100%) rename src/coreclr/{src => }/inc/mdfileformat.h (100%) rename src/coreclr/{src => }/inc/memorypool.h (100%) rename src/coreclr/{src => }/inc/memoryrange.h (100%) rename src/coreclr/{src => }/inc/metadata.h (100%) rename src/coreclr/{src => }/inc/metadataexports.h (100%) rename src/coreclr/{src => }/inc/metadatatracker.h (100%) rename src/coreclr/{src => }/inc/metahost.idl (100%) rename src/coreclr/{src => }/inc/metamodelpub.h (100%) rename src/coreclr/{src => }/inc/mpl/type_list (100%) rename src/coreclr/{src => }/inc/mscorsvc.idl (100%) rename src/coreclr/{src => }/inc/msodw.h (100%) rename src/coreclr/{src => }/inc/msodwwrap.h (100%) rename src/coreclr/{src => }/inc/nativevaraccessors.h (100%) rename src/coreclr/{src => }/inc/new.hpp (100%) rename src/coreclr/{src => }/inc/ngen.h (100%) rename src/coreclr/{src => }/inc/nibblemapmacros.h (100%) rename src/coreclr/{src => }/inc/nibblestream.h (100%) rename src/coreclr/{src => }/inc/nsutilpriv.h (100%) rename src/coreclr/{src => }/inc/obj/i386/dummy (100%) rename src/coreclr/{src => }/inc/opcode.def (100%) rename src/coreclr/{src => }/inc/openum.h (100%) rename src/coreclr/{src => }/inc/opinfo.h (100%) rename src/coreclr/{src => }/inc/optdefault.h (100%) rename src/coreclr/{src => }/inc/optsmallperfcritical.h (100%) rename src/coreclr/{src => }/inc/ostype.h (100%) rename src/coreclr/{src => }/inc/outstring.h (100%) rename src/coreclr/{src => }/inc/palclr.h (100%) rename src/coreclr/{src => }/inc/palclr_win.h (100%) rename src/coreclr/{src => }/inc/patchpointinfo.h (100%) rename src/coreclr/{src => }/inc/pedecoder.h (100%) rename src/coreclr/{src => }/inc/pedecoder.inl (100%) rename src/coreclr/{src => }/inc/peinformation.h (100%) rename src/coreclr/{src => }/inc/pesectionman.h (100%) rename src/coreclr/{src => }/inc/pinvokeoverride.h (100%) rename src/coreclr/{src => }/inc/posterror.h (100%) rename src/coreclr/{src => }/inc/predeftlsslot.h (100%) rename src/coreclr/{src => }/inc/prettyprintsig.h (100%) rename src/coreclr/{src => }/inc/profilepriv.h (100%) rename src/coreclr/{src => }/inc/profilepriv.inl (100%) rename src/coreclr/{src => }/inc/random.h (100%) rename src/coreclr/{src => }/inc/readme.md (100%) rename src/coreclr/{src => }/inc/readytorun.h (100%) rename src/coreclr/{src => }/inc/readytorunhelpers.h (100%) rename src/coreclr/{src => }/inc/readytoruninstructionset.h (100%) rename src/coreclr/{src => }/inc/regdisp.h (100%) rename src/coreclr/{src => }/inc/regex_base.h (100%) rename src/coreclr/{src => }/inc/regex_util.h (100%) rename src/coreclr/{src => }/inc/registrywrapper.h (100%) rename src/coreclr/{src => }/inc/releaseholder.h (100%) rename src/coreclr/{src => }/inc/safemath.h (100%) rename src/coreclr/{src => }/inc/safewrap.h (100%) rename src/coreclr/{src => }/inc/sarray.h (100%) rename src/coreclr/{src => }/inc/sarray.inl (100%) rename src/coreclr/{src => }/inc/sbuffer.h (100%) rename src/coreclr/{src => }/inc/sbuffer.inl (100%) rename src/coreclr/{src => }/inc/securityutil.h (100%) rename src/coreclr/{src => }/inc/securitywrapper.h (100%) rename src/coreclr/{src => }/inc/sha1.h (100%) rename src/coreclr/{src => }/inc/shash.h (100%) rename src/coreclr/{src => }/inc/shash.inl (100%) rename src/coreclr/{src => }/inc/shimload.h (100%) rename src/coreclr/{src => }/inc/sigbuilder.h (100%) rename src/coreclr/{src => }/inc/sigparser.h (100%) rename src/coreclr/{src => }/inc/simplerhash.h (100%) rename src/coreclr/{src => }/inc/simplerhash.inl (100%) rename src/coreclr/{src => }/inc/slist.h (100%) rename src/coreclr/{src => }/inc/sospriv.idl (100%) rename src/coreclr/{src => }/inc/sstring.h (100%) rename src/coreclr/{src => }/inc/sstring.inl (100%) rename src/coreclr/{src => }/inc/stack.h (100%) rename src/coreclr/{src => }/inc/stackframe.h (100%) rename src/coreclr/{src => }/inc/stacktrace.h (100%) rename src/coreclr/{src => }/inc/static_assert.h (100%) rename src/coreclr/{src => }/inc/staticcontract.h (100%) rename src/coreclr/{src => }/inc/stdmacros.h (100%) rename src/coreclr/{src => }/inc/stgpool.h (100%) rename src/coreclr/{src => }/inc/stgpooli.h (100%) rename src/coreclr/{src => }/inc/stresslog.h (100%) rename src/coreclr/{src => }/inc/stringarraylist.h (100%) rename src/coreclr/{src => }/inc/stringarraylist.inl (100%) rename src/coreclr/{src => }/inc/strongnameholders.h (100%) rename src/coreclr/{src => }/inc/strongnameinternal.h (100%) rename src/coreclr/{src => }/inc/switches.h (100%) rename src/coreclr/{src => }/inc/thekey.h (100%) rename src/coreclr/{src => }/inc/tls.h (100%) rename src/coreclr/{src => }/inc/unreachable.h (100%) rename src/coreclr/{src => }/inc/utilcode.h (100%) rename src/coreclr/{src => }/inc/utsem.h (100%) rename src/coreclr/{src => }/inc/volatile.h (100%) rename src/coreclr/{src => }/inc/vptr_list.h (100%) rename src/coreclr/{src => }/inc/win64unwind.h (100%) rename src/coreclr/{src => }/inc/winwrap.h (100%) rename src/coreclr/{src => }/inc/xclrdata.idl (100%) rename src/coreclr/{src => }/inc/xcordebug.idl (100%) rename src/coreclr/{src => }/inc/yieldprocessornormalized.h (100%) rename src/coreclr/{src => }/inc/zapper.h (100%) rename src/coreclr/{src => }/interop/CMakeLists.txt (100%) rename src/coreclr/{src => }/interop/comwrappers.cpp (100%) rename src/coreclr/{src => }/interop/comwrappers.hpp (100%) rename src/coreclr/{src => }/interop/inc/interoplib.h (100%) rename src/coreclr/{src => }/interop/inc/interoplibabi.h (100%) rename src/coreclr/{src => }/interop/inc/interoplibimports.h (100%) rename src/coreclr/{src => }/interop/interoplib.cpp (100%) rename src/coreclr/{src => }/interop/platform.h (100%) rename src/coreclr/{src => }/interop/referencetrackertypes.hpp (100%) rename src/coreclr/{src => }/interop/trackerobjectmanager.cpp (100%) rename src/coreclr/{src/ToolBox/superpmi => jit}/.clang-format (100%) rename src/coreclr/{src => }/jit/CMakeLists.txt (100%) rename src/coreclr/{src => }/jit/ClrJit.PAL.exports (100%) rename src/coreclr/{src => }/jit/ClrJit.exports (100%) rename src/coreclr/{src => }/jit/ICorJitInfo_API_names.h (100%) rename src/coreclr/{src => }/jit/ICorJitInfo_API_wrapper.hpp (100%) rename src/coreclr/{src => }/jit/Native.rc (100%) rename src/coreclr/{src => }/jit/_typeinfo.h (100%) rename src/coreclr/{src => }/jit/alloc.cpp (100%) rename src/coreclr/{src => }/jit/alloc.h (100%) rename src/coreclr/{src => }/jit/arraystack.h (100%) rename src/coreclr/{src => }/jit/assertionprop.cpp (100%) rename src/coreclr/{src => }/jit/bitset.cpp (100%) rename src/coreclr/{src => }/jit/bitset.h (100%) rename src/coreclr/{src => }/jit/bitsetasshortlong.h (100%) rename src/coreclr/{src => }/jit/bitsetasuint64.h (100%) rename src/coreclr/{src => }/jit/bitsetasuint64inclass.h (100%) rename src/coreclr/{src => }/jit/bitsetops.h (100%) rename src/coreclr/{src => }/jit/bitvec.h (100%) rename src/coreclr/{src => }/jit/block.cpp (100%) rename src/coreclr/{src => }/jit/block.h (100%) rename src/coreclr/{src => }/jit/blockset.h (100%) rename src/coreclr/{src => }/jit/codegen.h (100%) rename src/coreclr/{src => }/jit/codegenarm.cpp (100%) rename src/coreclr/{src => }/jit/codegenarm64.cpp (100%) rename src/coreclr/{src => }/jit/codegenarmarch.cpp (100%) rename src/coreclr/{src => }/jit/codegencommon.cpp (100%) rename src/coreclr/{src => }/jit/codegeninterface.h (100%) rename src/coreclr/{src => }/jit/codegenlinear.cpp (100%) rename src/coreclr/{src => }/jit/codegenxarch.cpp (100%) rename src/coreclr/{src => }/jit/compiler.cpp (100%) rename src/coreclr/{src => }/jit/compiler.h (100%) rename src/coreclr/{src => }/jit/compiler.hpp (100%) rename src/coreclr/{src => }/jit/compilerbitsettraits.h (100%) rename src/coreclr/{src => }/jit/compilerbitsettraits.hpp (100%) rename src/coreclr/{src => }/jit/compmemkind.h (100%) rename src/coreclr/{src => }/jit/compphases.h (100%) rename src/coreclr/{src => }/jit/conventions.txt (100%) rename src/coreclr/{src => }/jit/copyprop.cpp (100%) rename src/coreclr/{src => }/jit/cpp.hint (100%) rename src/coreclr/{src => }/jit/crossgen/CMakeLists.txt (100%) rename src/coreclr/{src => }/jit/dataflow.h (100%) rename src/coreclr/{src => }/jit/decomposelongs.cpp (100%) rename src/coreclr/{src => }/jit/decomposelongs.h (100%) rename src/coreclr/{src => }/jit/disasm.cpp (100%) rename src/coreclr/{src => }/jit/disasm.h (100%) rename src/coreclr/{src => }/jit/dllmain.cpp (100%) rename src/coreclr/{src => }/jit/earlyprop.cpp (100%) rename src/coreclr/{src => }/jit/ee_il_dll.cpp (100%) rename src/coreclr/{src => }/jit/ee_il_dll.hpp (100%) rename src/coreclr/{src => }/jit/eeinterface.cpp (100%) rename src/coreclr/{src => }/jit/emit.cpp (100%) rename src/coreclr/{src => }/jit/emit.h (100%) rename src/coreclr/{src => }/jit/emitarm.cpp (100%) rename src/coreclr/{src => }/jit/emitarm.h (100%) rename src/coreclr/{src => }/jit/emitarm64.cpp (100%) rename src/coreclr/{src => }/jit/emitarm64.h (100%) rename src/coreclr/{src => }/jit/emitdef.h (100%) rename src/coreclr/{src => }/jit/emitfmts.h (100%) rename src/coreclr/{src => }/jit/emitfmtsarm.h (100%) rename src/coreclr/{src => }/jit/emitfmtsarm64.h (100%) rename src/coreclr/{src => }/jit/emitfmtsxarch.h (100%) rename src/coreclr/{src => }/jit/emitinl.h (100%) rename src/coreclr/{src => }/jit/emitjmps.h (100%) rename src/coreclr/{src => }/jit/emitpub.h (100%) rename src/coreclr/{src => }/jit/emitxarch.cpp (100%) rename src/coreclr/{src => }/jit/emitxarch.h (100%) rename src/coreclr/{src => }/jit/error.cpp (100%) rename src/coreclr/{src => }/jit/error.h (100%) rename src/coreclr/{src => }/jit/flowgraph.cpp (100%) rename src/coreclr/{src => }/jit/gcdecode.cpp (100%) rename src/coreclr/{src => }/jit/gcencode.cpp (100%) rename src/coreclr/{src => }/jit/gcinfo.cpp (100%) rename src/coreclr/{src => }/jit/gentree.cpp (100%) rename src/coreclr/{src => }/jit/gentree.h (100%) rename src/coreclr/{src => }/jit/gschecks.cpp (100%) rename src/coreclr/{src => }/jit/gtlist.h (100%) rename src/coreclr/{src => }/jit/gtstructs.h (100%) rename src/coreclr/{src => }/jit/hashbv.cpp (100%) rename src/coreclr/{src => }/jit/hashbv.h (100%) rename src/coreclr/{src => }/jit/host.h (100%) rename src/coreclr/{src => }/jit/hostallocator.cpp (100%) rename src/coreclr/{src => }/jit/hostallocator.h (100%) rename src/coreclr/{src => }/jit/hwintrinsic.cpp (100%) rename src/coreclr/{src => }/jit/hwintrinsic.h (100%) rename src/coreclr/{src => }/jit/hwintrinsicarm64.cpp (100%) rename src/coreclr/{src => }/jit/hwintrinsiccodegenarm64.cpp (100%) rename src/coreclr/{src => }/jit/hwintrinsiccodegenxarch.cpp (100%) rename src/coreclr/{src => }/jit/hwintrinsiclistarm64.h (100%) rename src/coreclr/{src => }/jit/hwintrinsiclistxarch.h (100%) rename src/coreclr/{src => }/jit/hwintrinsicxarch.cpp (100%) rename src/coreclr/{src => }/jit/importer.cpp (100%) rename src/coreclr/{src => }/jit/indirectcalltransformer.cpp (100%) rename src/coreclr/{src => }/jit/inline.cpp (100%) rename src/coreclr/{src => }/jit/inline.def (100%) rename src/coreclr/{src => }/jit/inline.h (100%) rename src/coreclr/{src => }/jit/inlinepolicy.cpp (100%) rename src/coreclr/{src => }/jit/inlinepolicy.h (100%) rename src/coreclr/{src => }/jit/instr.cpp (100%) rename src/coreclr/{src => }/jit/instr.h (100%) rename src/coreclr/{src => }/jit/instrs.h (100%) rename src/coreclr/{src => }/jit/instrsarm.h (100%) rename src/coreclr/{src => }/jit/instrsarm64.h (100%) rename src/coreclr/{src => }/jit/instrsxarch.h (100%) rename src/coreclr/{src => }/jit/jit.h (100%) rename src/coreclr/{src => }/jit/jitconfig.cpp (100%) rename src/coreclr/{src => }/jit/jitconfig.h (100%) rename src/coreclr/{src => }/jit/jitconfigvalues.h (100%) rename src/coreclr/{src => }/jit/jitee.h (100%) rename src/coreclr/{src => }/jit/jiteh.cpp (100%) rename src/coreclr/{src => }/jit/jiteh.h (100%) rename src/coreclr/{src => }/jit/jitexpandarray.h (100%) rename src/coreclr/{src => }/jit/jitgcinfo.h (100%) rename src/coreclr/{src => }/jit/jithashtable.cpp (100%) rename src/coreclr/{src => }/jit/jithashtable.h (100%) rename src/coreclr/{src => }/jit/jitpch.h (100%) rename src/coreclr/{src => }/jit/jitstd.h (100%) rename src/coreclr/{src => }/jit/jitstd/algorithm.h (100%) rename src/coreclr/{src => }/jit/jitstd/allocator.h (100%) rename src/coreclr/{src => }/jit/jitstd/functional.h (100%) rename src/coreclr/{src => }/jit/jitstd/iterator.h (100%) rename src/coreclr/{src => }/jit/jitstd/list.h (100%) rename src/coreclr/{src => }/jit/jitstd/new.h (100%) rename src/coreclr/{src => }/jit/jitstd/utility.h (100%) rename src/coreclr/{src => }/jit/jitstd/vector.h (100%) rename src/coreclr/{src => }/jit/jittelemetry.cpp (100%) rename src/coreclr/{src => }/jit/jittelemetry.h (100%) rename src/coreclr/{src => }/jit/layout.cpp (100%) rename src/coreclr/{src => }/jit/layout.h (100%) rename src/coreclr/{src => }/jit/lclmorph.cpp (100%) rename src/coreclr/{src => }/jit/lclvars.cpp (100%) rename src/coreclr/{src => }/jit/lir.cpp (100%) rename src/coreclr/{src => }/jit/lir.h (100%) rename src/coreclr/{src => }/jit/liveness.cpp (100%) rename src/coreclr/{src => }/jit/loopcloning.cpp (100%) rename src/coreclr/{src => }/jit/loopcloning.h (100%) rename src/coreclr/{src => }/jit/loopcloningopts.h (100%) rename src/coreclr/{src => }/jit/lower.cpp (100%) rename src/coreclr/{src => }/jit/lower.h (100%) rename src/coreclr/{src => }/jit/lowerarmarch.cpp (100%) rename src/coreclr/{src => }/jit/lowerxarch.cpp (100%) rename src/coreclr/{src => }/jit/lsra.cpp (100%) rename src/coreclr/{src => }/jit/lsra.h (100%) rename src/coreclr/{src => }/jit/lsra_reftypes.h (100%) rename src/coreclr/{src => }/jit/lsraarm.cpp (100%) rename src/coreclr/{src => }/jit/lsraarm64.cpp (100%) rename src/coreclr/{src => }/jit/lsraarmarch.cpp (100%) rename src/coreclr/{src => }/jit/lsrabuild.cpp (100%) rename src/coreclr/{src => }/jit/lsraxarch.cpp (100%) rename src/coreclr/{src => }/jit/morph.cpp (100%) rename src/coreclr/{src => }/jit/namedintrinsiclist.h (100%) rename src/coreclr/{src => }/jit/objectalloc.cpp (100%) rename src/coreclr/{src => }/jit/objectalloc.h (100%) rename src/coreclr/{src => }/jit/opcode.h (100%) rename src/coreclr/{src => }/jit/optcse.cpp (100%) rename src/coreclr/{src => }/jit/optimizer.cpp (100%) rename src/coreclr/{src => }/jit/patchpoint.cpp (100%) rename src/coreclr/{src => }/jit/phase.cpp (100%) rename src/coreclr/{src => }/jit/phase.h (100%) rename src/coreclr/{src => }/jit/rangecheck.cpp (100%) rename src/coreclr/{src => }/jit/rangecheck.h (100%) rename src/coreclr/{src => }/jit/rationalize.cpp (100%) rename src/coreclr/{src => }/jit/rationalize.h (100%) rename src/coreclr/{src => }/jit/regalloc.cpp (100%) rename src/coreclr/{src => }/jit/regalloc.h (100%) rename src/coreclr/{src => }/jit/register.h (100%) rename src/coreclr/{src => }/jit/register_arg_convention.cpp (100%) rename src/coreclr/{src => }/jit/register_arg_convention.h (100%) rename src/coreclr/{src => }/jit/registerarm.h (100%) rename src/coreclr/{src => }/jit/registerarm64.h (100%) rename src/coreclr/{src => }/jit/reglist.h (100%) rename src/coreclr/{src => }/jit/regset.cpp (100%) rename src/coreclr/{src => }/jit/regset.h (100%) rename src/coreclr/{src => }/jit/scopeinfo.cpp (100%) rename src/coreclr/{src => }/jit/sideeffects.cpp (100%) rename src/coreclr/{src => }/jit/sideeffects.h (100%) rename src/coreclr/{src => }/jit/simd.cpp (100%) rename src/coreclr/{src => }/jit/simd.h (100%) rename src/coreclr/{src => }/jit/simdashwintrinsic.cpp (100%) rename src/coreclr/{src => }/jit/simdashwintrinsic.h (100%) rename src/coreclr/{src => }/jit/simdashwintrinsiclistarm64.h (100%) rename src/coreclr/{src => }/jit/simdashwintrinsiclistxarch.h (100%) rename src/coreclr/{src => }/jit/simdcodegenxarch.cpp (100%) rename src/coreclr/{src => }/jit/simdintrinsiclist.h (100%) rename src/coreclr/{src => }/jit/sm.cpp (100%) rename src/coreclr/{src => }/jit/sm.h (100%) rename src/coreclr/{src => }/jit/smallhash.h (100%) rename src/coreclr/{src => }/jit/smcommon.cpp (100%) rename src/coreclr/{src => }/jit/smcommon.h (100%) rename src/coreclr/{src => }/jit/smdata.cpp (100%) rename src/coreclr/{src => }/jit/smopcode.def (100%) rename src/coreclr/{src => }/jit/smopcodemap.def (100%) rename src/coreclr/{src => }/jit/smopenum.h (100%) rename src/coreclr/{src => }/jit/smweights.cpp (100%) rename src/coreclr/{src => }/jit/ssabuilder.cpp (100%) rename src/coreclr/{src => }/jit/ssabuilder.h (100%) rename src/coreclr/{src => }/jit/ssaconfig.h (100%) rename src/coreclr/{src => }/jit/ssarenamestate.cpp (100%) rename src/coreclr/{src => }/jit/ssarenamestate.h (100%) rename src/coreclr/{src => }/jit/stacklevelsetter.cpp (100%) rename src/coreclr/{src => }/jit/stacklevelsetter.h (100%) rename src/coreclr/{src => }/jit/static/CMakeLists.txt (100%) rename src/coreclr/{src => }/jit/static/clrjit.def (100%) rename src/coreclr/{src => }/jit/target.h (100%) rename src/coreclr/{src => }/jit/targetamd64.cpp (100%) rename src/coreclr/{src => }/jit/targetarm.cpp (100%) rename src/coreclr/{src => }/jit/targetarm64.cpp (100%) rename src/coreclr/{src => }/jit/targetx86.cpp (100%) rename src/coreclr/{src => }/jit/tinyarray.h (100%) rename src/coreclr/{src => }/jit/titypes.h (100%) rename src/coreclr/{src => }/jit/treelifeupdater.cpp (100%) rename src/coreclr/{src => }/jit/treelifeupdater.h (100%) rename src/coreclr/{src => }/jit/typeinfo.cpp (100%) rename src/coreclr/{src => }/jit/typelist.h (100%) rename src/coreclr/{src => }/jit/unwind.cpp (100%) rename src/coreclr/{src => }/jit/unwind.h (100%) rename src/coreclr/{src => }/jit/unwindamd64.cpp (100%) rename src/coreclr/{src => }/jit/unwindarm.cpp (100%) rename src/coreclr/{src => }/jit/unwindarm64.cpp (100%) rename src/coreclr/{src => }/jit/unwindx86.cpp (100%) rename src/coreclr/{src => }/jit/utils.cpp (100%) rename src/coreclr/{src => }/jit/utils.h (100%) rename src/coreclr/{src => }/jit/valuenum.cpp (100%) rename src/coreclr/{src => }/jit/valuenum.h (100%) rename src/coreclr/{src => }/jit/valuenumfuncs.h (100%) rename src/coreclr/{src => }/jit/valuenumtype.h (100%) rename src/coreclr/{src => }/jit/varset.h (100%) rename src/coreclr/{src => }/jit/vartype.h (100%) rename src/coreclr/{src => }/md/CMakeLists.txt (100%) rename src/coreclr/{src => }/md/ceefilegen/CMakeLists.txt (100%) rename src/coreclr/{src => }/md/ceefilegen/blobfetcher.cpp (100%) rename src/coreclr/{src => }/md/ceefilegen/cceegen.cpp (100%) rename src/coreclr/{src => }/md/ceefilegen/ceegentokenmapper.cpp (100%) rename src/coreclr/{src => }/md/ceefilegen/ceesectionstring.cpp (100%) rename src/coreclr/{src => }/md/ceefilegen/pesectionman.cpp (100%) rename src/coreclr/{src => }/md/ceefilegen/stdafx.h (100%) rename src/coreclr/{src => }/md/compiler/CMakeLists.txt (100%) rename src/coreclr/{src => }/md/compiler/assemblymd.cpp (100%) rename src/coreclr/{src => }/md/compiler/assemblymd_emit.cpp (100%) rename src/coreclr/{src => }/md/compiler/classfactory.cpp (100%) rename src/coreclr/{src => }/md/compiler/classfactory.h (100%) rename src/coreclr/{src => }/md/compiler/custattr.h (100%) rename src/coreclr/{src => }/md/compiler/custattr_emit.cpp (100%) rename src/coreclr/{src => }/md/compiler/custattr_import.cpp (100%) rename src/coreclr/{src => }/md/compiler/disp.cpp (100%) rename src/coreclr/{src => }/md/compiler/disp.h (100%) rename src/coreclr/{src => }/md/compiler/emit.cpp (100%) rename src/coreclr/{src => }/md/compiler/filtermanager.cpp (100%) rename src/coreclr/{src => }/md/compiler/filtermanager.h (100%) rename src/coreclr/{src => }/md/compiler/helper.cpp (100%) rename src/coreclr/{src => }/md/compiler/import.cpp (100%) rename src/coreclr/{src => }/md/compiler/importhelper.cpp (100%) rename src/coreclr/{src => }/md/compiler/importhelper.h (100%) rename src/coreclr/{src => }/md/compiler/mdperf.cpp (100%) rename src/coreclr/{src => }/md/compiler/mdperf.h (100%) rename src/coreclr/{src => }/md/compiler/mdutil.cpp (100%) rename src/coreclr/{src => }/md/compiler/mdutil.h (100%) rename src/coreclr/{src => }/md/compiler/regmeta.cpp (100%) rename src/coreclr/{src => }/md/compiler/regmeta.h (100%) rename src/coreclr/{src => }/md/compiler/regmeta_compilersupport.cpp (100%) rename src/coreclr/{src => }/md/compiler/regmeta_emit.cpp (100%) rename src/coreclr/{src => }/md/compiler/regmeta_imetadatatables.cpp (100%) rename src/coreclr/{src => }/md/compiler/regmeta_import.cpp (100%) rename src/coreclr/{src => }/md/compiler/regmeta_vm.cpp (100%) rename src/coreclr/{src => }/md/compiler/stdafx.h (100%) rename src/coreclr/{src => }/md/compiler/verifylayouts.cpp (100%) rename src/coreclr/{src => }/md/compressedinteger.h (100%) rename src/coreclr/{src => }/md/compressedinteger.inl (100%) rename src/coreclr/{src => }/md/datablob.h (100%) rename src/coreclr/{src => }/md/datablob.inl (100%) rename src/coreclr/{src => }/md/databuffer.h (100%) rename src/coreclr/{src => }/md/databuffer.inl (100%) rename src/coreclr/{src => }/md/datasource/CMakeLists.txt (100%) rename src/coreclr/{src => }/md/datasource/api.cpp (100%) rename src/coreclr/{src => }/md/datasource/datatargetreader.cpp (100%) rename src/coreclr/{src => }/md/datasource/datatargetreader.h (100%) rename src/coreclr/{src => }/md/datasource/remotemdinternalrwsource.cpp (100%) rename src/coreclr/{src => }/md/datasource/remotemdinternalrwsource.h (100%) rename src/coreclr/{src => }/md/datasource/stdafx.h (100%) rename src/coreclr/{src => }/md/datasource/targettypes.cpp (100%) rename src/coreclr/{src => }/md/datasource/targettypes.h (100%) rename src/coreclr/{src => }/md/debug_metadata.h (100%) rename src/coreclr/{src => }/md/enc/CMakeLists.txt (100%) rename src/coreclr/{src => }/md/enc/liteweightstgdbrw.cpp (100%) rename src/coreclr/{src => }/md/enc/mdinternalrw.cpp (100%) rename src/coreclr/{src => }/md/enc/metamodelenc.cpp (100%) rename src/coreclr/{src => }/md/enc/metamodelrw.cpp (100%) rename src/coreclr/{src => }/md/enc/pdbheap.cpp (100%) rename src/coreclr/{src => }/md/enc/peparse.cpp (100%) rename src/coreclr/{src => }/md/enc/rwutil.cpp (100%) rename src/coreclr/{src => }/md/enc/stdafx.h (100%) rename src/coreclr/{src => }/md/enc/stgio.cpp (100%) rename src/coreclr/{src => }/md/enc/stgtiggerstorage.cpp (100%) rename src/coreclr/{src => }/md/enc/stgtiggerstream.cpp (100%) rename src/coreclr/{src => }/md/errors_metadata.h (100%) rename src/coreclr/{src => }/md/export.h (100%) rename src/coreclr/{src => }/md/external.h (100%) rename src/coreclr/{src => }/md/heaps/blobheap.h (100%) rename src/coreclr/{src => }/md/heaps/export.h (100%) rename src/coreclr/{src => }/md/heaps/external.h (100%) rename src/coreclr/{src => }/md/heaps/guidheap.h (100%) rename src/coreclr/{src => }/md/heaps/stringheap.h (100%) rename src/coreclr/{src => }/md/hotdata/CMakeLists.txt (100%) rename src/coreclr/{src => }/md/hotdata/export.h (100%) rename src/coreclr/{src => }/md/hotdata/external.h (100%) rename src/coreclr/{src => }/md/hotdata/heapindex.h (100%) rename src/coreclr/{src => }/md/hotdata/hotdataformat.h (100%) rename src/coreclr/{src => }/md/hotdata/hotheap.cpp (100%) rename src/coreclr/{src => }/md/hotdata/hotheap.h (100%) rename src/coreclr/{src => }/md/hotdata/hotheapsdirectoryiterator.cpp (100%) rename src/coreclr/{src => }/md/hotdata/hotheapsdirectoryiterator.h (100%) rename src/coreclr/{src => }/md/hotdata/hotheapwriter.cpp (100%) rename src/coreclr/{src => }/md/hotdata/hotheapwriter.h (100%) rename src/coreclr/{src => }/md/hotdata/hotmetadata.cpp (100%) rename src/coreclr/{src => }/md/hotdata/hotmetadata.h (100%) rename src/coreclr/{src => }/md/hotdata/hottable.cpp (100%) rename src/coreclr/{src => }/md/hotdata/hottable.h (100%) rename src/coreclr/{src => }/md/inc/VerifyLayouts.inc (100%) rename src/coreclr/{src => }/md/inc/assemblymdinternaldisp.h (100%) rename src/coreclr/{src => }/md/inc/cahlprinternal.h (100%) rename src/coreclr/{src => }/md/inc/liteweightstgdb.h (100%) rename src/coreclr/{src => }/md/inc/mdcolumndescriptors.h (100%) rename src/coreclr/{src => }/md/inc/mdinternalrw.h (100%) rename src/coreclr/{src => }/md/inc/mdlog.h (100%) rename src/coreclr/{src => }/md/inc/metadatahash.h (100%) rename src/coreclr/{src => }/md/inc/metamodel.h (100%) rename src/coreclr/{src => }/md/inc/metamodelro.h (100%) rename src/coreclr/{src => }/md/inc/metamodelrw.h (100%) rename src/coreclr/{src => }/md/inc/pdbheap.h (100%) rename src/coreclr/{src => }/md/inc/portablepdbmdds.h (100%) rename src/coreclr/{src => }/md/inc/portablepdbmdi.h (100%) rename src/coreclr/{src => }/md/inc/recordpool.h (100%) rename src/coreclr/{src => }/md/inc/rwutil.h (100%) rename src/coreclr/{src => }/md/inc/stgio.h (100%) rename src/coreclr/{src => }/md/inc/stgtiggerstorage.h (100%) rename src/coreclr/{src => }/md/inc/stgtiggerstream.h (100%) rename src/coreclr/{src => }/md/inc/streamutil.h (100%) rename src/coreclr/{src => }/md/inc/verifylayouts.h (100%) rename src/coreclr/{src => }/md/runtime/CMakeLists.txt (100%) rename src/coreclr/{src => }/md/runtime/liteweightstgdb.cpp (100%) rename src/coreclr/{src => }/md/runtime/mdcolumndescriptors.cpp (100%) rename src/coreclr/{src => }/md/runtime/mdfileformat.cpp (100%) rename src/coreclr/{src => }/md/runtime/mdinternaldisp.cpp (100%) rename src/coreclr/{src => }/md/runtime/mdinternaldisp.h (100%) rename src/coreclr/{src => }/md/runtime/mdinternalro.cpp (100%) rename src/coreclr/{src => }/md/runtime/mdinternalro.h (100%) rename src/coreclr/{src => }/md/runtime/metamodel.cpp (100%) rename src/coreclr/{src => }/md/runtime/metamodelcolumndefs.h (100%) rename src/coreclr/{src => }/md/runtime/metamodelro.cpp (100%) rename src/coreclr/{src => }/md/runtime/recordpool.cpp (100%) rename src/coreclr/{src => }/md/runtime/stdafx.h (100%) rename src/coreclr/{src => }/md/runtime/strongnameinternal.cpp (100%) rename src/coreclr/{src => }/md/staticmd/CMakeLists.txt (100%) rename src/coreclr/{src => }/md/staticmd/apis.cpp (100%) rename src/coreclr/{src => }/md/staticmd/stdafx.h (100%) rename src/coreclr/{src => }/md/tables/export.h (100%) rename src/coreclr/{src => }/md/tables/external.h (100%) rename src/coreclr/{src => }/md/tables/table.h (100%) rename src/coreclr/{src => }/nativeresources/CMakeLists.txt (100%) rename src/coreclr/{src => }/nativeresources/processrc.awk (100%) rename src/coreclr/{src => }/nativeresources/rctocpp.awk (100%) rename src/coreclr/{src => }/nativeresources/rctopo.awk (100%) rename src/coreclr/{src => }/nativeresources/resourcestring.cpp (100%) rename src/coreclr/{src => }/nativeresources/resourcestring.h (100%) rename src/coreclr/{src => }/pal/CMakeLists.txt (90%) rename src/coreclr/{src => }/pal/inc/mbusafecrt.h (100%) rename src/coreclr/{src => }/pal/inc/pal.h (100%) rename src/coreclr/{src => }/pal/inc/pal_assert.h (100%) rename src/coreclr/{src => }/pal/inc/pal_endian.h (100%) rename src/coreclr/{src => }/pal/inc/pal_error.h (100%) rename src/coreclr/{src => }/pal/inc/pal_mstypes.h (100%) rename src/coreclr/{src => }/pal/inc/palprivate.h (100%) rename src/coreclr/{src => }/pal/inc/rt/aclapi.h (100%) rename src/coreclr/{src => }/pal/inc/rt/commctrl.h (100%) rename src/coreclr/{src => }/pal/inc/rt/commdlg.h (100%) rename src/coreclr/{src => }/pal/inc/rt/conio.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/assert.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/cstdlib (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/ctype.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/emmintrin.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/fcntl.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/float.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/io.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/limits.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/malloc.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/math.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/memory.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/stdarg.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/stddef.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/stdint.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/stdio.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/stdlib.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/string.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/time.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/wchar.h (100%) rename src/coreclr/{src => }/pal/inc/rt/cpp/xmmintrin.h (100%) rename src/coreclr/{src => }/pal/inc/rt/crtdbg.h (100%) rename src/coreclr/{src => }/pal/inc/rt/dbghelp.h (100%) rename src/coreclr/{src => }/pal/inc/rt/eh.h (100%) rename src/coreclr/{src => }/pal/inc/rt/errorrep.h (100%) rename src/coreclr/{src => }/pal/inc/rt/guiddef.h (100%) rename src/coreclr/{src => }/pal/inc/rt/htmlhelp.h (100%) rename src/coreclr/{src => }/pal/inc/rt/imagehlp.h (100%) rename src/coreclr/{src => }/pal/inc/rt/intrin.h (100%) rename src/coreclr/{src => }/pal/inc/rt/intsafe.h (100%) rename src/coreclr/{src => }/pal/inc/rt/new.h (100%) rename src/coreclr/{src => }/pal/inc/rt/no_sal2.h (100%) rename src/coreclr/{src => }/pal/inc/rt/ntimage.h (100%) rename src/coreclr/{src => }/pal/inc/rt/oaidl.h (100%) rename src/coreclr/{src => }/pal/inc/rt/objbase.h (100%) rename src/coreclr/{src => }/pal/inc/rt/objidl.h (100%) rename src/coreclr/{src => }/pal/inc/rt/ocidl.h (100%) rename src/coreclr/{src => }/pal/inc/rt/ole2.h (100%) rename src/coreclr/{src => }/pal/inc/rt/oleauto.h (100%) rename src/coreclr/{src => }/pal/inc/rt/olectl.h (100%) rename src/coreclr/{src => }/pal/inc/rt/palrt.h (100%) rename src/coreclr/{src => }/pal/inc/rt/poppack.h (100%) rename src/coreclr/{src => }/pal/inc/rt/process.h (100%) rename src/coreclr/{src => }/pal/inc/rt/psapi.h (100%) rename src/coreclr/{src => }/pal/inc/rt/pshpack1.h (100%) rename src/coreclr/{src => }/pal/inc/rt/pshpack2.h (100%) rename src/coreclr/{src => }/pal/inc/rt/pshpack4.h (100%) rename src/coreclr/{src => }/pal/inc/rt/pshpack8.h (100%) rename src/coreclr/{src => }/pal/inc/rt/richedit.h (100%) rename src/coreclr/{src => }/pal/inc/rt/rpc.h (100%) rename src/coreclr/{src => }/pal/inc/rt/rpcndr.h (100%) rename src/coreclr/{src => }/pal/inc/rt/safecrt.h (100%) rename src/coreclr/{src => }/pal/inc/rt/sal.h (100%) rename src/coreclr/{src => }/pal/inc/rt/servprov.h (100%) rename src/coreclr/{src => }/pal/inc/rt/share.h (100%) rename src/coreclr/{src => }/pal/inc/rt/shellapi.h (100%) rename src/coreclr/{src => }/pal/inc/rt/shlobj.h (100%) rename src/coreclr/{src => }/pal/inc/rt/shlwapi.h (100%) rename src/coreclr/{src => }/pal/inc/rt/specstrings.h (100%) rename src/coreclr/{src => }/pal/inc/rt/specstrings_strict.h (100%) rename src/coreclr/{src => }/pal/inc/rt/specstrings_undef.h (100%) rename src/coreclr/{src => }/pal/inc/rt/tchar.h (100%) rename src/coreclr/{src => }/pal/inc/rt/tlhelp32.h (100%) rename src/coreclr/{src => }/pal/inc/rt/unknwn.h (100%) rename src/coreclr/{src => }/pal/inc/rt/urlmon.h (100%) rename src/coreclr/{src => }/pal/inc/rt/verrsrc.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winapifamily.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winbase.h (100%) rename src/coreclr/{src => }/pal/inc/rt/wincrypt.h (100%) rename src/coreclr/{src => }/pal/inc/rt/windef.h (100%) rename src/coreclr/{src => }/pal/inc/rt/windows.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winerror.h (100%) rename src/coreclr/{src => }/pal/inc/rt/wininet.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winnls.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winnt.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winresrc.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winternl.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winuser.h (100%) rename src/coreclr/{src => }/pal/inc/rt/winver.h (100%) rename src/coreclr/{src => }/pal/inc/rt/wtsapi32.h (100%) rename src/coreclr/{src => }/pal/inc/strsafe.h (100%) rename src/coreclr/{src => }/pal/inc/unixasmmacros.inc (100%) rename src/coreclr/{src => }/pal/inc/unixasmmacrosamd64.inc (100%) rename src/coreclr/{src => }/pal/inc/unixasmmacrosarm.inc (100%) rename src/coreclr/{src => }/pal/inc/unixasmmacrosarm64.inc (100%) rename src/coreclr/{src => }/pal/inc/unixasmmacrosx86.inc (100%) rename src/coreclr/{src => }/pal/prebuilt/corerror/makecorerror.bat (100%) rename src/coreclr/{src => }/pal/prebuilt/corerror/mscorurt.rc (100%) rename src/coreclr/{src => }/pal/prebuilt/corerror/readme.txt (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/clrdata_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/clrinternal_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/clrprivappxhosting_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/clrprivbinding_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/cordebug_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/corprof_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/corpub_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/corsym_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/mscorsvc_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/sospriv_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/xclrdata_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/idl/xcordebug_i.cpp (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/CMakeLists.txt (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/clrdata.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/clrinternal.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/clrprivbinding.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/cordebug.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/corerror.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/corprof.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/corpub.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/corsym.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/fusion.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/fxver.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/fxver.rc (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/metahost.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/mscoree.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/mscorsvc.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/readme.txt (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/sospriv.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/xclrdata.h (100%) rename src/coreclr/{src => }/pal/prebuilt/inc/xcordebug.h (100%) rename src/coreclr/{src => }/pal/src/.tpattributes (100%) rename src/coreclr/{src => }/pal/src/CMakeLists.txt (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/activationhandlerwrapper.S (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/asmconstants.h (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/callsignalhandlerwrapper.S (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/context.S (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/context2.S (100%) rename src/coreclr/{src/pal/src/arch/i386 => pal/src/arch/amd64}/debugbreak.S (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/dispatchexceptionwrapper.S (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/exceptionhelper.S (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/processor.cpp (100%) rename src/coreclr/{src => }/pal/src/arch/amd64/signalhandlerhelper.cpp (100%) rename src/coreclr/{src => }/pal/src/arch/arm/asmconstants.h (100%) rename src/coreclr/{src => }/pal/src/arch/arm/callsignalhandlerwrapper.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm/context2.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm/debugbreak.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm/exceptionhelper.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm/processor.cpp (100%) rename src/coreclr/{src => }/pal/src/arch/arm/signalhandlerhelper.cpp (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/activationhandlerwrapper.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/asmconstants.h (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/callsignalhandlerwrapper.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/context.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/context2.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/debugbreak.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/dispatchexceptionwrapper.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/exceptionhelper.S (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/processor.cpp (100%) rename src/coreclr/{src => }/pal/src/arch/arm64/signalhandlerhelper.cpp (100%) rename src/coreclr/{src => }/pal/src/arch/i386/asmconstants.h (100%) rename src/coreclr/{src => }/pal/src/arch/i386/callsignalhandlerwrapper.S (100%) rename src/coreclr/{src => }/pal/src/arch/i386/context2.S (100%) rename src/coreclr/{src/pal/src/arch/amd64 => pal/src/arch/i386}/debugbreak.S (100%) rename src/coreclr/{src => }/pal/src/arch/i386/exceptionhelper.S (100%) rename src/coreclr/{src => }/pal/src/arch/i386/processor.cpp (100%) rename src/coreclr/{src => }/pal/src/arch/i386/signalhandlerhelper.cpp (100%) rename src/coreclr/{src => }/pal/src/build_tools/mdtool_dummy (100%) rename src/coreclr/{src => }/pal/src/build_tools/mdtool_gcc.in (100%) rename src/coreclr/{src => }/pal/src/config.h.in (100%) rename src/coreclr/{src => }/pal/src/configure.cmake (100%) rename src/coreclr/{src => }/pal/src/cruntime/file.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/filecrt.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/malloc.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/math.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/misc.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/path.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/printf.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/printfcpp.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/silent_printf.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/string.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/stringtls.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/thread.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/wchar.cpp (100%) rename src/coreclr/{src => }/pal/src/cruntime/wchartls.cpp (100%) rename src/coreclr/{src => }/pal/src/debug/debug.cpp (100%) rename src/coreclr/{src => }/pal/src/eventprovider/CMakeLists.txt (100%) rename src/coreclr/{src => }/pal/src/eventprovider/dummyprovider/CMakeLists.txt (95%) rename src/coreclr/{src => }/pal/src/eventprovider/lttngprovider/CMakeLists.txt (97%) rename src/coreclr/{src => }/pal/src/eventprovider/lttngprovider/eventproviderhelpers.cpp (100%) rename src/coreclr/{src => }/pal/src/exception/compact_unwind_encoding.h (100%) rename src/coreclr/{src => }/pal/src/exception/machexception.cpp (100%) rename src/coreclr/{src => }/pal/src/exception/machexception.h (100%) rename src/coreclr/{src => }/pal/src/exception/machmessage.cpp (100%) rename src/coreclr/{src => }/pal/src/exception/machmessage.h (100%) rename src/coreclr/{src => }/pal/src/exception/remote-unwind.cpp (100%) rename src/coreclr/{src => }/pal/src/exception/seh-unwind.cpp (100%) rename src/coreclr/{src => }/pal/src/exception/seh.cpp (100%) rename src/coreclr/{src => }/pal/src/exception/signal.cpp (100%) rename src/coreclr/{src => }/pal/src/file/directory.cpp (100%) rename src/coreclr/{src => }/pal/src/file/file.cpp (100%) rename src/coreclr/{src => }/pal/src/file/filetime.cpp (100%) rename src/coreclr/{src => }/pal/src/file/find.cpp (100%) rename src/coreclr/{src => }/pal/src/file/path.cpp (100%) rename src/coreclr/{src => }/pal/src/handlemgr/handleapi.cpp (100%) rename src/coreclr/{src => }/pal/src/handlemgr/handlemgr.cpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/cgroup.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/context.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/corunix.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/corunix.inl (100%) rename src/coreclr/{src => }/pal/src/include/pal/critsect.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/cruntime.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/cs.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/dbgmsg.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/debug.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/environ.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/event.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/fakepoll.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/file.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/file.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/filetime.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/handleapi.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/handlemgr.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/init.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/list.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/malloc.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/map.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/map.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/misc.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/module.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/modulename.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/mutex.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/numa.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/palinternal.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/perftrace.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/printfcpp.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/process.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/procobj.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/seh.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/semaphore.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/sharedmemory.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/sharedmemory.inl (100%) rename src/coreclr/{src => }/pal/src/include/pal/shm.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/shmemory.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/signal.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/stackstring.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/synchcache.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/synchobjects.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/thread.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/threadinfo.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/threadsusp.hpp (100%) rename src/coreclr/{src => }/pal/src/include/pal/unicodedata.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/utf8.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/utils.h (100%) rename src/coreclr/{src => }/pal/src/include/pal/virtual.h (100%) rename src/coreclr/{src => }/pal/src/init/pal.cpp (100%) rename src/coreclr/{src => }/pal/src/init/sxs.cpp (100%) rename src/coreclr/{src => }/pal/src/libunwind/.gitignore (100%) rename src/coreclr/{src => }/pal/src/libunwind/.travis.yml (100%) rename src/coreclr/{src => }/pal/src/libunwind/AUTHORS (100%) rename src/coreclr/{src => }/pal/src/libunwind/CMakeLists.txt (100%) rename src/coreclr/{src => }/pal/src/libunwind/COPYING (100%) rename src/coreclr/{src => }/pal/src/libunwind/ChangeLog (100%) rename src/coreclr/{src => }/pal/src/libunwind/LICENSE (100%) rename src/coreclr/{src => }/pal/src/libunwind/Makefile.am (100%) rename src/coreclr/{src => }/pal/src/libunwind/NEWS (100%) rename src/coreclr/{src => }/pal/src/libunwind/README (100%) rename src/coreclr/{src => }/pal/src/libunwind/README.md (100%) rename src/coreclr/{src => }/pal/src/libunwind/TODO (100%) rename src/coreclr/{src => }/pal/src/libunwind/acinclude.m4 (100%) rename src/coreclr/{src => }/pal/src/libunwind/autogen.sh (100%) rename src/coreclr/{src => }/pal/src/libunwind/config.h.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/configure.ac (100%) rename src/coreclr/{src => }/pal/src/libunwind/configure.cmake (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/Makefile.am (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/NOTES (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/_U_dyn_cancel.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/_U_dyn_cancel.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/_U_dyn_register.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/_U_dyn_register.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/common.tex.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind-dynamic.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind-dynamic.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind-ia64.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind-ia64.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind-ptrace.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind-ptrace.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind-setjmp.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind-setjmp.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/libunwind.trans (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_apply_reg_state.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_apply_reg_state.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_backtrace.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_backtrace.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_create_addr_space.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_create_addr_space.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_destroy_addr_space.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_destroy_addr_space.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_flush_cache.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_flush_cache.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_accessors.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_accessors.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_fpreg.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_fpreg.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_proc_info.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_proc_info.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_proc_info_by_ip.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_proc_info_by_ip.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_proc_name.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_proc_name.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_reg.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_get_reg.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_getcontext.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_getcontext.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_init_local.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_init_local.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_init_local2.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_init_remote.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_init_remote.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_is_fpreg.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_is_fpreg.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_is_signal_frame.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_is_signal_frame.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_reg_states_iterate.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_reg_states_iterate.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_regname.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_regname.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_resume.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_resume.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_set_cache_size.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_set_cache_size.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_set_caching_policy.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_set_caching_policy.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_set_fpreg.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_set_fpreg.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_set_reg.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_set_reg.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_step.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_step.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_strerror.man (100%) rename src/coreclr/{src => }/pal/src/libunwind/doc/unw_strerror.tex (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/compiler.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/config.h.cmake.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/dwarf-eh.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/dwarf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/dwarf_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-aarch64.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-arm.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-common.h.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-coredump.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-dynamic.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-hppa.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-ia64.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-mips.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-ppc32.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-ppc64.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-ptrace.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-s390x.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-sh.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-tilegx.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-x86.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind-x86_64.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind.h.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/mempool.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/remote.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-aarch64/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-aarch64/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-aarch64/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-arm/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-arm/ex_tables.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-arm/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-arm/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-hppa/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-hppa/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-hppa/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-ia64/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-ia64/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-ia64/rse.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-ia64/script.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-mips/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-mips/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-mips/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-ppc32/dwarf-config.h (100%) rename src/coreclr/{src/pal/src/libunwind/include/tdep-ppc64 => pal/src/libunwind/include/tdep-ppc32}/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-ppc32/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-ppc64/dwarf-config.h (100%) rename src/coreclr/{src/pal/src/libunwind/include/tdep-ppc32 => pal/src/libunwind/include/tdep-ppc64}/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-ppc64/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-s390x/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-s390x/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-s390x/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-sh/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-sh/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-sh/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-tilegx/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-tilegx/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-tilegx/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-x86/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-x86/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-x86/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-x86_64/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-x86_64/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep-x86_64/libunwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep/dwarf-config.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/tdep/libunwind_i.h.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/unwind.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/elf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/endian.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/fakestdalign.h.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/fakestdatomic.h.in (100%) rename src/coreclr/{src/pal/src/libunwind_mac/include => pal/src/libunwind/include/win}/freebsd-elf32.h (100%) rename src/coreclr/{src/pal/src/libunwind_mac/include => pal/src/libunwind/include/win}/freebsd-elf64.h (100%) rename src/coreclr/{src/pal/src/libunwind_mac/include => pal/src/libunwind/include/win}/freebsd-elf_common.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/pthread.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/signal.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/sys/mman.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/sys/syscall.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/sys/types.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/sys/ucontext.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/ucontext.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/win/unistd.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/include/x86/jmpbuf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/libunwind-version.txt (100%) rename src/coreclr/{src => }/pal/src/libunwind/scripts/kernel-diff.sh (100%) rename src/coreclr/{src => }/pal/src/libunwind/scripts/kernel-files.txt (100%) rename src/coreclr/{src => }/pal/src/libunwind/scripts/make-L-files (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/CMakeLists.txt (98%) rename src/coreclr/{src => }/pal/src/libunwind/src/Makefile.am (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/aarch64}/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Ginit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Ginit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/aarch64}/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gstash_frame.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/Gtrace.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/aarch64}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Linit_remote.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/aarch64}/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lstash_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Lstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/aarch64}/Ltrace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/gen-offsets.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/getcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/aarch64/unwind_i.h (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gex_tables.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Ginit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Ginit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/arm}/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gos-freebsd.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gos-linux.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gos-other.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gstash_frame.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Gtrace.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Lcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Lex_tables.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/arm}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Linit_remote.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/arm}/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/arm}/Los-freebsd.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/arm}/Los-linux.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Los-other.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Lresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Lstash_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86 => pal/src/libunwind/src/arm}/Lstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/Ltrace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/gen-offsets.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/getcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/arm/unwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/README (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_access_mem.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_access_reg_freebsd.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_access_reg_linux.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_accessors.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_create.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_destroy.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_elf_map_image.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_find_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_get_proc_name.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_internal.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UCD_lib.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UPT_access_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UPT_elf.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UPT_get_dyn_info_list_addr.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UPT_put_unwind_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/_UPT_resume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/coredump/libunwind-coredump.pc.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Gexpr.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Gfde.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Gfind_proc_info-lsb.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Gfind_unwind_table.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Gparser.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Gpe.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Lexpr.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Lfde.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Lfind_proc_info-lsb.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/dwarf}/Lfind_unwind_table.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/dwarf}/Lparser.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/Lpe.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/dwarf/global.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/elf32.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/elf32.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/elf64.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/elf64.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/elfxx.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/elfxx.h (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Gcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Ginit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Ginit_local.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Gis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/Gstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/hppa}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Linit_remote.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/hppa}/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/hppa}/Lstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/get_accessors.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/getcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/setcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/tables.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/hppa/unwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gfind_unwind_table.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Ginit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Ginit_local.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Ginstall_cursor.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gis_signal_frame.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gparser.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Grbs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gscript.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Gtables.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/dwarf => pal/src/libunwind/src/ia64}/Lfind_unwind_table.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ia64}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Linit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Linstall_cursor.S (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc => pal/src/libunwind/src/ia64}/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/dwarf => pal/src/libunwind/src/ia64}/Lparser.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Lrbs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Lresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Lscript.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/ia64}/Lstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/Ltables.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/NOTES (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/dyn_info_list.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/getcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/longjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/mk_cursor_i (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/regs.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/setjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/sigsetjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/ucontext_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/unwind_decoder.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ia64/unwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/libunwind-generic.pc.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gdestroy_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gdyn-extract.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gdyn-remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gfind_dynamic_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gget_accessors.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gget_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gget_proc_info_by_ip.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gget_proc_name.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gget_reg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gput_dynamic_unwind_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gset_cache_size.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gset_caching_policy.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gset_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Gset_reg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Ldestroy_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Ldyn-extract.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Ldyn-remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lfind_dynamic_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lget_accessors.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lget_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lget_proc_info_by_ip.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lget_proc_name.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lget_reg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lput_dynamic_unwind_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lset_cache_size.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lset_caching_policy.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lset_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/Lset_reg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/_ReadSLEB.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/_ReadULEB.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/backtrace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/dyn-cancel.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/dyn-info-list.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/dyn-register.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/flush_cache.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/init.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/mempool.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mi/strerror.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/mips}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Gcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Ginit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Ginit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/mips}/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Gis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/sh => pal/src/libunwind/src/mips}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Gstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc32 => pal/src/libunwind/src/mips}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Linit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/mips}/Lstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/tilegx => pal/src/libunwind/src/mips}/elfxx.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/gen-offsets.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/getcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/mips/unwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/oop/_OOP_find_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/oop/_OOP_internal.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/os-freebsd.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/os-hpux.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/os-linux.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/os-linux.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/os-qnx.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/os-solaris.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/ppc}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Ginit_local.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Gis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/ppc}/Greg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc}/Lapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Lget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Lget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Linit_local.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/Linit_remote.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/ppc}/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc}/Lreg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/longjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc/siglongjmp.S (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc32}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Gcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Ginit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc32}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Gstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc32}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/ppc32}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc32}/Linit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc32}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc32}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc64 => pal/src/libunwind/src/ppc32}/Lstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/Make-arch.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/get_func_addr.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/setcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/ucontext_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc32/unwind_i.h (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc32 => pal/src/libunwind/src/ppc64}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/Gcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/Ginit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc32 => pal/src/libunwind/src/ppc64}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/Gstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc => pal/src/libunwind/src/ppc64}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc32 => pal/src/libunwind/src/ppc64}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/ppc64}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc32 => pal/src/libunwind/src/ppc64}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc => pal/src/libunwind/src/ppc64}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc32 => pal/src/libunwind/src/ppc64}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc32 => pal/src/libunwind/src/ppc64}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc32 => pal/src/libunwind/src/ppc64}/Lstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/get_func_addr.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/setcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/ucontext_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ppc64/unwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_access_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_access_mem.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_access_reg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_accessors.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_create.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_destroy.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_elf.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_find_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_get_dyn_info_list_addr.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_get_proc_name.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_internal.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_put_unwind_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_reg_offset.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/_UPT_resume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/ptrace/libunwind-ptrace.pc.in (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc => pal/src/libunwind/src/s390x}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/Gcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/s390x}/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/Ginit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/s390x}/Ginit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/s390x}/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/Gis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ppc => pal/src/libunwind/src/s390x}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/Gstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/x86_64 => pal/src/libunwind/src/s390x}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Linit_remote.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/s390x}/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/s390x}/Lstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/getcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/setcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/s390x/unwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/setjmp/libunwind-setjmp.pc.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/setjmp/longjmp.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/setjmp/setjmp.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/setjmp/setjmp_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/setjmp/siglongjmp.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/setjmp/sigsetjmp.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/sh}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Gcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/sh}/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Ginit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Ginit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/sh}/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Gis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/sh}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/Gstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/sh}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Linit_remote.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/sh}/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/ia64 => pal/src/libunwind/src/sh}/Lstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/gen-offsets.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/sh/unwind_i.h (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Gcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Ginit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Ginit_local.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Gis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/Gstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/tilegx}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Linit_remote.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/tilegx}/Lis_signal_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/hppa => pal/src/libunwind/src/tilegx}/Lstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/mips => pal/src/libunwind/src/tilegx}/elfxx.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/gen-offsets.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/getcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/tilegx/unwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/Backtrace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/DeleteException.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/FindEnclosingFunction.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/ForcedUnwind.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetBSP.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetCFA.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetDataRelBase.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetGR.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetIP.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetIPInfo.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetLanguageSpecificData.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetRegionStart.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/GetTextRelBase.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/RaiseException.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/Resume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/Resume_or_Rethrow.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/SetGR.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/SetIP.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/libunwind.pc.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/unwind/unwind-internal.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/win/pal-single-threaded.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gcreate_addr_space.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Ginit.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Ginit_local.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gos-freebsd.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gos-linux.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Gstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Linit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Los-freebsd.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/Los-linux.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86}/Lstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/getcontext-freebsd.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/getcontext-linux.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/longjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86/unwind_i.h (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Gapply_reg_state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/x86_64}/Gget_proc_info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gget_save_loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gglobal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Ginit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/x86_64}/Ginit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/x86_64}/Ginit_remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gos-freebsd.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gos-linux.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gos-solaris.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Greg_states_iterate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gregs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gresume.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gstash_frame.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gstep.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Gtrace.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lapply_reg_state.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lcreate_addr_space.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lget_proc_info.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lget_save_loc.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/s390x => pal/src/libunwind/src/x86_64}/Lglobal.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Linit.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Linit_local.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Linit_remote.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86_64}/Los-freebsd.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/arm => pal/src/libunwind/src/x86_64}/Los-linux.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/Los-solaris.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lreg_states_iterate.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lregs.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lresume.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lstash_frame.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Lstep.c (100%) rename src/coreclr/{src/pal/src/libunwind/src/aarch64 => pal/src/libunwind/src/x86_64}/Ltrace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/getcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/init.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/is_fpreg.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/longjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/offsets.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/regname.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/setcontext.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/siglongjmp.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/ucontext_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/src/x86_64/unwind_i.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gia64-test-nat.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gia64-test-rbs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gia64-test-readonly.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gia64-test-stack.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gperf-simple.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gperf-trace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-bt.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-concurrent.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-dyn1.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-exc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-init.cxx (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-nomalloc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-resume-sig-rt.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-resume-sig.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gtest-trace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Gx64-test-dwarf-expressions.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Lia64-test-nat.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Lia64-test-rbs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Lia64-test-readonly.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Lia64-test-stack.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Lperf-simple.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Lperf-trace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Lrs-race.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-bt.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-concurrent.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-cxx-exceptions.cxx (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-dyn1.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-exc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-init-local-signal-lib.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-init-local-signal.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-init.cxx (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-mem-validate.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-nocalloc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-nomalloc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-resume-sig-rt.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-resume-sig.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-trace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Ltest-varargs.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Lx64-test-dwarf-expressions.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/Makefile.am (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/check-namespace.sh.in (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/crasher.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/flush-cache.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/flush-cache.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/forker.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-dyn-asm.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-dyn1.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-nat-asm.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-rbs-asm.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-rbs.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-readonly-asm.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-setjmp.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-sig.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-stack-asm.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ia64-test-stack.h (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ident.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/mapper.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/perf-startup (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ppc64-test-altivec-utils.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/ppc64-test-altivec.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/run-check-namespace (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/run-coredump-unwind (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/run-coredump-unwind-mdi (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/run-ia64-test-dyn1 (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/run-ptrace-mapper (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/run-ptrace-misc (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-async-sig.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-coredump-unwind.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-flush-cache.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-init-remote.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-mem.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-proc-info.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-ptrace-misc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-ptrace.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-reg-state.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-setjmp.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-static-link-gen.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-static-link-loc.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/test-strerror.c (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/x64-test-dwarf-expressions.S (100%) rename src/coreclr/{src => }/pal/src/libunwind/tests/x64-unwind-badjmp-signal-frame.c (100%) rename src/coreclr/{src => }/pal/src/libunwind_mac/include/elf.h (100%) rename src/coreclr/{src => }/pal/src/libunwind_mac/include/endian.h (100%) rename src/coreclr/{src => }/pal/src/libunwind_mac/include/fakestdalign.h.in (100%) rename src/coreclr/{src => }/pal/src/libunwind_mac/include/fakestdatomic.h.in (100%) rename src/coreclr/{src/pal/src/libunwind/include/win => pal/src/libunwind_mac/include}/freebsd-elf32.h (100%) rename src/coreclr/{src/pal/src/libunwind/include/win => pal/src/libunwind_mac/include}/freebsd-elf64.h (100%) rename src/coreclr/{src/pal/src/libunwind/include/win => pal/src/libunwind_mac/include}/freebsd-elf_common.h (100%) rename src/coreclr/{src => }/pal/src/libunwind_mac/include/ucontext.h (100%) rename src/coreclr/{src => }/pal/src/libunwind_mac/src/missing-functions.c (100%) rename src/coreclr/{src => }/pal/src/loader/module.cpp (100%) rename src/coreclr/{src => }/pal/src/loader/modulename.cpp (100%) rename src/coreclr/{src => }/pal/src/locale/unicode.cpp (100%) rename src/coreclr/{src => }/pal/src/locale/unicodedata.cpp (100%) rename src/coreclr/{src => }/pal/src/locale/unicodedata.cs (100%) rename src/coreclr/{src => }/pal/src/locale/utf8.cpp (100%) rename src/coreclr/{src => }/pal/src/map/common.cpp (100%) rename src/coreclr/{src => }/pal/src/map/common.h (100%) rename src/coreclr/{src => }/pal/src/map/map.cpp (100%) rename src/coreclr/{src => }/pal/src/map/virtual.cpp (100%) rename src/coreclr/{src => }/pal/src/memory/local.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/cgroup.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/dbgmsg.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/environ.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/error.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/errorstrings.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/errorstrings.h (100%) rename src/coreclr/{src => }/pal/src/misc/fmtmessage.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/jitsupport.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/miscpalapi.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/msgbox.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/perfjitdump.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/perftrace.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/strutil.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/sysinfo.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/time.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/tracepointprovider.cpp (100%) rename src/coreclr/{src => }/pal/src/misc/utils.cpp (100%) rename src/coreclr/{src => }/pal/src/numa/numa.cpp (100%) rename src/coreclr/{src => }/pal/src/numa/numashim.h (100%) rename src/coreclr/{src => }/pal/src/objmgr/palobjbase.cpp (100%) rename src/coreclr/{src => }/pal/src/objmgr/palobjbase.hpp (100%) rename src/coreclr/{src => }/pal/src/objmgr/shmobject.cpp (100%) rename src/coreclr/{src => }/pal/src/objmgr/shmobject.hpp (100%) rename src/coreclr/{src => }/pal/src/objmgr/shmobjectmanager.cpp (100%) rename src/coreclr/{src => }/pal/src/objmgr/shmobjectmanager.hpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/cruntime.h (100%) rename src/coreclr/{src => }/pal/src/safecrt/input.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/internal.h (100%) rename src/coreclr/{src => }/pal/src/safecrt/internal_securecrt.h (100%) rename src/coreclr/{src => }/pal/src/safecrt/makepath_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/mbusafecrt.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/mbusafecrt_internal.h (100%) rename src/coreclr/{src => }/pal/src/safecrt/memcpy_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/memmove_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/output.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/safecrt_input_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/safecrt_output_l.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/safecrt_output_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/safecrt_winput_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/safecrt_woutput_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/splitpath_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/sprintf_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/sscanf_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/strcat_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/strcpy_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/strlen_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/strncat_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/strncpy_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/strtok_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/swprintf.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/tcscat_s.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/tcscpy_s.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/tcsncat_s.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/tcsncpy_s.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/tcstok_s.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/tmakepath_s.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/tsplitpath_s.inl (100%) rename src/coreclr/{src => }/pal/src/safecrt/vsprintf.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/vswprint.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wcscat_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wcscpy_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wcslen_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wcslwr_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wcsncat_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wcsncpy_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wcstok_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wmakepath_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/wsplitpath_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/xtoa_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/xtow_s.cpp (100%) rename src/coreclr/{src => }/pal/src/safecrt/xtox_s.inl (100%) rename src/coreclr/{src => }/pal/src/sharedmemory/sharedmemory.cpp (100%) rename src/coreclr/{src => }/pal/src/shmemory/shmemory.cpp (100%) rename src/coreclr/{src => }/pal/src/sync/cs.cpp (100%) rename src/coreclr/{src => }/pal/src/synchmgr/synchcontrollers.cpp (100%) rename src/coreclr/{src => }/pal/src/synchmgr/synchmanager.cpp (100%) rename src/coreclr/{src => }/pal/src/synchmgr/synchmanager.hpp (100%) rename src/coreclr/{src => }/pal/src/synchmgr/wait.cpp (100%) rename src/coreclr/{src => }/pal/src/synchobj/event.cpp (100%) rename src/coreclr/{src => }/pal/src/synchobj/mutex.cpp (100%) rename src/coreclr/{src => }/pal/src/synchobj/semaphore.cpp (100%) rename src/coreclr/{src => }/pal/src/thread/context.cpp (100%) rename src/coreclr/{src => }/pal/src/thread/process.cpp (100%) rename src/coreclr/{src => }/pal/src/thread/procprivate.hpp (100%) rename src/coreclr/{src => }/pal/src/thread/thread.cpp (100%) rename src/coreclr/{src => }/pal/src/thread/threadsusp.cpp (100%) rename src/coreclr/{src => }/pal/tests/CMakeLists.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/CMakeLists.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/DisabledTests.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/README.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/__iscsym/test1/__iscsym.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_alloca/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_fdopen/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_finite/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_finitef/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_gcvt/test1/_gcvt.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_gcvt/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_isnan/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_isnanf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_itow/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_putenv/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_putenv/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_putenv/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_putenv/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_rotl/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_rotr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/_snprintf_s.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snprintf_s/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/_snwprintf_s.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_snwprintf_s/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_stricmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_strnicmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/_vsnprintf_s.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnprintf_s/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/_vsnwprintf_s.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wcsicmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wcslwr_s/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wcsnicmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wfopen/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wfopen/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wfopen/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wfopen/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wfopen/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wfopen/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wfopen/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/_wtoi/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/abs/test1/abs.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/acos/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/acosf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/acosh/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/acoshf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/asin/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/asinf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/asinh/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/asinhf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/atan/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/atan2/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/atan2f/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/atanf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/atanh/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/atanhf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/atof/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/atoi/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/bsearch/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/bsearch/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/cbrt/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/cbrtf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ceil/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ceilf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/cos/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/cosf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/cosh/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/coshf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/errno/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/errno/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/exit/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/exit/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/exp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/expf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fabs/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fabsf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fclose/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fclose/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ferror/test1/test1.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/c_runtime/fread/test3 => pal/tests/palsuite/c_runtime/ferror/test1}/testfile (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ferror/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ferror/test2/testfile (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fflush/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fgets/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fgets/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fgets/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/floor/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/floorf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fma/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fmaf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fmod/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fmodf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fopen/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fopen/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fopen/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fopen/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fopen/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fopen/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fopen/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/fprintf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fprintf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fputs/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fputs/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fread/test1/test1.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/c_runtime/fread/test2 => pal/tests/palsuite/c_runtime/fread/test1}/testfile (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fread/test2/test2.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/c_runtime/fread/test1 => pal/tests/palsuite/c_runtime/fread/test2}/testfile (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fread/test3/test3.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/c_runtime/ferror/test1 => pal/tests/palsuite/c_runtime/fread/test3}/testfile (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/free/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fseek/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ftell/test1/ftell.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ftell/test1/testfile.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/fwprintf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwprintf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/fwrite/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/getenv/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/getenv/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/getenv/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ilogb/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/ilogbf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/isalnum/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/isalpha/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/isdigit/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/islower/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/isprint/test1/isprint.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/isprint/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/isspace/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/isupper/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/iswdigit/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/iswprint/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/iswspace/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/iswupper/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/isxdigit/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/llabs/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/log/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/log10/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/log10f/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/log2/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/log2f/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/logf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/malloc/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/malloc/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/memchr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/memcmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/memcpy/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/memmove/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/memset/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/modf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/modff/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/pow/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/powf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/printf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/printf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/qsort/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/qsort/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/rand_srand/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/realloc/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/scalbn/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/scalbnf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sin/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sinf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sinh/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sinhf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/sprintf_s.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sprintf_s/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sqrt/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sqrtf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/sscanf_s.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/sscanf_s/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strcat/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strchr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strcmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strcpy/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strcspn/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strlen/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strncat/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strncmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strncpy/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strpbrk/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strrchr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strspn/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strstr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strtod/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strtod/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strtok/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/strtoul/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/swprintf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swprintf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/swscanf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/swscanf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/tan/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/tanf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/tanh/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/tanhf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/time/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/tolower/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/toupper/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/towlower/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/towupper/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vfprintf/vfprintf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vprintf/vprintf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vsprintf/vsprintf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test13/test13.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test14/test14.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test15/test15.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test16/test16.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test17/test17.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test18/test18.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test19/test19.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/vswprintf/vswprintf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcscat/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcschr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcscmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcscpy/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcslen/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcsncmp/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcsncpy/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcspbrk/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcsrchr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcsstr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstod/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstod/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstok/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstoul/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstoul/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstoul/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstoul/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstoul/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wcstoul/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wprintf/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wprintf/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/c_runtime/wprintf/wprintf.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/common/palsuite.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/common/palsuite.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/compilableTests.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/compileDisabledTests.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/event/nonshared/event.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/event/nonshared/main.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/event/shared/event.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/event/shared/main.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/mutex/nonshared/main.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/mutex/nonshared/mutex.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/mutex/shared/main.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/mutex/shared/mutex.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/readme.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/semaphore/nonshared/main.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/semaphore/nonshared/semaphore.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/semaphore/shared/main.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/object_management/semaphore/shared/semaphore.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/criticalsection/criticalsection.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/criticalsection/mainWrapper.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/criticalsection/readme.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecriticalsection/pal_composite_native_cs.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecriticalsection/readme.txt (100%) rename src/coreclr/{src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked => pal/tests/palsuite/composite/synchronization/nativecriticalsection}/resultbuffer.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked => pal/tests/palsuite/composite/synchronization/nativecriticalsection}/resultbuffer.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/hpitinterlock.s (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/interlocked.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/makefile (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/notes.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/pal_composite_native_cs.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/readme.txt (100%) rename src/coreclr/{src/pal/tests/palsuite/composite/synchronization/nativecriticalsection => pal/tests/palsuite/composite/synchronization/nativecs_interlocked}/resultbuffer.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/composite/synchronization/nativecriticalsection => pal/tests/palsuite/composite/synchronization/nativecs_interlocked}/resultbuffer.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/sparcinterloc.s (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/threading/threadsuspension/mainWrapper.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/composite/threading/threadsuspension_switchthread => pal/tests/palsuite/composite/threading/threadsuspension}/readme.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/threading/threadsuspension/threadsuspension.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/mainWrapper.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/composite/threading/threadsuspension => pal/tests/palsuite/composite/threading/threadsuspension_switchthread}/readme.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/threadsuspension.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/wfmo/main.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/wfmo/mutex.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/composite/wfmo/readme.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/DebugBreak/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/helper.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/OutputDebugStringW/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/commonconsts.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/helper.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/commonconsts.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/helper.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/helper.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/eventprovider/CMakeLists.txt (94%) rename src/coreclr/{src => }/pal/tests/palsuite/eventprovider/EnableEventLogging.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test1/PAL_EXCEPT_FILTER.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test2/pal_except_filter.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test3/pal_except_filter.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test1/PAL_EXCEPT_FILTER_EX.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test2/pal_except_filter_ex.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test3/pal_except_filter.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test1/PAL_TRY_EXCEPT.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test2/PAL_TRY_EXCEPT.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test1/PAL_TRY_EXCEPT_EX.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test2/PAL_TRY_EXCEPT_EX.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test3/PAL_TRY_EXCEPT_EX.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/PAL_TRY_LEAVE_FINALLY/test1/PAL_TRY_LEAVE_FINALLY.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/RaiseException/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/RaiseException/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/RaiseException/test3/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_except/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_except/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_except/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_except/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_except/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_except/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_except/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_finally/test1/pal_finally.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_sxs/test1/CMakeLists.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.src (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.src (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_sxs/test1/exceptionsxs.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/exception_handling/pal_sxs/test1/testinfo.dat (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CopyFileA/test1/CopyFileA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CopyFileA/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CopyFileA/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CopyFileA/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CopyFileW/test1/CopyFileW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CopyFileW/test1/ExpectedResults.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CopyFileW/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CopyFileW/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CreateFileA/test1/CreateFileA.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/CreateFileW => pal/tests/palsuite/file_io/CreateFileA}/test1/winoutput (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/CreateFileW/test1/CreateFileW.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/CreateFileA => pal/tests/palsuite/file_io/CreateFileW}/test1/winoutput (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/DeleteFileA/test1/DeleteFileA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/DeleteFileW/test1/DeleteFileW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FILECanonicalizePath/FILECanonicalizePath.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FindClose/test1/FindClose.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FindFirstFileA/test1/FindFirstFileA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FindFirstFileW/test1/FindFirstFileW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FindNextFileA/test1/FindNextFileA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FindNextFileA/test2/findnextfilea.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FindNextFileW/test1/FindNextFileW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FindNextFileW/test2/findnextfilew.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/FlushFileBuffers/test1/FlushFileBuffers.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetConsoleOutputCP/test1/GetConsoleOutputCP.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetCurrentDirectoryA/test1/GetCurrentDirectoryA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetCurrentDirectoryW/test1/GetCurrentDirectoryW.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_test_directory => pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW => pal/tests/palsuite/file_io/GetFileAttributesA}/test1/.hidden_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_directory => pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW => pal/tests/palsuite/file_io/GetFileAttributesA}/test1/.hidden_ro_file (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileAttributesA/test1/GetFileAttributesA.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_directory => pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW => pal/tests/palsuite/file_io/GetFileAttributesA}/test1/no_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_directory => pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW => pal/tests/palsuite/file_io/GetFileAttributesA}/test1/normal_test_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_directory => pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW => pal/tests/palsuite/file_io/GetFileAttributesA}/test1/ro_test_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_directory => pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesW => pal/tests/palsuite/file_io/GetFileAttributesA}/test1/rw_file (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_directory/anchor.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_directory => pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_directory}/keepme (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_directory => pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_directory}/keepme (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_file (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileAttributesExW/test2/test2.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_directory => pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA => pal/tests/palsuite/file_io/GetFileAttributesW}/test1/.hidden_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_directory => pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA => pal/tests/palsuite/file_io/GetFileAttributesW}/test1/.hidden_ro_file (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileAttributesW/test1/GetFileAttributesW.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_directory => pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA => pal/tests/palsuite/file_io/GetFileAttributesW}/test1/no_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_directory => pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA => pal/tests/palsuite/file_io/GetFileAttributesW}/test1/normal_test_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_directory => pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_directory}/keepme (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA => pal/tests/palsuite/file_io/GetFileAttributesW}/test1/ro_test_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA => pal/tests/palsuite/file_io/GetFileAttributesW}/test1/rw_file (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_directory => pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_test_directory}/keepme (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileSize/test1/GetFileSize.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFileSizeEx/test1/GetFileSizeEx.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFullPathNameA/test1/GetFullPathNameA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFullPathNameA/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFullPathNameA/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFullPathNameA/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFullPathNameW/test1/GetFullPathNameW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFullPathNameW/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFullPathNameW/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetFullPathNameW/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetStdHandle/test1/GetStdHandle.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetStdHandle/test2/GetStdHandle.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetSystemTime/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetSystemTimeAsFileTime/test1/GetSystemTimeAsFileTime.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetTempFileNameA/test1/GetTempFileNameA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetTempFileNameA/test2/GetTempFileNameA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetTempFileNameA/test3/gettempfilenamea.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetTempFileNameW/test1/GetTempFileNameW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetTempFileNameW/test2/GetTempFileNameW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetTempFileNameW/test3/gettempfilenamew.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/GetTempPathW/test1/GetTempPathW.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/MoveFileExW => pal/tests/palsuite/file_io/MoveFileExA}/test1/ExpectedResults.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/MoveFileExA/test1/MoveFileExA.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/file_io/MoveFileExA => pal/tests/palsuite/file_io/MoveFileExW}/test1/ExpectedResults.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/MoveFileExW/test1/MoveFileExW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/ReadFile/test1/NonReadableFile.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/ReadFile/test1/ReadFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/ReadFile/test2/ReadFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/ReadFile/test3/ReadFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/ReadFile/test4/readfile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SearchPathW/test1/SearchPathW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetEndOfFile/test1/SetEndOfFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetEndOfFile/test2/SetEndOfFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetEndOfFile/test3/SetEndOfFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetEndOfFile/test4/setendoffile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetEndOfFile/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetFilePointer/test1/SetFilePointer.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetFilePointer/test2/SetFilePointer.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetFilePointer/test3/SetFilePointer.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetFilePointer/test4/SetFilePointer.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetFilePointer/test5/SetFilePointer.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetFilePointer/test6/SetFilePointer.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/SetFilePointer/test7/SetFilePointer.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/WriteFile/test1/WriteFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/WriteFile/test2/Results.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/WriteFile/test2/WriteFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/WriteFile/test3/WriteFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/WriteFile/test4/writefile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/WriteFile/test5/writefile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/errorpathnotfound/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/errorpathnotfound/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/file_io/gettemppatha/test1/gettemppatha.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/CreateFileMapping_neg1/CreateFileMapping_neg.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test1/CreateFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test2/CreateFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test3/CreateFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test4/CreateFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test5/CreateFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test6/CreateFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test7/createfilemapping.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test8/createfilemapping.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test9/createfilemapping.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/FreeLibrary.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/dlltest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/dlltest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test1/GetModuleFileNameA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test2/GetModuleFileNameA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test1/GetModuleFileNameW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test2/GetModuleFileNameW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/testlib.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/testlib.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/LocalAlloc/test1/LocalAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/LocalFree/test1/LocalFree.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/LocalFree/test2/LocalFree.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test1/MapViewOfFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test2/MapViewOfFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test3/MapViewOfFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test4/mapviewoffile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test5/mapviewoffile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test6/mapviewoffile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test1/OpenFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test2/OpenFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test3/OpenFileMappingW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/ProbeMemory_neg1/ProbeMemory_neg.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/test1/ProbeMemory.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test1/UnmapViewOfFile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test2/unmapviewoffile.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test1/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test10/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test11/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test12/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test13/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test14/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test15/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test16/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test17/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test18/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test19/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test2/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test20/virtualalloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test21/virtualalloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test22/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test3/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test4/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test5/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test6/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test7/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test8/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test9/VirtualAlloc.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test1/VirtualFree.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test2/VirtualFree.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test3/VirtualFree.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test1/VirtualProtect.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test2/VirtualProtect.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test3/VirtualProtect.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test4/VirtualProtect.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test6/VirtualProtect.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test7/VirtualProtect.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/filemapping_memmgt/VirtualQuery/test1/VirtualQuery.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/issues.targets (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryA/test1/LoadLibraryA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryA/test2/LoadLibraryA.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryA/test3/loadlibrarya.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryA/test5/loadlibrarya.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/loader/LoadLibraryA/test8 => pal/tests/palsuite/loader/LoadLibraryA/test6}/dlltest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryA/test6/loadlibrarya.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryA/test7/LoadLibraryA.cpp (100%) rename src/coreclr/{src/pal/tests/palsuite/loader/LoadLibraryA/test6 => pal/tests/palsuite/loader/LoadLibraryA/test8}/dlltest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryA/test8/loadlibrarya.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryW/test1/LoadLibraryW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryW/test2/loadlibraryw.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryW/test3/loadlibraryw.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/loader/LoadLibraryW/test5/loadlibraryw.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/CompareStringA/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/CompareStringW/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/GetACP/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/GetLocaleInfoW/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/GetLocaleInfoW/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/MultiByteToWideChar/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/MultiByteToWideChar/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/MultiByteToWideChar/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/MultiByteToWideChar/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/WideCharToMultiByte/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/WideCharToMultiByte/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/WideCharToMultiByte/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/WideCharToMultiByte/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/locale_info/WideCharToMultiByte/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/manual-inspect.dat (100%) rename src/coreclr/{src => }/pal/tests/palsuite/manual-unautomatable.dat (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/CGroup/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/CloseHandle/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/CloseHandle/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/CreatePipe/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FlushInstructionCache/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FormatMessageW/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FormatMessageW/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FormatMessageW/test3/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FormatMessageW/test4/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FormatMessageW/test5/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FormatMessageW/test6/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetCommandLineW/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentStringsW/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test3/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test4/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test3/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test4/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetLastError/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetSystemInfo/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GetTickCount/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/GlobalMemoryStatusEx/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterLockedExchangeAdd/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedBit/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedBit/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedCompareExchangePointer/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedExchange/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedExchange64/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedExchangePointer/test1/InterlockedExchangePointer.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/IsBadCodePtr/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/IsBadReadPtr/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/MessageBoxW/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/MessageBoxW/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/SetLastError/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/_i64tow/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/queryperformancecounter/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/miscellaneous/queryperformancefrequency/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_GetUserTempDirectoryW/test1/PAL_GetUserTempDirectoryW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test1/PAL_Initialize_Terminate.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test2/pal_initialize_twice.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test1/PAL_RegisterLibraryW_UnregisterLibraryW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test2_neg/reg_unreg_libraryw_neg.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_errno/test1/PAL_errno.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_get_stderr/test1/PAL_get_stderr.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_get_stdin/test1/PAL_get_stdin.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/pal_specific/PAL_get_stdout/test1/PAL_get_stdout.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/paltestlist.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/paltestlist_to_be_reviewed.txt (100%) rename src/coreclr/{src => }/pal/tests/palsuite/paltests.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/producepaltestlist.proj (100%) rename src/coreclr/{src => }/pal/tests/palsuite/runpaltests.sh (100%) rename src/coreclr/{src => }/pal/tests/palsuite/runpaltestshelix.sh (100%) rename src/coreclr/{src => }/pal/tests/palsuite/samples/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/samples/test2/test.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/smoketest.script (100%) rename src/coreclr/{src => }/pal/tests/palsuite/tests-manual.dat (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateEventW/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateEventW/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateEventW/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test1/CreateMutexW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test2/CreateMutexW.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateProcessW/test1/childProcess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateProcessW/test1/parentProcess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateProcessW/test2/childprocess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateProcessW/test2/parentprocess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateProcessW/test2/test2.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test1/CreateSemaphore.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test2/CreateSemaphore.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test3/createsemaphore.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateThread/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateThread/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CreateThread/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CriticalSectionFunctions/test1/InitializeCriticalSection.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CriticalSectionFunctions/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CriticalSectionFunctions/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CriticalSectionFunctions/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CriticalSectionFunctions/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CriticalSectionFunctions/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CriticalSectionFunctions/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/CriticalSectionFunctions/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testinfo.dat (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testlib.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/testinfo.dat (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test10/test10.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test11/childprocess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test11/myexitcode.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test11/test11.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test12/test12.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test8/test8.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/DuplicateHandle/test9/test9.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitProcess/test1/ExitProcess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitProcess/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitProcess/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitThread/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitThread/test2/childprocess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitThread/test2/myexitcode.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitThread/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitThread/test3/dllmain.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitThread/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ExitThread/test3/testinfo.dat (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetCurrentProcess/test1/process.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetCurrentProcessId/test1/processId.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetCurrentThread/test1/thread.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetCurrentThread/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetCurrentThreadId/test1/threadId.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetExitCodeProcess/test1/childProcess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetExitCodeProcess/test1/myexitcode.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetExitCodeProcess/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetProcessTimes/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/GetThreadTimes/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/NamedMutex/test1/namedmutex.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/NamedMutex/test1/nopal.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenEventW/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenEventW/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenEventW/test3/childprocess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenEventW/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenEventW/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenEventW/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenProcess/test1/childProcess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenProcess/test1/myexitcode.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/OpenProcess/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/QueryThreadCycleTime/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/QueueUserAPC/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/QueueUserAPC/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/QueueUserAPC/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/QueueUserAPC/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/QueueUserAPC/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/QueueUserAPC/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/QueueUserAPC/test7/test7.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ReleaseMutex/test3/ReleaseMutex.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ResetEvent/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ResetEvent/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ResetEvent/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ResetEvent/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ResumeThread/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SetErrorMode/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SetEvent/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SetEvent/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SetEvent/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SetEvent/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SignalObjectAndWait/SignalObjectAndWaitTest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/Sleep/test1/Sleep.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/Sleep/test2/sleep.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SleepEx/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SleepEx/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/SwitchToThread/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/TerminateProcess/test1/TerminateProcess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/ThreadPriority/test1/ThreadPriority.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjects/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test2/test2.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test3/test3.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test4/test4.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/commonconsts.h (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/helper.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/test5.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/child6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/test6.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExMutexTest/WFSOExMutexTest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExSemaphoreTest/WFSOExSemaphoreTest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExThreadTest/WFSOExThreadTest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/WFSOMutexTest/WFSOMutexTest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/ChildProcess.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/WFSOProcessTest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/WFSOSemaphoreTest/WFSOSemaphoreTest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/WFSOThreadTest/WFSOThreadTest.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/WaitForSingleObject/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/YieldProcessor/test1/test1.cpp (100%) rename src/coreclr/{src => }/pal/tests/palsuite/threading/releasesemaphore/test1/test.cpp (100%) rename src/coreclr/{src => }/pal/tools/gen-dactable-rva.sh (100%) rename src/coreclr/{src => }/pal/tools/preptests.sh (100%) rename src/coreclr/{src => }/pal/tools/setup-ubuntuvm.sh (100%) rename src/coreclr/{src => }/pal/tools/smarty.sh (100%) rename src/coreclr/{src => }/palrt/CMakeLists.txt (100%) rename src/coreclr/{src => }/palrt/bstr.cpp (100%) rename src/coreclr/{src => }/palrt/coguid.cpp (100%) rename src/coreclr/{src => }/palrt/comem.cpp (100%) rename src/coreclr/{src => }/palrt/common.h (100%) rename src/coreclr/{src => }/palrt/guid.cpp (100%) rename src/coreclr/{src => }/palrt/memorystream.cpp (100%) rename src/coreclr/{src => }/palrt/path.cpp (100%) rename src/coreclr/{src => }/palrt/shlwapip.h (100%) rename src/coreclr/{src => }/palrt/variant.cpp (100%) rename src/coreclr/{src => }/scripts/genDummyProvider.py (100%) rename src/coreclr/{src => }/scripts/genEtwProvider.py (100%) rename src/coreclr/{src => }/scripts/genEventPipe.py (100%) rename src/coreclr/{src => }/scripts/genEventing.py (100%) rename src/coreclr/{src => }/scripts/genEventingTests.py (100%) rename src/coreclr/{src => }/scripts/genLttngProvider.py (100%) rename src/coreclr/{src => }/scripts/genRuntimeEventSources.py (100%) rename src/coreclr/{src => }/scripts/pgocheck.py (100%) rename src/coreclr/{src => }/scripts/scripts.pyproj (100%) rename src/coreclr/{src => }/scripts/utilities.py (100%) delete mode 100644 src/coreclr/src/CMakeLists.txt delete mode 100644 src/coreclr/src/Directory.Build.props delete mode 100644 src/coreclr/src/Directory.Build.targets rename src/coreclr/{src => }/tools/CMakeLists.txt (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/Argument.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentCommand.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentCommand_1.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentLexer.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentList_1.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentParser.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentSyntax.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentSyntaxException.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentSyntax_Definers.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/ArgumentToken.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/Argument_1.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/CommandLineException.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/CommandLineHelpers.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/Enumerable.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/HelpTextGenerator.cs (100%) rename src/coreclr/{src => }/tools/Common/CommandLine/Resources/Strings.resx (100%) rename src/coreclr/{src => }/tools/Common/Compiler/CodeGenerationFailedException.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/CompilationBuilder.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/CompilationModuleGroup.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/CompilerTypeSystemContext.Validation.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/CompilerTypeSystemContext.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/CoreRTNameMangler.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/AssemblyStubNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/CompilerComparer.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/EmbeddedDataContainerNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/IMethodBodyNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/IMethodNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/INodeWithCodeInfo.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/INodeWithRuntimeDeterminedDependencies.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/ISortableNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/ISymbolNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/MethodReadOnlyDataNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/ObjectAndOffsetSymbolNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/ObjectDataBuilder.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/ObjectNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/ObjectNodeSection.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Relocation.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/ShadowConcreteMethodNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/SortableDependencyNode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_ARM/ARMEmitter.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_ARM/Register.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_ARM/TargetRegisterMap.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/ARM64Emitter.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/AddrMode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/Register.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/TargetRegisterMap.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_X64/AddrMode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_X64/Register.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_X64/TargetRegisterMap.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_X64/X64Emitter.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_X86/AddrMode.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_X86/Register.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_X86/TargetRegisterMap.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyAnalysis/Target_X86/X86Emitter.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DependencyTrackingLevel.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/DevirtualizationManager.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/HardwareIntrinsicHelpers.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/ICompilationRootProvider.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/InstructionSetSupport.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/InternalCompilerErrorException.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/Logger.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/NameMangler.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/SingleMethodRootProvider.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/TypeExtensions.cs (100%) rename src/coreclr/{src => }/tools/Common/Compiler/VectorFieldLayoutAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/NativeFormat/NativeFormat.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/NativeFormat/NativeFormatWriter.Primitives.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/NativeFormat/NativeFormatWriter.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/Runtime/CorConstants.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/Runtime/ModuleHeaders.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/Runtime/ReadyToRunConstants.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/Runtime/ReadyToRunInstructionSet.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/Runtime/ReadyToRunInstructionSetHelper.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/Text/Utf8String.cs (100%) rename src/coreclr/{src => }/tools/Common/Internal/Text/Utf8StringBuilder.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/CorInfoBase.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/CorInfoHelpFunc.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/CorInfoImpl.Intrinsics.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/CorInfoImpl.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/CorInfoInstructionSet.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/CorInfoTypes.VarInfo.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/CorInfoTypes.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/JitConfigProvider.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/MemoryHelper.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/SystemVStructClassificator.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/ThunkGenerator/InstructionSetDesc.txt (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/ThunkGenerator/InstructionSetGenerator.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/ThunkGenerator/Program.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/ThunkGenerator/ThunkGenerator.csproj (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/ThunkGenerator/ThunkInput.txt (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/ThunkGenerator/gen.bat (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/ThunkGenerator/gen.sh (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/TypeString.cs (100%) rename src/coreclr/{src => }/tools/Common/JitInterface/UnboxingMethodDesc.cs (100%) rename src/coreclr/{src => }/tools/Common/Sorting/ArrayAccessor.cs (100%) rename src/coreclr/{src => }/tools/Common/Sorting/ICompareAsEqualAction.cs (100%) rename src/coreclr/{src => }/tools/Common/Sorting/ISortableDataStructureAccessor.cs (100%) rename src/coreclr/{src => }/tools/Common/Sorting/ListAccessor.cs (100%) rename src/coreclr/{src => }/tools/Common/Sorting/MergeSort.cs (100%) rename src/coreclr/{src => }/tools/Common/Sorting/MergeSortCore.cs (100%) rename src/coreclr/{src => }/tools/Common/System/Collections/Generic/ArrayBuilder.cs (100%) rename src/coreclr/{src => }/tools/Common/System/FormattingHelpers.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/ArrayType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/ByRefType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/CanonTypes.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/CanonTypes.Interop.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/CanonTypes.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/CanonTypes.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/DefType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/FunctionPointerType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/GenericParameterDesc.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/InstantiatedMethod.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/InstantiatedType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/MetadataType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/MethodDelegator.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/MethodDesc.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/MethodForInstantiatedType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/ParameterizedType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/PointerType.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/SignatureVariable.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/StandardCanonicalizationAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/TypeDesc.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Canon/TypeSystemContext.Canon.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/CodeGen/FieldDesc.CodeGen.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/CodeGen/MethodDelegator.CodeGen.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/CodeGen/MethodDesc.CodeGen.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/CodeGen/TargetDetails.CodeGen.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/CodeGen/TypeDesc.CodeGen.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/AlignmentHelper.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ArrayMethod.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ArrayOfTRuntimeInterfacesAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ArrayType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/BaseTypeRuntimeInterfacesAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ByRefType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/CastingHelper.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ConstructedTypeRewritingHelpers.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/DefType.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/DefType.Dummy.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/DefType.FieldLayout.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/DefType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ExceptionStringID.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ExplicitLayoutValidator.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/FieldDesc.FieldLayout.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/FieldDesc.ToString.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/FieldDesc.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/FieldForInstantiatedType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/FieldLayoutAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/FunctionPointerType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/GenericParameterDesc.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/GenericParameterDesc.Dummy.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/GenericParameterDesc.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/IAssemblyDesc.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/IModuleResolver.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/InstantiatedMethod.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/InstantiatedMethod.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/InstantiatedType.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/InstantiatedType.Interfaces.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/InstantiatedType.MethodImpls.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/InstantiatedType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Instantiation.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/LayoutInt.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/LinqPoison.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/LocalVariableDefinition.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MetadataFieldLayoutAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MetadataRuntimeInterfacesAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MetadataType.Interfaces.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MetadataType.MethodImpls.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MetadataType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MetadataTypeSystemContext.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MetadataVirtualMethodAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MethodDelegator.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MethodDelegator.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MethodDesc.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MethodDesc.Dummy.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MethodDesc.ToString.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MethodDesc.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MethodForInstantiatedType.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/MethodForInstantiatedType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ModuleDesc.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ParameterizedType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/PointerType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Properties/Resources.resx (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/PropertySignature.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/RuntimeInterfacesAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/SignatureVariable.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TargetDetails.ToString.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TargetDetails.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ThreadSafeFlags.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ThrowHelper.Common.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/ThrowHelper.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeDesc.Interfaces.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeDesc.ToString.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeDesc.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeFlags.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeHashingAlgorithms.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeSystemConstraintsHelpers.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeSystemContext.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeSystemEntity.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeSystemException.Resources.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeSystemException.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/TypeSystemHelpers.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/UniversalCanonLayoutAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameFormatter.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameParser.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/DebugNameFormatter.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.Metadata.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.Algorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/LockFreeReaderHashtable.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/Utilities/TypeNameFormatter.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/VirtualMethodAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Common/WellKnownType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/CachingMetadataStringDecoder.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/CustomAttributeTypeProvider.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaAssembly.Symbols.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaAssembly.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaField.CodeGen.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaField.Serialization.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaField.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaField.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaMethod.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaMethod.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaMethod.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaModule.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaModule.Symbols.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaModule.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaSignatureParser.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaType.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaType.Interfaces.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaType.MethodImpls.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaType.Serialization.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/EcmaType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/IMetadataStringDecoderProvider.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/MetadataExtensions.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/PrimitiveTypeProvider.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/SymbolReader/PdbSymbolReader.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/SymbolReader/PortablePdbSymbolReader.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Ecma/SymbolReader/UnmanagedPdbSymbolReader.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/EcmaMethodIL.Symbols.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/EcmaMethodIL.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/HelperExtensions.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/ILDisassembler.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/ILImporter.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/ILOpcode.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/ILOpcodeHelper.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/ILProvider.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/ILStackHelper.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/InstantiatedMethodIL.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/MethodIL.Symbols.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/MethodIL.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/MethodILDebugView.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/StackValueKind.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/ComparerIntrinsics.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/ILEmitter.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/InterlockedIntrinsics.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/MemoryMarshalIntrinsics.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/PInvokeILCodeStreams.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Mangling.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/RuntimeHelpersIntrinsics.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/UnsafeIntrinsics.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/IL/Stubs/VolatileIntrinsics.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/FieldDesc.Interop.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/IL/MarshalHelpers.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/IL/MarshalUtils.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/IL/Marshaller.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/InstantiatedType.Interop.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/InteropTypes.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/MarshalAsDescriptor.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/MetadataType.Interop.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/MethodDelegator.Interop.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Interop/MethodDesc.Interop.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Mangling/IPrefixMangledMethod.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Mangling/IPrefixMangledSignature.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Mangling/IPrefixMangledType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/ArrayType.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/ByRefType.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/DefType.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/FieldDesc.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/FunctionPointerType.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/GenericParameterDesc.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/MethodDesc.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/ParameterizedType.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/PointerType.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedCanonicalizationAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedFieldLayoutAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Diagnostic.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedTypeUtilities.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/SignatureVariable.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/TypeDesc.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/RuntimeDetermined/TypeSystemContext.RuntimeDetermined.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Serialization/FieldDesc.Serialization.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Serialization/TypeDesc.Serialization.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/ArrayType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/ByRefType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/FieldDesc.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/FieldForInstantiatedType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/FunctionPointerType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/InstantiatedMethod.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/InstantiatedType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/MethodDesc.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/MethodForInstantiatedType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/MethodSignature.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/PointerType.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/SignatureVariable.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/TypeDesc.Sorting.cs (100%) rename src/coreclr/{src => }/tools/Common/TypeSystem/Sorting/TypeSystemComparer.cs (100%) rename src/coreclr/{src => }/tools/Directory.Build.props (100%) rename src/coreclr/{src => }/tools/Directory.Build.targets (100%) rename src/coreclr/{src => }/tools/GCLogParser/App.config (100%) rename src/coreclr/{src => }/tools/GCLogParser/Properties/AssemblyInfo.cs (100%) rename src/coreclr/{src => }/tools/GCLogParser/parse-hb-log.cs (100%) rename src/coreclr/{src => }/tools/GCLogParser/parse-hb-log.csproj (100%) rename src/coreclr/{src => }/tools/GCLogParser/parse-hb-log.sln (100%) rename src/coreclr/{src => }/tools/GenClrDebugResource/CMakeLists.txt (100%) rename src/coreclr/{src => }/tools/GenClrDebugResource/GenClrDebugResource.cpp (100%) rename src/coreclr/{src/tools/InjectResource => tools/GenClrDebugResource}/native.rc (100%) rename src/coreclr/{src => }/tools/ILVerification/AccessVerificationHelpers.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/ILImporter.StackValue.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/ILImporter.Verify.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/ILVerification.csproj (100%) rename src/coreclr/{src => }/tools/ILVerification/ILVerification.projitems (100%) rename src/coreclr/{src => }/tools/ILVerification/ILVerifyTypeSystemContext.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/IResolver.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/InstantiatedGenericParameter.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/README.md (100%) rename src/coreclr/{src => }/tools/ILVerification/SimpleArrayOfTRuntimeInterfacesAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/Strings.resx (100%) rename src/coreclr/{src => }/tools/ILVerification/TypeSystemHelpers.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/TypeVerifier.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/VerificationResult.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/Verifier.cs (100%) rename src/coreclr/{src => }/tools/ILVerification/VerifierError.cs (100%) rename src/coreclr/{src => }/tools/ILVerify/ILVerify.csproj (100%) rename src/coreclr/{src => }/tools/ILVerify/Program.cs (100%) rename src/coreclr/{src => }/tools/ILVerify/README.md (100%) rename src/coreclr/{src => }/tools/InjectResource/CMakeLists.txt (100%) rename src/coreclr/{src => }/tools/InjectResource/InjectResource.cpp (100%) rename src/coreclr/{src/tools/GenClrDebugResource => tools/InjectResource}/native.rc (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/ComputedStaticDependencyNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzer.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzerBase.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNodeCore.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/DgmlWriter.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/EventSourceLogStrategy.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/FirstMarkLogStrategy.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/FullGraphLogStrategy.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalysisMarkStrategy.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogEdgeVisitor.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogNodeVisitor.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/ILCompiler.DependencyAnalysisFramework.csproj (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/NoLogStrategy.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.DependencyAnalysisFramework/PerfEventSource.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/CodeGen/ReadyToRunObjectWriter.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/CompilationModuleGroup.ReadyToRun.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/CryptographicHashProvider.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/AllMethodsOnTypeNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedDataNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedPointersNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedObjectNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedPointerIndirectionNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ArgIterator.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AssemblyTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AttributePresenceFilterNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ByteArrayComparer.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CompilerIdentifierNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedCorHeaderNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedFieldRvaNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedManagedResourcesNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMetadataBlobNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMethodILNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedStrongNameSignatureNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryEntryNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugInfoTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperImport.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperMethodImport.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodCallThunkNodeRange.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodImport.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelegateCtorSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DevirtualizationManager.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ExceptionInfoLookupTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/FieldFixupSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapBuilder.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GenericLookupSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/HeaderNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Import.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionsTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportThunk.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InliningInfoNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InstanceEntryPointTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ManifestMetadataTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodEntryPointTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodFixupSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodGCInfoNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodWithGCInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleToken.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleTokenResolver.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewArrayFixupSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewObjectFixupSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NibbleWriter.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/OwnerCompositeExecutableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeHelperImport.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeMethodImport.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataSectionNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunHelperSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunInstructionSetSupportSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsGCInfoNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Signature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureBuilder.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureContext.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureEmbeddedPointerIndirectionNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImport.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImportSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM/ImportThunk.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM64/ImportThunk.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X64/ImportThunk.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X86/ImportThunk.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TransitionBlock.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypeFixupSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypesTableNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Win32ResourcesNode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunCodegenNodeFactory.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunSymbolNodeFactory.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/SortableDependencyNodeCompilerSpecific.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/TypeAndMethod.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/IRootingServiceProvider.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/MethodExtensions.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/NoMethodsCompilationModuleGroup.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/PerfEventSource.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ProfileData.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilation.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilationBuilder.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilationModuleGroupBase.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilerContext.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunFileLayoutOptimizer.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunHashCode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunLibraryRootProvider.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunMetadataFieldLayoutAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunSingleAssemblyCompilationModuleGroup.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunTableManager.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/RuntimeDeterminedTypeHelper.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/SingleMethodCompilationModuleGroup.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Compiler/SystemObjectFieldLayoutAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataModel.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataReader.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileData.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileParser.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/IBC/MIbcProfileParser.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/IBC/ReaderExtensions.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/IL/ReadyToRunILProvider.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/IL/Stubs/PInvokeILEmitter.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/ILCompiler.ReadyToRun.csproj (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Interop/IL/Marshaller.ReadyToRun.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/JitInterface/CorInfoImpl.ReadyToRun.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/JitInterface/UnboxingMethodDescFactory.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/MapFileBuilder.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/R2RPEBuilder.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/RelocationHelper.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/SectionBuilder.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/TargetExtensions.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Reader.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.ResourcesDataModel.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.UpdateResourceDataModel.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Win32Structs.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcSlotTable.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcTransition.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/Registers.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/UnwindInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/Registers.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/UnwindInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/Registers.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/UnwindInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfoTypes.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/DisassemblingTypeProvider.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/EHInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/GCInfoTypes.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/GCRefMap.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyMetadata.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyResolver.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/ILCompiler.Reflection.ReadyToRun.csproj (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection2.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeArray.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeHashtable.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeReader.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/NibbleReader.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/PEReaderExtensions.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunHeader.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunImportSection.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunMethod.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunReader.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSection.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSignature.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/StandaloneAssemblyMetadata.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/StringExtensions.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/TransitionBlock.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/CallPattern.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcSlotTable.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcTransition.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/InfoHdr.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/Registers.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/UnwindInfo.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ArchitectureSpecificFieldLayoutTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CanonicalizationTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CastingTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ConstraintsValidationTest.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Canonicalization.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Casting.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/CoreTestAssembly.csproj (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GCPointerMap.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericConstraints.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericTypes.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Hashcode.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InstanceFieldLayout.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InterfaceArrangements.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Platform.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/StaticFieldLayout.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/SyntheticVirtualOverride.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/TypeNameParsing.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/ValueTypeShapeCharacteristics.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/VirtualFunctionOverride.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ExceptionStringTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GCPointerMapTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GenericTypeAndMethodTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/HashcodeTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILCompiler.TypeSystem.ReadyToRun.Tests.csproj (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILDisassemblerTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILDisassembler.il (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILTestAssembly.ilproj (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/InstanceFieldLayout.il (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Main.il (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/MethodImplOverride1.il (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Signature.il (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/StaticFieldLayout.il (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/VirtualFunctionOverride.il (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InstanceFieldLayoutTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InterfacesTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/RuntimeDeterminedTypesTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SignatureTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/StaticFieldLayoutTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.DiagnosticName.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestMetadataFieldLayoutAlgorithm.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestTypeSystemContext.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TypeNameParsingTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/UniversalGenericFieldLayoutTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ValueTypeShapeCharacteristicsTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/VirtualFunctionOverrideTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/WellKnownTypeTests.cs (100%) rename src/coreclr/{src => }/tools/aot/ILCompiler.TypeSystem.ReadyToRun/ILCompiler.TypeSystem.ReadyToRun.csproj (100%) rename src/coreclr/{src => }/tools/aot/crossgen2.sln (100%) rename src/coreclr/{src => }/tools/aot/crossgen2/CommandLineOptions.cs (100%) rename src/coreclr/{src => }/tools/aot/crossgen2/Program.cs (100%) rename src/coreclr/{src => }/tools/aot/crossgen2/Properties/Resources.resx (100%) rename src/coreclr/{src => }/tools/aot/crossgen2/crossgen2.csproj (100%) rename src/coreclr/{src => }/tools/aot/jitinterface/CMakeLists.txt (100%) rename src/coreclr/{src => }/tools/aot/jitinterface/corinfoexception.cpp (100%) rename src/coreclr/{src => }/tools/aot/jitinterface/corinfoexception.h (100%) rename src/coreclr/{src => }/tools/aot/jitinterface/dllexport.h (100%) rename src/coreclr/{src => }/tools/aot/jitinterface/jithost.cpp (100%) rename src/coreclr/{src => }/tools/aot/jitinterface/jitinterface.cpp (100%) rename src/coreclr/{src => }/tools/aot/jitinterface/jitinterface.h (100%) rename src/coreclr/{src => }/tools/aot/jitinterface/jitwrapper.cpp (100%) rename src/coreclr/{src => }/tools/crossgen/CMakeLists.txt (100%) rename src/coreclr/{src => }/tools/crossgen/Native.rc (100%) rename src/coreclr/{src => }/tools/crossgen/compare.bat (100%) rename src/coreclr/{src => }/tools/crossgen/crossgen.cpp (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/Logger.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/Microsoft.Diagnostics.JitTrace/JitTraceRuntime.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/ModuleLoadLogger.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/PgoTraceProcess.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/Program.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/R2RSignatureTypeProvider.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/README.md (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/TraceRuntimeDescToTypeSystemDesc.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/TraceTypeSystemContext.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/TypeSystemMetadataEmitter.cs (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/dotnet-pgo-experiment.md (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/dotnet-pgo.csproj (100%) rename src/coreclr/{src => }/tools/dotnet-pgo/dotnet-pgo.sln (100%) rename src/coreclr/{src => }/tools/metainfo/Native.rc (100%) rename src/coreclr/{src => }/tools/metainfo/mdinfo.cpp (100%) rename src/coreclr/{src => }/tools/metainfo/mdinfo.h (100%) rename src/coreclr/{src => }/tools/metainfo/mdobj.cpp (100%) rename src/coreclr/{src => }/tools/r2rdump/CommandLineOptions.cs (100%) rename src/coreclr/{src => }/tools/r2rdump/CoreDisTools.cs (100%) rename src/coreclr/{src => }/tools/r2rdump/Extensions.cs (100%) rename src/coreclr/{src => }/tools/r2rdump/ISymNGenWriter.cs (100%) rename src/coreclr/{src => }/tools/r2rdump/PdbWriter.cs (100%) rename src/coreclr/{src => }/tools/r2rdump/R2RDiff.cs (100%) rename src/coreclr/{src => }/tools/r2rdump/R2RDump.cs (100%) rename src/coreclr/{src => }/tools/r2rdump/R2RDump.csproj (100%) rename src/coreclr/{src => }/tools/r2rdump/R2RDump.sln (100%) rename src/coreclr/{src => }/tools/r2rdump/R2RFormat.png (100%) rename src/coreclr/{src => }/tools/r2rdump/README.md (100%) rename src/coreclr/{src => }/tools/r2rdump/TextDumper.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Buckets.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/BuildFolder.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/BuildFolderSet.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/BuildOptions.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/CommandLineOptions.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Commands/CompileDirectoryCommand.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Commands/CompileFrameworkCommand.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Commands/CompileNugetCommand.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Commands/CompileSerpCommand.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Commands/CompileSubtreeCommand.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/CompilerRunner.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/ComputeManagedAssemblies.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Crossgen2Runner.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/CrossgenRunner.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/DotnetCli.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/JitRunner.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Linux.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/ParallelRunner.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/PathHelpers.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/PerfEventSourceListener.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/ProcessRunner.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/Program.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/R2RTest.csproj (100%) rename src/coreclr/{src => }/tools/r2rtest/ReadyToRunJittedMethods.cs (100%) rename src/coreclr/{src => }/tools/r2rtest/TestExclusion.cs (100%) rename src/coreclr/{src => }/tools/runincontext/runincontext.cs (100%) rename src/coreclr/{src => }/tools/runincontext/runincontext.csproj (100%) rename src/coreclr/{src => }/tools/util/consoleargs.cpp (100%) rename src/coreclr/{src => }/tools/util/consoleargs.h (100%) rename src/coreclr/{src => }/tools/util/list.h (100%) rename src/coreclr/{src => }/tools/util/tree.h (100%) rename src/coreclr/{src => }/unwinder/CMakeLists.txt (81%) rename src/coreclr/{src => }/unwinder/amd64/dbs_stack_x64.cpp (100%) rename src/coreclr/{src => }/unwinder/amd64/unwinder_amd64.cpp (100%) rename src/coreclr/{src => }/unwinder/amd64/unwinder_amd64.h (100%) rename src/coreclr/{src => }/unwinder/arm/unwinder_arm.cpp (100%) rename src/coreclr/{src => }/unwinder/arm/unwinder_arm.h (100%) rename src/coreclr/{src => }/unwinder/arm64/unwinder_arm64.cpp (100%) rename src/coreclr/{src => }/unwinder/arm64/unwinder_arm64.h (100%) rename src/coreclr/{src => }/unwinder/i386/unwinder_i386.cpp (100%) rename src/coreclr/{src => }/unwinder/i386/unwinder_i386.h (100%) rename src/coreclr/{src => }/unwinder/stdafx.cpp (100%) rename src/coreclr/{src => }/unwinder/stdafx.h (100%) rename src/coreclr/{src => }/unwinder/unwinder.cpp (100%) rename src/coreclr/{src => }/unwinder/unwinder.h (100%) rename src/coreclr/{src => }/utilcode/CMakeLists.txt (100%) rename src/coreclr/{src => }/utilcode/arraylist.cpp (100%) rename src/coreclr/{src => }/utilcode/bitvector.cpp (100%) rename src/coreclr/{src => }/utilcode/ccomprc.cpp (100%) rename src/coreclr/{src => }/utilcode/check.cpp (100%) rename src/coreclr/{src => }/utilcode/clrconfig.cpp (100%) rename src/coreclr/{src => }/utilcode/clrhelpers.cpp (100%) rename src/coreclr/{src => }/utilcode/clrhost.cpp (100%) rename src/coreclr/{src => }/utilcode/clrhost_nodependencies.cpp (100%) rename src/coreclr/{src => }/utilcode/collections.cpp (100%) rename src/coreclr/{src => }/utilcode/comex.cpp (100%) rename src/coreclr/{src => }/utilcode/configuration.cpp (100%) rename src/coreclr/{src => }/utilcode/corimage.cpp (100%) rename src/coreclr/{src => }/utilcode/cycletimer.cpp (100%) rename src/coreclr/{src => }/utilcode/dacutil.cpp (100%) rename src/coreclr/{src => }/utilcode/debug.cpp (100%) rename src/coreclr/{src => }/utilcode/dlwrap.cpp (100%) rename src/coreclr/{src => }/utilcode/ex.cpp (100%) rename src/coreclr/{src => }/utilcode/format1.cpp (100%) rename src/coreclr/{src => }/utilcode/fstream.cpp (100%) rename src/coreclr/{src => }/utilcode/fstring.cpp (100%) rename src/coreclr/{src => }/utilcode/guidfromname.cpp (100%) rename src/coreclr/{src => }/utilcode/hostimpl.cpp (100%) rename src/coreclr/{src => }/utilcode/iallocator.cpp (100%) rename src/coreclr/{src => }/utilcode/ilformatter.cpp (100%) rename src/coreclr/{src => }/utilcode/loaderheap.cpp (100%) rename src/coreclr/{src => }/utilcode/log.cpp (100%) rename src/coreclr/{src => }/utilcode/longfilepathwrappers.cpp (100%) rename src/coreclr/{src => }/utilcode/makepath.cpp (100%) rename src/coreclr/{src => }/utilcode/md5.cpp (100%) rename src/coreclr/{src => }/utilcode/memorypool.cpp (100%) rename src/coreclr/{src => }/utilcode/namespaceutil.cpp (100%) rename src/coreclr/{src => }/utilcode/opinfo.cpp (100%) rename src/coreclr/{src => }/utilcode/outstring.cpp (100%) rename src/coreclr/{src => }/utilcode/pedecoder.cpp (100%) rename src/coreclr/{src => }/utilcode/peinformation.cpp (100%) rename src/coreclr/{src => }/utilcode/posterror.cpp (100%) rename src/coreclr/{src => }/utilcode/prettyprintsig.cpp (100%) rename src/coreclr/{src => }/utilcode/regutil.cpp (100%) rename src/coreclr/{src => }/utilcode/safewrap.cpp (100%) rename src/coreclr/{src => }/utilcode/sbuffer.cpp (100%) rename src/coreclr/{src => }/utilcode/securityutil.cpp (100%) rename src/coreclr/{src => }/utilcode/securitywrapper.cpp (100%) rename src/coreclr/{src => }/utilcode/sha1.cpp (100%) rename src/coreclr/{src => }/utilcode/sigbuilder.cpp (100%) rename src/coreclr/{src => }/utilcode/sigparser.cpp (100%) rename src/coreclr/{src => }/utilcode/splitpath.cpp (100%) rename src/coreclr/{src => }/utilcode/sstring.cpp (100%) rename src/coreclr/{src => }/utilcode/sstring_com.cpp (100%) rename src/coreclr/{src => }/utilcode/stacktrace.cpp (100%) rename src/coreclr/{src => }/utilcode/stdafx.h (100%) rename src/coreclr/{src => }/utilcode/stgpool.cpp (100%) rename src/coreclr/{src => }/utilcode/stgpooli.cpp (100%) rename src/coreclr/{src => }/utilcode/stgpoolreadonly.cpp (100%) rename src/coreclr/{src => }/utilcode/stresslog.cpp (100%) rename src/coreclr/{src => }/utilcode/util.cpp (100%) rename src/coreclr/{src => }/utilcode/util_nodependencies.cpp (100%) rename src/coreclr/{src => }/utilcode/utilmessagebox.cpp (100%) rename src/coreclr/{src => }/utilcode/utsem.cpp (100%) rename src/coreclr/{src => }/utilcode/winfix.cpp (100%) rename src/coreclr/{src => }/utilcode/yieldprocessornormalized.cpp (100%) rename src/coreclr/{src => }/vm/.vscode/c_cpp_properties.json (100%) rename src/coreclr/{src => }/vm/CMakeLists.txt (100%) rename src/coreclr/{src => }/vm/ClrEtwAll.man (100%) rename src/coreclr/{src => }/vm/ClrEtwAllMeta.lst (100%) rename src/coreclr/{src => }/vm/amd64/AsmHelpers.asm (100%) rename src/coreclr/{src => }/vm/amd64/AsmMacros.inc (100%) rename src/coreclr/{src => }/vm/amd64/CallDescrWorkerAMD64.asm (100%) rename src/coreclr/{src => }/vm/amd64/ComCallPreStub.asm (100%) rename src/coreclr/{src => }/vm/amd64/CrtHelpers.asm (100%) rename src/coreclr/{src => }/vm/amd64/ExternalMethodFixupThunk.asm (100%) rename src/coreclr/{src => }/vm/amd64/GenericComCallStubs.asm (100%) rename src/coreclr/{src => }/vm/amd64/GenericComPlusCallStubs.asm (100%) rename src/coreclr/{src => }/vm/amd64/JitHelpers_Fast.asm (100%) rename src/coreclr/{src => }/vm/amd64/JitHelpers_FastWriteBarriers.asm (100%) rename src/coreclr/{src => }/vm/amd64/JitHelpers_InlineGetThread.asm (100%) rename src/coreclr/{src => }/vm/amd64/JitHelpers_SingleAppDomain.asm (100%) rename src/coreclr/{src => }/vm/amd64/JitHelpers_Slow.asm (100%) rename src/coreclr/{src => }/vm/amd64/PInvokeStubs.asm (100%) rename src/coreclr/{src => }/vm/amd64/RedirectedHandledJITCase.asm (100%) rename src/coreclr/{src => }/vm/amd64/ThePreStubAMD64.asm (100%) rename src/coreclr/{src => }/vm/amd64/UMThunkStub.asm (100%) rename src/coreclr/{src => }/vm/amd64/VirtualCallStubAMD64.asm (100%) rename src/coreclr/{src => }/vm/amd64/asmconstants.h (100%) rename src/coreclr/{src => }/vm/amd64/asmhelpers.S (100%) rename src/coreclr/{src => }/vm/amd64/calldescrworkeramd64.S (100%) rename src/coreclr/{src => }/vm/amd64/cgenamd64.cpp (100%) rename src/coreclr/{src => }/vm/amd64/cgencpu.h (100%) rename src/coreclr/{src => }/vm/amd64/crthelpers.S (100%) rename src/coreclr/{src => }/vm/amd64/excepamd64.cpp (100%) rename src/coreclr/{src => }/vm/amd64/excepcpu.h (100%) rename src/coreclr/{src => }/vm/amd64/externalmethodfixupthunk.S (100%) rename src/coreclr/{src => }/vm/amd64/getstate.S (100%) rename src/coreclr/{src => }/vm/amd64/getstate.asm (100%) rename src/coreclr/{src => }/vm/amd64/gmsamd64.cpp (100%) rename src/coreclr/{src => }/vm/amd64/gmscpu.h (100%) rename src/coreclr/{src => }/vm/amd64/jithelpers_fast.S (100%) rename src/coreclr/{src => }/vm/amd64/jithelpers_fastwritebarriers.S (100%) rename src/coreclr/{src => }/vm/amd64/jithelpers_singleappdomain.S (100%) rename src/coreclr/{src => }/vm/amd64/jithelpers_slow.S (100%) rename src/coreclr/{src => }/vm/amd64/jitinterfaceamd64.cpp (100%) rename src/coreclr/{src => }/vm/amd64/pinvokestubs.S (100%) rename src/coreclr/{src => }/vm/amd64/profiler.cpp (100%) rename src/coreclr/{src => }/vm/amd64/stublinkeramd64.cpp (100%) rename src/coreclr/{src => }/vm/amd64/stublinkeramd64.h (100%) rename src/coreclr/{src => }/vm/amd64/theprestubamd64.S (100%) rename src/coreclr/{src => }/vm/amd64/umthunkstub.S (100%) rename src/coreclr/{src => }/vm/amd64/unixasmhelpers.S (100%) rename src/coreclr/{src => }/vm/amd64/unixstubs.cpp (100%) rename src/coreclr/{src => }/vm/amd64/virtualcallstubamd64.S (100%) rename src/coreclr/{src => }/vm/amd64/virtualcallstubcpu.hpp (100%) rename src/coreclr/{src => }/vm/amsi.cpp (100%) rename src/coreclr/{src => }/vm/amsi.h (100%) rename src/coreclr/{src => }/vm/appdomain.cpp (100%) rename src/coreclr/{src => }/vm/appdomain.hpp (100%) rename src/coreclr/{src => }/vm/appdomain.inl (100%) rename src/coreclr/{src => }/vm/appdomainnative.cpp (100%) rename src/coreclr/{src => }/vm/appdomainnative.hpp (100%) rename src/coreclr/{src => }/vm/argdestination.h (100%) rename src/coreclr/{src => }/vm/argslot.h (100%) rename src/coreclr/{src => }/vm/arm/CrtHelpers.asm (100%) rename src/coreclr/{src => }/vm/arm/PInvokeStubs.asm (100%) rename src/coreclr/{src => }/vm/arm/armsinglestepper.cpp (100%) rename src/coreclr/{src => }/vm/arm/asmconstants.h (100%) rename src/coreclr/{src => }/vm/arm/asmhelpers.S (100%) rename src/coreclr/{src => }/vm/arm/asmhelpers.asm (100%) rename src/coreclr/{src => }/vm/arm/asmmacros.h (100%) rename src/coreclr/{src => }/vm/arm/cgencpu.h (100%) rename src/coreclr/{src => }/vm/arm/crthelpers.S (100%) rename src/coreclr/{src => }/vm/arm/ehhelpers.S (100%) rename src/coreclr/{src => }/vm/arm/ehhelpers.asm (100%) rename src/coreclr/{src => }/vm/arm/exceparm.cpp (100%) rename src/coreclr/{src => }/vm/arm/excepcpu.h (100%) rename src/coreclr/{src => }/vm/arm/gmscpu.h (100%) rename src/coreclr/{src => }/vm/arm/patchedcode.S (100%) rename src/coreclr/{src => }/vm/arm/patchedcode.asm (100%) rename src/coreclr/{src => }/vm/arm/pinvokestubs.S (100%) rename src/coreclr/{src => }/vm/arm/profiler.cpp (100%) rename src/coreclr/{src => }/vm/arm/stubs.cpp (100%) rename src/coreclr/{src => }/vm/arm/unixstubs.cpp (100%) rename src/coreclr/{src => }/vm/arm/virtualcallstubcpu.hpp (100%) rename src/coreclr/{src => }/vm/arm64/CallDescrWorkerARM64.asm (100%) rename src/coreclr/{src => }/vm/arm64/PInvokeStubs.asm (100%) rename src/coreclr/{src => }/vm/arm64/arm64singlestepper.cpp (100%) rename src/coreclr/{src => }/vm/arm64/asmconstants.h (100%) rename src/coreclr/{src => }/vm/arm64/asmhelpers.S (100%) rename src/coreclr/{src => }/vm/arm64/asmhelpers.asm (100%) rename src/coreclr/{src => }/vm/arm64/asmmacros.h (100%) rename src/coreclr/{src => }/vm/arm64/calldescrworkerarm64.S (100%) rename src/coreclr/{src => }/vm/arm64/cgencpu.h (100%) rename src/coreclr/{src => }/vm/arm64/crthelpers.S (100%) rename src/coreclr/{src => }/vm/arm64/crthelpers.asm (100%) rename src/coreclr/{src => }/vm/arm64/excepcpu.h (100%) rename src/coreclr/{src => }/vm/arm64/gmscpu.h (100%) rename src/coreclr/{src => }/vm/arm64/pinvokestubs.S (100%) rename src/coreclr/{src => }/vm/arm64/profiler.cpp (100%) rename src/coreclr/{src => }/vm/arm64/stubs.cpp (100%) rename src/coreclr/{src => }/vm/arm64/unixstubs.cpp (100%) rename src/coreclr/{src => }/vm/arm64/virtualcallstubcpu.hpp (100%) rename src/coreclr/{src => }/vm/arm64singlestepper.h (100%) rename src/coreclr/{src => }/vm/armsinglestepper.h (100%) rename src/coreclr/{src => }/vm/array.cpp (100%) rename src/coreclr/{src => }/vm/array.h (100%) rename src/coreclr/{src => }/vm/assembly.cpp (100%) rename src/coreclr/{src => }/vm/assembly.hpp (100%) rename src/coreclr/{src => }/vm/assemblyloadcontext.cpp (100%) rename src/coreclr/{src => }/vm/assemblyloadcontext.h (100%) rename src/coreclr/{src => }/vm/assemblyname.cpp (100%) rename src/coreclr/{src => }/vm/assemblyname.hpp (100%) rename src/coreclr/{src => }/vm/assemblynative.cpp (100%) rename src/coreclr/{src => }/vm/assemblynative.hpp (100%) rename src/coreclr/{src => }/vm/assemblyspec.cpp (100%) rename src/coreclr/{src => }/vm/assemblyspec.hpp (100%) rename src/coreclr/{src => }/vm/assemblyspecbase.h (100%) rename src/coreclr/{src => }/vm/autotrace.cpp (100%) rename src/coreclr/{src => }/vm/autotrace.h (100%) rename src/coreclr/{src => }/vm/baseassemblyspec.cpp (100%) rename src/coreclr/{src => }/vm/baseassemblyspec.h (100%) rename src/coreclr/{src => }/vm/baseassemblyspec.inl (100%) rename src/coreclr/{src => }/vm/binder.cpp (100%) rename src/coreclr/{src => }/vm/binder.h (100%) rename src/coreclr/{src => }/vm/bundle.cpp (100%) rename src/coreclr/{src => }/vm/cachelinealloc.cpp (100%) rename src/coreclr/{src => }/vm/cachelinealloc.h (100%) rename src/coreclr/{src => }/vm/callcounting.cpp (100%) rename src/coreclr/{src => }/vm/callcounting.h (100%) rename src/coreclr/{src => }/vm/callhelpers.cpp (100%) rename src/coreclr/{src => }/vm/callhelpers.h (100%) rename src/coreclr/{src => }/vm/callingconvention.h (100%) rename src/coreclr/{src => }/vm/callsiteinspect.cpp (100%) rename src/coreclr/{src => }/vm/callsiteinspect.h (100%) rename src/coreclr/{src => }/vm/castcache.cpp (100%) rename src/coreclr/{src => }/vm/castcache.h (100%) rename src/coreclr/{src => }/vm/ceeload.cpp (100%) rename src/coreclr/{src => }/vm/ceeload.h (100%) rename src/coreclr/{src => }/vm/ceeload.inl (100%) rename src/coreclr/{src => }/vm/ceemain.cpp (100%) rename src/coreclr/{src => }/vm/ceemain.h (100%) rename src/coreclr/{src => }/vm/cgensys.h (100%) rename src/coreclr/{src => }/vm/class.cpp (100%) rename src/coreclr/{src => }/vm/class.h (100%) rename src/coreclr/{src => }/vm/class.inl (100%) rename src/coreclr/{src => }/vm/classcompat.cpp (100%) rename src/coreclr/{src => }/vm/classcompat.h (100%) rename src/coreclr/{src => }/vm/classhash.cpp (100%) rename src/coreclr/{src => }/vm/classhash.h (100%) rename src/coreclr/{src => }/vm/classhash.inl (100%) rename src/coreclr/{src => }/vm/classlayoutinfo.cpp (100%) rename src/coreclr/{src => }/vm/classloadlevel.h (100%) rename src/coreclr/{src => }/vm/classnames.h (100%) rename src/coreclr/{src => }/vm/clrconfignative.cpp (100%) rename src/coreclr/{src => }/vm/clrconfignative.h (100%) rename src/coreclr/{src => }/vm/clrex.cpp (100%) rename src/coreclr/{src => }/vm/clrex.h (100%) rename src/coreclr/{src => }/vm/clrex.inl (100%) rename src/coreclr/{src => }/vm/clrtocomcall.cpp (100%) rename src/coreclr/{src => }/vm/clrtocomcall.h (100%) rename src/coreclr/{src => }/vm/clrtracelogging.cpp (100%) rename src/coreclr/{src => }/vm/clrvarargs.cpp (100%) rename src/coreclr/{src => }/vm/clrvarargs.h (100%) rename src/coreclr/{src => }/vm/clsload.cpp (100%) rename src/coreclr/{src => }/vm/clsload.hpp (100%) rename src/coreclr/{src => }/vm/clsload.inl (100%) rename src/coreclr/{src => }/vm/codeman.cpp (100%) rename src/coreclr/{src => }/vm/codeman.h (100%) rename src/coreclr/{src => }/vm/codeman.inl (100%) rename src/coreclr/{src => }/vm/codepitchingmanager.cpp (100%) rename src/coreclr/{src => }/vm/codeversion.cpp (100%) rename src/coreclr/{src => }/vm/codeversion.h (100%) rename src/coreclr/{src => }/vm/comcache.cpp (100%) rename src/coreclr/{src => }/vm/comcache.h (100%) rename src/coreclr/{src => }/vm/comcallablewrapper.cpp (100%) rename src/coreclr/{src => }/vm/comcallablewrapper.h (100%) rename src/coreclr/{src => }/vm/comconnectionpoints.cpp (100%) rename src/coreclr/{src => }/vm/comconnectionpoints.h (100%) rename src/coreclr/{src => }/vm/comdatetime.cpp (100%) rename src/coreclr/{src => }/vm/comdatetime.h (100%) rename src/coreclr/{src => }/vm/comdelegate.cpp (100%) rename src/coreclr/{src => }/vm/comdelegate.h (100%) rename src/coreclr/{src => }/vm/comdependenthandle.cpp (100%) rename src/coreclr/{src => }/vm/comdependenthandle.h (100%) rename src/coreclr/{src => }/vm/comdynamic.cpp (100%) rename src/coreclr/{src => }/vm/comdynamic.h (100%) rename src/coreclr/{src => }/vm/cominterfacemarshaler.cpp (100%) rename src/coreclr/{src => }/vm/cominterfacemarshaler.h (100%) rename src/coreclr/{src => }/vm/commodule.cpp (100%) rename src/coreclr/{src => }/vm/commodule.h (100%) rename src/coreclr/{src => }/vm/common.h (100%) rename src/coreclr/{src => }/vm/commtmemberinfomap.cpp (100%) rename src/coreclr/{src => }/vm/commtmemberinfomap.h (100%) rename src/coreclr/{src => }/vm/compatibilityswitch.cpp (100%) rename src/coreclr/{src => }/vm/compatibilityswitch.h (100%) rename src/coreclr/{src => }/vm/compile.cpp (100%) rename src/coreclr/{src => }/vm/compile.h (100%) rename src/coreclr/{src => }/vm/comreflectioncache.hpp (100%) rename src/coreclr/{src => }/vm/comreflectioncache.inl (100%) rename src/coreclr/{src => }/vm/comsynchronizable.cpp (100%) rename src/coreclr/{src => }/vm/comsynchronizable.h (100%) rename src/coreclr/{src => }/vm/comthreadpool.cpp (100%) rename src/coreclr/{src => }/vm/comthreadpool.h (100%) rename src/coreclr/{src => }/vm/comtoclrcall.cpp (100%) rename src/coreclr/{src => }/vm/comtoclrcall.h (100%) rename src/coreclr/{src => }/vm/comutilnative.cpp (100%) rename src/coreclr/{src => }/vm/comutilnative.h (100%) rename src/coreclr/{src => }/vm/comwaithandle.cpp (100%) rename src/coreclr/{src => }/vm/comwaithandle.h (100%) rename src/coreclr/{src => }/vm/contractimpl.cpp (100%) rename src/coreclr/{src => }/vm/contractimpl.h (100%) rename src/coreclr/{src => }/vm/coreassemblyspec.cpp (100%) rename src/coreclr/{src => }/vm/corebindresult.cpp (100%) rename src/coreclr/{src => }/vm/coreclr/corebindresult.h (100%) rename src/coreclr/{src => }/vm/coreclr/corebindresult.inl (100%) rename src/coreclr/{src => }/vm/corelib.cpp (100%) rename src/coreclr/{src => }/vm/corelib.h (100%) rename src/coreclr/{src => }/vm/corhost.cpp (100%) rename src/coreclr/{src => }/vm/crossgen/CMakeLists.txt (99%) rename src/coreclr/{src => }/vm/crossgencompile.cpp (100%) rename src/coreclr/{src => }/vm/crossloaderallocatorhash.h (100%) rename src/coreclr/{src => }/vm/crossloaderallocatorhash.inl (100%) rename src/coreclr/{src => }/vm/crst.cpp (100%) rename src/coreclr/{src => }/vm/crst.h (100%) rename src/coreclr/{src => }/vm/ctxtcall.h (100%) rename src/coreclr/{src => }/vm/customattribute.cpp (100%) rename src/coreclr/{src => }/vm/customattribute.h (100%) rename src/coreclr/{src => }/vm/custommarshalerinfo.cpp (100%) rename src/coreclr/{src => }/vm/custommarshalerinfo.h (100%) rename src/coreclr/{src => }/vm/dataimage.cpp (100%) rename src/coreclr/{src => }/vm/dataimage.h (100%) rename src/coreclr/{src => }/vm/dataimagesection.h (100%) rename src/coreclr/{src => }/vm/dbginterface.h (100%) rename src/coreclr/{src => }/vm/debugdebugger.cpp (100%) rename src/coreclr/{src => }/vm/debugdebugger.h (100%) rename src/coreclr/{src => }/vm/debughelp.cpp (100%) rename src/coreclr/{src => }/vm/debuginfostore.cpp (100%) rename src/coreclr/{src => }/vm/debuginfostore.h (100%) rename src/coreclr/{src => }/vm/decodemd.cpp (100%) rename src/coreclr/{src => }/vm/decodemd.h (100%) rename src/coreclr/{src => }/vm/delegateinfo.h (100%) rename src/coreclr/{src => }/vm/diagnosticserver.cpp (100%) rename src/coreclr/{src => }/vm/diagnosticserver.h (100%) rename src/coreclr/{src => }/vm/diagnosticsprotocol.h (100%) rename src/coreclr/{src => }/vm/disassembler.cpp (100%) rename src/coreclr/{src => }/vm/disassembler.h (100%) rename src/coreclr/{src => }/vm/dispatchinfo.cpp (100%) rename src/coreclr/{src => }/vm/dispatchinfo.h (100%) rename src/coreclr/{src => }/vm/dispparammarshaler.cpp (100%) rename src/coreclr/{src => }/vm/dispparammarshaler.h (100%) rename src/coreclr/{src => }/vm/dllimport.cpp (100%) rename src/coreclr/{src => }/vm/dllimport.h (100%) rename src/coreclr/{src => }/vm/dllimportcallback.cpp (100%) rename src/coreclr/{src => }/vm/dllimportcallback.h (100%) rename src/coreclr/{src => }/vm/domainfile.cpp (100%) rename src/coreclr/{src => }/vm/domainfile.h (100%) rename src/coreclr/{src => }/vm/domainfile.inl (100%) rename src/coreclr/{src => }/vm/dumpdiagnosticprotocolhelper.cpp (100%) rename src/coreclr/{src => }/vm/dumpdiagnosticprotocolhelper.h (100%) rename src/coreclr/{src => }/vm/dwbucketmanager.hpp (100%) rename src/coreclr/{src => }/vm/dwreport.cpp (100%) rename src/coreclr/{src => }/vm/dwreport.h (100%) rename src/coreclr/{src => }/vm/dynamicinterfacecastable.cpp (100%) rename src/coreclr/{src => }/vm/dynamicinterfacecastable.h (100%) rename src/coreclr/{src => }/vm/dynamicmethod.cpp (100%) rename src/coreclr/{src => }/vm/dynamicmethod.h (100%) rename src/coreclr/{src => }/vm/ecall.cpp (100%) rename src/coreclr/{src => }/vm/ecall.h (100%) rename src/coreclr/{src => }/vm/ecalllist.h (100%) rename src/coreclr/{src => }/vm/eeconfig.cpp (100%) rename src/coreclr/{src => }/vm/eeconfig.h (100%) rename src/coreclr/{src => }/vm/eecontract.cpp (100%) rename src/coreclr/{src => }/vm/eecontract.h (100%) rename src/coreclr/{src => }/vm/eedbginterface.h (100%) rename src/coreclr/{src => }/vm/eedbginterfaceimpl.cpp (100%) rename src/coreclr/{src => }/vm/eedbginterfaceimpl.h (100%) rename src/coreclr/{src => }/vm/eedbginterfaceimpl.inl (100%) rename src/coreclr/{src => }/vm/eehash.cpp (100%) rename src/coreclr/{src => }/vm/eehash.h (100%) rename src/coreclr/{src => }/vm/eehash.inl (100%) rename src/coreclr/{src => }/vm/eemessagebox.cpp (100%) rename src/coreclr/{src => }/vm/eemessagebox.h (100%) rename src/coreclr/{src => }/vm/eepolicy.cpp (100%) rename src/coreclr/{src => }/vm/eepolicy.h (100%) rename src/coreclr/{src => }/vm/eeprofinterfaces.h (100%) rename src/coreclr/{src => }/vm/eeprofinterfaces.inl (100%) rename src/coreclr/{src => }/vm/eetoprofinterfaceimpl.cpp (100%) rename src/coreclr/{src => }/vm/eetoprofinterfaceimpl.h (100%) rename src/coreclr/{src => }/vm/eetoprofinterfaceimpl.inl (100%) rename src/coreclr/{src => }/vm/eetoprofinterfacewrapper.inl (100%) rename src/coreclr/{src => }/vm/eetwain.cpp (100%) rename src/coreclr/{src => }/vm/encee.cpp (100%) rename src/coreclr/{src => }/vm/encee.h (100%) rename src/coreclr/{src => }/vm/eventing/CMakeLists.txt (94%) rename src/coreclr/{src => }/vm/eventing/EtwProvider/CMakeLists.txt (90%) rename src/coreclr/{src => }/vm/eventing/eventpipe/CMakeLists.txt (95%) rename src/coreclr/{src => }/vm/eventpipe.cpp (100%) rename src/coreclr/{src => }/vm/eventpipe.h (100%) rename src/coreclr/{src => }/vm/eventpipeblock.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeblock.h (100%) rename src/coreclr/{src => }/vm/eventpipebuffer.cpp (100%) rename src/coreclr/{src => }/vm/eventpipebuffer.h (100%) rename src/coreclr/{src => }/vm/eventpipebuffermanager.cpp (100%) rename src/coreclr/{src => }/vm/eventpipebuffermanager.h (100%) rename src/coreclr/{src => }/vm/eventpipecommontypes.cpp (100%) rename src/coreclr/{src => }/vm/eventpipecommontypes.h (100%) rename src/coreclr/{src => }/vm/eventpipeconfiguration.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeconfiguration.h (100%) rename src/coreclr/{src => }/vm/eventpipeevent.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeevent.h (100%) rename src/coreclr/{src => }/vm/eventpipeeventinstance.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeeventinstance.h (100%) rename src/coreclr/{src => }/vm/eventpipeeventpayload.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeeventpayload.h (100%) rename src/coreclr/{src => }/vm/eventpipeeventsource.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeeventsource.h (100%) rename src/coreclr/{src => }/vm/eventpipefile.cpp (100%) rename src/coreclr/{src => }/vm/eventpipefile.h (100%) rename src/coreclr/{src => }/vm/eventpipeinternal.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeinternal.h (100%) rename src/coreclr/{src => }/vm/eventpipejsonfile.cpp (100%) rename src/coreclr/{src => }/vm/eventpipejsonfile.h (100%) rename src/coreclr/{src => }/vm/eventpipemetadatagenerator.cpp (100%) rename src/coreclr/{src => }/vm/eventpipemetadatagenerator.h (100%) rename src/coreclr/{src => }/vm/eventpipeprotocolhelper.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeprotocolhelper.h (100%) rename src/coreclr/{src => }/vm/eventpipeprovider.cpp (100%) rename src/coreclr/{src => }/vm/eventpipeprovider.h (100%) rename src/coreclr/{src => }/vm/eventpipesession.cpp (100%) rename src/coreclr/{src => }/vm/eventpipesession.h (100%) rename src/coreclr/{src => }/vm/eventpipesessionprovider.cpp (100%) rename src/coreclr/{src => }/vm/eventpipesessionprovider.h (100%) rename src/coreclr/{src => }/vm/eventpipethread.cpp (100%) rename src/coreclr/{src => }/vm/eventpipethread.h (100%) rename src/coreclr/{src => }/vm/eventreporter.cpp (100%) rename src/coreclr/{src => }/vm/eventreporter.h (100%) rename src/coreclr/{src => }/vm/eventstore.cpp (100%) rename src/coreclr/{src => }/vm/eventstore.hpp (100%) rename src/coreclr/{src => }/vm/eventtrace.cpp (100%) rename src/coreclr/{src => }/vm/eventtrace.inl (100%) rename src/coreclr/{src => }/vm/eventtracepriv.h (100%) rename src/coreclr/{src => }/vm/excep.cpp (100%) rename src/coreclr/{src => }/vm/excep.h (100%) rename src/coreclr/{src => }/vm/exceptionhandling.cpp (100%) rename src/coreclr/{src => }/vm/exceptionhandling.h (100%) rename src/coreclr/{src => }/vm/exceptmacros.h (100%) rename src/coreclr/{src => }/vm/exinfo.cpp (100%) rename src/coreclr/{src => }/vm/exinfo.h (100%) rename src/coreclr/{src => }/vm/exstate.cpp (100%) rename src/coreclr/{src => }/vm/exstate.h (100%) rename src/coreclr/{src => }/vm/exstatecommon.h (100%) rename src/coreclr/{src => }/vm/fastserializableobject.h (100%) rename src/coreclr/{src => }/vm/fastserializer.cpp (100%) rename src/coreclr/{src => }/vm/fastserializer.h (100%) rename src/coreclr/{src => }/vm/fcall.cpp (100%) rename src/coreclr/{src => }/vm/fcall.h (100%) rename src/coreclr/{src => }/vm/field.cpp (100%) rename src/coreclr/{src => }/vm/field.h (100%) rename src/coreclr/{src => }/vm/fieldmarshaler.cpp (100%) rename src/coreclr/{src => }/vm/fieldmarshaler.h (100%) rename src/coreclr/{src => }/vm/finalizerthread.cpp (100%) rename src/coreclr/{src => }/vm/finalizerthread.h (100%) rename src/coreclr/{src => }/vm/formattype.cpp (100%) rename src/coreclr/{src => }/vm/fptrstubs.cpp (100%) rename src/coreclr/{src => }/vm/fptrstubs.h (100%) rename src/coreclr/{src => }/vm/frames.cpp (100%) rename src/coreclr/{src => }/vm/frames.h (100%) rename src/coreclr/{src => }/vm/gccover.cpp (100%) rename src/coreclr/{src => }/vm/gccover.h (100%) rename src/coreclr/{src => }/vm/gcdecode.cpp (100%) rename src/coreclr/{src => }/vm/gcdesc.h (100%) rename src/coreclr/{src => }/vm/gcenv.ee.common.cpp (100%) rename src/coreclr/{src => }/vm/gcenv.ee.cpp (100%) rename src/coreclr/{src => }/vm/gcenv.ee.h (100%) rename src/coreclr/{src => }/vm/gcenv.ee.standalone.cpp (100%) rename src/coreclr/{src => }/vm/gcenv.ee.static.cpp (100%) rename src/coreclr/{src => }/vm/gcenv.h (100%) rename src/coreclr/{src => }/vm/gcenv.interlocked.h (100%) rename src/coreclr/{src => }/vm/gcenv.interlocked.inl (100%) rename src/coreclr/{src => }/vm/gcenv.os.cpp (100%) rename src/coreclr/{src => }/vm/gcenv.os.h (100%) rename src/coreclr/{src => }/vm/gcenv.unix.inl (100%) rename src/coreclr/{src => }/vm/gcenv.windows.inl (100%) rename src/coreclr/{src => }/vm/gchandleutilities.cpp (100%) rename src/coreclr/{src => }/vm/gchandleutilities.h (100%) rename src/coreclr/{src => }/vm/gcheaphashtable.h (100%) rename src/coreclr/{src => }/vm/gcheaphashtable.inl (100%) rename src/coreclr/{src => }/vm/gcheaputilities.cpp (100%) rename src/coreclr/{src => }/vm/gcheaputilities.h (100%) rename src/coreclr/{src => }/vm/gchelpers.cpp (100%) rename src/coreclr/{src => }/vm/gchelpers.h (100%) rename src/coreclr/{src => }/vm/gchelpers.inl (100%) rename src/coreclr/{src => }/vm/gcinfodecoder.cpp (100%) rename src/coreclr/{src => }/vm/gcinterface.h (100%) rename src/coreclr/{src => }/vm/gcstress.h (100%) rename src/coreclr/{src => }/vm/gctoclreventsink.cpp (100%) rename src/coreclr/{src => }/vm/gctoclreventsink.h (100%) rename src/coreclr/{src => }/vm/gdbjit.cpp (100%) rename src/coreclr/{src => }/vm/gdbjit.h (100%) rename src/coreclr/{src => }/vm/gdbjithelpers.h (100%) rename src/coreclr/{src => }/vm/genanalysis.cpp (100%) rename src/coreclr/{src => }/vm/genanalysis.h (100%) rename src/coreclr/{src => }/vm/genericdict.cpp (100%) rename src/coreclr/{src => }/vm/genericdict.h (100%) rename src/coreclr/{src => }/vm/generics.cpp (100%) rename src/coreclr/{src => }/vm/generics.h (100%) rename src/coreclr/{src => }/vm/genmeth.cpp (100%) rename src/coreclr/{src => }/vm/gms.h (100%) rename src/coreclr/{src => }/vm/h2inc.pl (100%) rename src/coreclr/{src => }/vm/h2inc.ps1 (100%) rename src/coreclr/{src => }/vm/hash.cpp (100%) rename src/coreclr/{src => }/vm/hash.h (100%) rename src/coreclr/{src => }/vm/hillclimbing.cpp (100%) rename src/coreclr/{src => }/vm/hillclimbing.h (100%) rename src/coreclr/{src => }/vm/hosting.cpp (100%) rename src/coreclr/{src => }/vm/i386/AsmMacros.inc (100%) rename src/coreclr/{src => }/vm/i386/PInvokeStubs.asm (100%) rename src/coreclr/{src => }/vm/i386/RedirectedHandledJITCase.asm (100%) rename src/coreclr/{src => }/vm/i386/asmconstants.h (100%) rename src/coreclr/{src => }/vm/i386/asmhelpers.S (100%) rename src/coreclr/{src => }/vm/i386/asmhelpers.asm (100%) rename src/coreclr/{src => }/vm/i386/cgencpu.h (100%) rename src/coreclr/{src => }/vm/i386/cgenx86.cpp (100%) rename src/coreclr/{src => }/vm/i386/ehhelpers.S (100%) rename src/coreclr/{src => }/vm/i386/excepcpu.h (100%) rename src/coreclr/{src => }/vm/i386/excepx86.cpp (100%) rename src/coreclr/{src => }/vm/i386/gmsasm.S (100%) rename src/coreclr/{src => }/vm/i386/gmsasm.asm (100%) rename src/coreclr/{src => }/vm/i386/gmscpu.h (100%) rename src/coreclr/{src => }/vm/i386/gmsx86.cpp (100%) rename src/coreclr/{src => }/vm/i386/jithelp.S (100%) rename src/coreclr/{src => }/vm/i386/jithelp.asm (100%) rename src/coreclr/{src => }/vm/i386/jitinterfacex86.cpp (100%) rename src/coreclr/{src => }/vm/i386/pinvokestubs.S (100%) rename src/coreclr/{src => }/vm/i386/profiler.cpp (100%) rename src/coreclr/{src => }/vm/i386/stublinkerx86.cpp (100%) rename src/coreclr/{src => }/vm/i386/stublinkerx86.h (100%) rename src/coreclr/{src => }/vm/i386/umthunkstub.S (100%) rename src/coreclr/{src => }/vm/i386/unixstubs.cpp (100%) rename src/coreclr/{src => }/vm/i386/virtualcallstubcpu.hpp (100%) rename src/coreclr/{src => }/vm/ibclogger.cpp (100%) rename src/coreclr/{src => }/vm/ibclogger.h (100%) rename src/coreclr/{src => }/vm/ildump.h (100%) rename src/coreclr/{src => }/vm/ilinstrumentation.cpp (100%) rename src/coreclr/{src => }/vm/ilinstrumentation.h (100%) rename src/coreclr/{src => }/vm/ilmarshalers.cpp (100%) rename src/coreclr/{src => }/vm/ilmarshalers.h (100%) rename src/coreclr/{src => }/vm/ilstubcache.cpp (100%) rename src/coreclr/{src => }/vm/ilstubcache.h (100%) rename src/coreclr/{src => }/vm/ilstubresolver.cpp (100%) rename src/coreclr/{src => }/vm/ilstubresolver.h (100%) rename src/coreclr/{src => }/vm/inlinetracking.cpp (100%) rename src/coreclr/{src => }/vm/inlinetracking.h (100%) rename src/coreclr/{src => }/vm/instmethhash.cpp (100%) rename src/coreclr/{src => }/vm/instmethhash.h (100%) rename src/coreclr/{src => }/vm/interopconverter.cpp (100%) rename src/coreclr/{src => }/vm/interopconverter.h (100%) rename src/coreclr/{src => }/vm/interoplibinterface.cpp (100%) rename src/coreclr/{src => }/vm/interoplibinterface.h (100%) rename src/coreclr/{src => }/vm/interoputil.cpp (100%) rename src/coreclr/{src => }/vm/interoputil.h (100%) rename src/coreclr/{src => }/vm/interoputil.inl (100%) rename src/coreclr/{src => }/vm/interpreter.cpp (100%) rename src/coreclr/{src => }/vm/interpreter.h (100%) rename src/coreclr/{src => }/vm/interpreter.hpp (100%) rename src/coreclr/{src => }/vm/invokeutil.cpp (100%) rename src/coreclr/{src => }/vm/invokeutil.h (100%) rename src/coreclr/{src => }/vm/ipcstreamfactory.cpp (100%) rename src/coreclr/{src => }/vm/ipcstreamfactory.h (100%) rename src/coreclr/{src => }/vm/iterator_util.h (100%) rename src/coreclr/{src => }/vm/jithelpers.cpp (100%) rename src/coreclr/{src => }/vm/jithost.cpp (100%) rename src/coreclr/{src => }/vm/jithost.h (100%) rename src/coreclr/{src => }/vm/jitinterface.cpp (100%) rename src/coreclr/{src => }/vm/jitinterface.h (100%) rename src/coreclr/{src => }/vm/jitinterfacegen.cpp (100%) rename src/coreclr/{src => }/vm/listlock.h (100%) rename src/coreclr/{src => }/vm/loaderallocator.cpp (100%) rename src/coreclr/{src => }/vm/loaderallocator.hpp (100%) rename src/coreclr/{src => }/vm/loaderallocator.inl (100%) rename src/coreclr/{src => }/vm/lockedrangelist.h (100%) rename src/coreclr/{src => }/vm/managedmdimport.cpp (100%) rename src/coreclr/{src => }/vm/managedmdimport.hpp (100%) rename src/coreclr/{src => }/vm/marshalnative.cpp (100%) rename src/coreclr/{src => }/vm/marshalnative.h (100%) rename src/coreclr/{src => }/vm/memberload.cpp (100%) rename src/coreclr/{src => }/vm/memberload.h (100%) rename src/coreclr/{src => }/vm/metasig.h (100%) rename src/coreclr/{src => }/vm/method.cpp (100%) rename src/coreclr/{src => }/vm/method.hpp (100%) rename src/coreclr/{src => }/vm/method.inl (100%) rename src/coreclr/{src => }/vm/methoddescbackpatchinfo.cpp (100%) rename src/coreclr/{src => }/vm/methoddescbackpatchinfo.h (100%) rename src/coreclr/{src => }/vm/methodimpl.cpp (100%) rename src/coreclr/{src => }/vm/methodimpl.h (100%) rename src/coreclr/{src => }/vm/methoditer.cpp (100%) rename src/coreclr/{src => }/vm/methoditer.h (100%) rename src/coreclr/{src => }/vm/methodtable.cpp (100%) rename src/coreclr/{src => }/vm/methodtable.h (100%) rename src/coreclr/{src => }/vm/methodtable.inl (100%) rename src/coreclr/{src => }/vm/methodtablebuilder.cpp (100%) rename src/coreclr/{src => }/vm/methodtablebuilder.h (100%) rename src/coreclr/{src => }/vm/methodtablebuilder.inl (100%) rename src/coreclr/{src => }/vm/mlinfo.cpp (100%) rename src/coreclr/{src => }/vm/mlinfo.h (100%) rename src/coreclr/{src => }/vm/mngstdinterfaces.cpp (100%) rename src/coreclr/{src => }/vm/mngstdinterfaces.h (100%) rename src/coreclr/{src => }/vm/mngstditflist.h (100%) rename src/coreclr/{src => }/vm/mtypes.h (100%) rename src/coreclr/{src => }/vm/multicorejit.cpp (100%) rename src/coreclr/{src => }/vm/multicorejit.h (100%) rename src/coreclr/{src => }/vm/multicorejitimpl.h (100%) rename src/coreclr/{src => }/vm/multicorejitplayer.cpp (100%) rename src/coreclr/{src => }/vm/namespace.h (100%) rename src/coreclr/{src => }/vm/nativeeventsource.cpp (100%) rename src/coreclr/{src => }/vm/nativeeventsource.h (100%) rename src/coreclr/{src => }/vm/nativeformatreader.h (100%) rename src/coreclr/{src => }/vm/nativeimage.cpp (100%) rename src/coreclr/{src => }/vm/nativeimage.h (100%) rename src/coreclr/{src => }/vm/nativelibrarynative.cpp (100%) rename src/coreclr/{src => }/vm/nativelibrarynative.h (100%) rename src/coreclr/{src => }/vm/nativeoverlapped.cpp (100%) rename src/coreclr/{src => }/vm/nativeoverlapped.h (100%) rename src/coreclr/{src => }/vm/ngenhash.h (100%) rename src/coreclr/{src => }/vm/ngenhash.inl (100%) rename src/coreclr/{src => }/vm/notifyexternals.cpp (100%) rename src/coreclr/{src => }/vm/notifyexternals.h (100%) rename src/coreclr/{src => }/vm/object.cpp (100%) rename src/coreclr/{src => }/vm/object.h (100%) rename src/coreclr/{src => }/vm/object.inl (100%) rename src/coreclr/{src => }/vm/objectlist.cpp (100%) rename src/coreclr/{src => }/vm/objectlist.h (100%) rename src/coreclr/{src => }/vm/olecontexthelpers.cpp (100%) rename src/coreclr/{src => }/vm/olecontexthelpers.h (100%) rename src/coreclr/{src => }/vm/oletls.h (100%) rename src/coreclr/{src => }/vm/olevariant.cpp (100%) rename src/coreclr/{src => }/vm/olevariant.h (100%) rename src/coreclr/{src => }/vm/onstackreplacement.cpp (100%) rename src/coreclr/{src => }/vm/onstackreplacement.h (100%) rename src/coreclr/{src => }/vm/packedfields.inl (100%) rename src/coreclr/{src => }/vm/pefile.cpp (100%) rename src/coreclr/{src => }/vm/pefile.h (100%) rename src/coreclr/{src => }/vm/pefile.inl (100%) rename src/coreclr/{src => }/vm/peimage.cpp (100%) rename src/coreclr/{src => }/vm/peimage.h (100%) rename src/coreclr/{src => }/vm/peimage.inl (100%) rename src/coreclr/{src => }/vm/peimagelayout.cpp (100%) rename src/coreclr/{src => }/vm/peimagelayout.h (100%) rename src/coreclr/{src => }/vm/peimagelayout.inl (100%) rename src/coreclr/{src => }/vm/pendingload.cpp (100%) rename src/coreclr/{src => }/vm/pendingload.h (100%) rename src/coreclr/{src => }/vm/perfinfo.cpp (100%) rename src/coreclr/{src => }/vm/perfinfo.h (100%) rename src/coreclr/{src => }/vm/perfmap.cpp (100%) rename src/coreclr/{src => }/vm/perfmap.h (100%) rename src/coreclr/{src => }/vm/pgo.cpp (100%) rename src/coreclr/{src => }/vm/pgo.h (100%) rename src/coreclr/{src => }/vm/pinvokeoverride.cpp (100%) rename src/coreclr/{src => }/vm/precode.cpp (100%) rename src/coreclr/{src => }/vm/precode.h (100%) rename src/coreclr/{src => }/vm/prestub.cpp (100%) rename src/coreclr/{src => }/vm/processdiagnosticsprotocolhelper.cpp (100%) rename src/coreclr/{src => }/vm/processdiagnosticsprotocolhelper.h (100%) rename src/coreclr/{src => }/vm/profdetach.cpp (100%) rename src/coreclr/{src => }/vm/profdetach.h (100%) rename src/coreclr/{src => }/vm/profilerdiagnosticprotocolhelper.cpp (100%) rename src/coreclr/{src => }/vm/profilerdiagnosticprotocolhelper.h (100%) rename src/coreclr/{src => }/vm/profilermetadataemitvalidator.cpp (100%) rename src/coreclr/{src => }/vm/profilermetadataemitvalidator.h (100%) rename src/coreclr/{src => }/vm/profilingenumerators.cpp (100%) rename src/coreclr/{src => }/vm/profilingenumerators.h (100%) rename src/coreclr/{src => }/vm/profilinghelper.cpp (100%) rename src/coreclr/{src => }/vm/profilinghelper.h (100%) rename src/coreclr/{src => }/vm/profilinghelper.inl (100%) rename src/coreclr/{src => }/vm/proftoeeinterfaceimpl.cpp (100%) rename src/coreclr/{src => }/vm/proftoeeinterfaceimpl.h (100%) rename src/coreclr/{src => }/vm/proftoeeinterfaceimpl.inl (100%) rename src/coreclr/{src => }/vm/qcall.cpp (100%) rename src/coreclr/{src => }/vm/qcall.h (100%) rename src/coreclr/{src => }/vm/rcwrefcache.cpp (100%) rename src/coreclr/{src => }/vm/rcwrefcache.h (100%) rename src/coreclr/{src => }/vm/readytoruninfo.cpp (100%) rename src/coreclr/{src => }/vm/readytoruninfo.h (100%) rename src/coreclr/{src => }/vm/reflectclasswriter.cpp (100%) rename src/coreclr/{src => }/vm/reflectclasswriter.h (100%) rename src/coreclr/{src => }/vm/reflectioninvocation.cpp (100%) rename src/coreclr/{src => }/vm/reflectioninvocation.h (100%) rename src/coreclr/{src => }/vm/rejit.cpp (100%) rename src/coreclr/{src => }/vm/rejit.h (100%) rename src/coreclr/{src => }/vm/rejit.inl (100%) rename src/coreclr/{src => }/vm/rexcep.h (100%) rename src/coreclr/{src => }/vm/rtlfunctions.cpp (100%) rename src/coreclr/{src => }/vm/rtlfunctions.h (100%) rename src/coreclr/{src => }/vm/runtimecallablewrapper.cpp (100%) rename src/coreclr/{src => }/vm/runtimecallablewrapper.h (100%) rename src/coreclr/{src => }/vm/runtimeexceptionkind.h (100%) rename src/coreclr/{src => }/vm/runtimehandles.cpp (100%) rename src/coreclr/{src => }/vm/runtimehandles.h (100%) rename src/coreclr/{src => }/vm/safehandle.cpp (100%) rename src/coreclr/{src => }/vm/sampleprofiler.cpp (100%) rename src/coreclr/{src => }/vm/sampleprofiler.h (100%) rename src/coreclr/{src => }/vm/sigformat.cpp (100%) rename src/coreclr/{src => }/vm/sigformat.h (100%) rename src/coreclr/{src => }/vm/siginfo.cpp (100%) rename src/coreclr/{src => }/vm/siginfo.hpp (100%) rename src/coreclr/{src => }/vm/simplerwlock.cpp (100%) rename src/coreclr/{src => }/vm/simplerwlock.hpp (100%) rename src/coreclr/{src => }/vm/sourceline.cpp (100%) rename src/coreclr/{src => }/vm/sourceline.h (100%) rename src/coreclr/{src => }/vm/specialstatics.h (100%) rename src/coreclr/{src => }/vm/spinlock.cpp (100%) rename src/coreclr/{src => }/vm/spinlock.h (100%) rename src/coreclr/{src => }/vm/stackcontents.h (100%) rename src/coreclr/{src => }/vm/stackingallocator.cpp (100%) rename src/coreclr/{src => }/vm/stackingallocator.h (100%) rename src/coreclr/{src => }/vm/stacksampler.cpp (100%) rename src/coreclr/{src => }/vm/stacksampler.h (100%) rename src/coreclr/{src => }/vm/stackwalk.cpp (100%) rename src/coreclr/{src => }/vm/stackwalk.h (100%) rename src/coreclr/{src => }/vm/stackwalktypes.h (100%) rename src/coreclr/{src => }/vm/staticallocationhelpers.inl (100%) rename src/coreclr/{src => }/vm/stdinterfaces.cpp (100%) rename src/coreclr/{src => }/vm/stdinterfaces.h (100%) rename src/coreclr/{src => }/vm/stdinterfaces_internal.h (100%) rename src/coreclr/{src => }/vm/stdinterfaces_wrapper.cpp (100%) rename src/coreclr/{src => }/vm/stringliteralmap.cpp (100%) rename src/coreclr/{src => }/vm/stringliteralmap.h (100%) rename src/coreclr/{src => }/vm/stubcache.cpp (100%) rename src/coreclr/{src => }/vm/stubcache.h (100%) rename src/coreclr/{src => }/vm/stubgen.cpp (100%) rename src/coreclr/{src => }/vm/stubgen.h (100%) rename src/coreclr/{src => }/vm/stubhelpers.cpp (100%) rename src/coreclr/{src => }/vm/stubhelpers.h (100%) rename src/coreclr/{src => }/vm/stublink.cpp (100%) rename src/coreclr/{src => }/vm/stublink.h (100%) rename src/coreclr/{src => }/vm/stublink.inl (100%) rename src/coreclr/{src => }/vm/stubmgr.cpp (100%) rename src/coreclr/{src => }/vm/stubmgr.h (100%) rename src/coreclr/{src => }/vm/syncblk.cpp (100%) rename src/coreclr/{src => }/vm/syncblk.h (100%) rename src/coreclr/{src => }/vm/syncblk.inl (100%) rename src/coreclr/{src => }/vm/syncclean.cpp (100%) rename src/coreclr/{src => }/vm/syncclean.hpp (100%) rename src/coreclr/{src => }/vm/synch.cpp (100%) rename src/coreclr/{src => }/vm/synch.h (100%) rename src/coreclr/{src => }/vm/tailcallhelp.cpp (100%) rename src/coreclr/{src => }/vm/tailcallhelp.h (100%) rename src/coreclr/{src => }/vm/threaddebugblockinginfo.cpp (100%) rename src/coreclr/{src => }/vm/threaddebugblockinginfo.h (100%) rename src/coreclr/{src => }/vm/threadpoolrequest.cpp (100%) rename src/coreclr/{src => }/vm/threadpoolrequest.h (100%) rename src/coreclr/{src => }/vm/threads.cpp (100%) rename src/coreclr/{src => }/vm/threads.h (100%) rename src/coreclr/{src => }/vm/threads.inl (100%) rename src/coreclr/{src => }/vm/threadstatics.cpp (100%) rename src/coreclr/{src => }/vm/threadstatics.h (100%) rename src/coreclr/{src => }/vm/threadsuspend.cpp (100%) rename src/coreclr/{src => }/vm/threadsuspend.h (100%) rename src/coreclr/{src => }/vm/tieredcompilation.cpp (100%) rename src/coreclr/{src => }/vm/tieredcompilation.h (100%) rename src/coreclr/{src => }/vm/typectxt.cpp (100%) rename src/coreclr/{src => }/vm/typectxt.h (100%) rename src/coreclr/{src => }/vm/typedesc.cpp (100%) rename src/coreclr/{src => }/vm/typedesc.h (100%) rename src/coreclr/{src => }/vm/typedesc.inl (100%) rename src/coreclr/{src => }/vm/typeequivalencehash.cpp (100%) rename src/coreclr/{src => }/vm/typeequivalencehash.hpp (100%) rename src/coreclr/{src => }/vm/typehandle.cpp (100%) rename src/coreclr/{src => }/vm/typehandle.h (100%) rename src/coreclr/{src => }/vm/typehandle.inl (100%) rename src/coreclr/{src => }/vm/typehash.cpp (100%) rename src/coreclr/{src => }/vm/typehash.h (100%) rename src/coreclr/{src => }/vm/typehashingalgorithms.h (100%) rename src/coreclr/{src => }/vm/typekey.h (100%) rename src/coreclr/{src => }/vm/typeparse.cpp (100%) rename src/coreclr/{src => }/vm/typeparse.h (100%) rename src/coreclr/{src => }/vm/typestring.cpp (100%) rename src/coreclr/{src => }/vm/typestring.h (100%) rename src/coreclr/{src => }/vm/util.cpp (100%) rename src/coreclr/{src => }/vm/util.hpp (100%) rename src/coreclr/{src => }/vm/vars.cpp (100%) rename src/coreclr/{src => }/vm/vars.hpp (100%) rename src/coreclr/{src => }/vm/versionresilienthashcode.cpp (100%) rename src/coreclr/{src => }/vm/versionresilienthashcode.h (100%) rename src/coreclr/{src => }/vm/virtualcallstub.cpp (100%) rename src/coreclr/{src => }/vm/virtualcallstub.h (100%) rename src/coreclr/{src => }/vm/vmholder.h (100%) rename src/coreclr/{src => }/vm/weakreferencenative.cpp (100%) rename src/coreclr/{src => }/vm/weakreferencenative.h (100%) rename src/coreclr/{src => }/vm/wellknownattributes.h (100%) rename src/coreclr/{src => }/vm/win32threadpool.cpp (100%) rename src/coreclr/{src => }/vm/win32threadpool.h (100%) rename src/coreclr/{src => }/vm/wks/CMakeLists.txt (100%) rename src/coreclr/{src => }/vm/wrappers.h (100%) rename src/coreclr/{src => }/vm/yieldprocessornormalized.cpp (100%) rename src/coreclr/{src => }/vm/zapsig.cpp (100%) rename src/coreclr/{src => }/vm/zapsig.h (100%) rename src/coreclr/{src => }/zap/CMakeLists.txt (100%) rename src/coreclr/{src => }/zap/common.h (100%) rename src/coreclr/{src => }/zap/nativeformatwriter.cpp (100%) rename src/coreclr/{src => }/zap/nativeformatwriter.h (100%) rename src/coreclr/{src => }/zap/svcworker.cpp (100%) rename src/coreclr/{src => }/zap/zapcode.cpp (100%) rename src/coreclr/{src => }/zap/zapcode.h (100%) rename src/coreclr/{src => }/zap/zapheaders.cpp (100%) rename src/coreclr/{src => }/zap/zapheaders.h (100%) rename src/coreclr/{src => }/zap/zapimage.cpp (100%) rename src/coreclr/{src => }/zap/zapimage.h (100%) rename src/coreclr/{src => }/zap/zapimport.cpp (100%) rename src/coreclr/{src => }/zap/zapimport.h (100%) rename src/coreclr/{src => }/zap/zapinfo.cpp (100%) rename src/coreclr/{src => }/zap/zapinfo.h (100%) rename src/coreclr/{src => }/zap/zapinnerptr.cpp (100%) rename src/coreclr/{src => }/zap/zapinnerptr.h (100%) rename src/coreclr/{src => }/zap/zaplog.h (100%) rename src/coreclr/{src => }/zap/zapmetadata.cpp (100%) rename src/coreclr/{src => }/zap/zapmetadata.h (100%) rename src/coreclr/{src => }/zap/zapnodetype.h (100%) rename src/coreclr/{src => }/zap/zapper.cpp (100%) rename src/coreclr/{src => }/zap/zapperstats.cpp (100%) rename src/coreclr/{src => }/zap/zapperstats.h (100%) rename src/coreclr/{src => }/zap/zapreadytorun.cpp (100%) rename src/coreclr/{src => }/zap/zapreadytorun.h (100%) rename src/coreclr/{src => }/zap/zaprelocs.cpp (100%) rename src/coreclr/{src => }/zap/zaprelocs.h (100%) rename src/coreclr/{src => }/zap/zapwrapper.cpp (100%) rename src/coreclr/{src => }/zap/zapwrapper.h (100%) rename src/coreclr/{src => }/zap/zapwriter.cpp (100%) rename src/coreclr/{src => }/zap/zapwriter.h (100%) diff --git a/.gitignore b/.gitignore index 15c6de9..4b8673b 100644 --- a/.gitignore +++ b/.gitignore @@ -84,6 +84,9 @@ dlldata.c *.svclog *.scc +# Special file +!src/coreclr/.nuget/_.pdb + # Chutzpah Test files _Chutzpah* @@ -133,9 +136,6 @@ AutoTest.Net/ # Web workbench (sass) .sass-cache/ -# BenchmarkDotNet -BenchmarkDotNet.Artifacts/ - # Installshield output folder [Ee]xpress/ @@ -295,10 +295,10 @@ prime/ stage/ # CLR prebuilt generated files -!src/pal/prebuilt/idl/*_i.c +!pal/prebuilt/idl/*_i.c # Valid 'debug' folder, that contains CLR debugging code -!src/debug +!debug # Ignore folders created by the CLR test build TestWrappers_x64_[d|D]ebug @@ -313,7 +313,6 @@ TestWrappers_arm_[r|R]elease TestWrappers_arm64_[d|D]ebug TestWrappers_arm64_[c|C]hecked TestWrappers_arm64_[r|R]elease -tests/src/common/test_runtime/project.json Vagrantfile .vagrant @@ -333,7 +332,7 @@ cross/android-rootfs/* *.pyc # JIT32 files -src/jit32 +jit32 # performance testing sandbox sandbox @@ -344,17 +343,23 @@ linker # Symbolic link for the shared portion of CoreLib to make grep/findstr work for runtime devs # # On Windows, make your own by running these commands from the repo root: -# mklink /D src\coreclr\src\System.Private.CoreLib\shared %CD%\src\libraries\System.Private.CoreLib\src -# mklink /D src\coreclr\src\System.Private.CoreLib\common %CD%\src\libraries\Common\src +# mklink /D src\coreclr\System.Private.CoreLib\shared %CD%\src\libraries\System.Private.CoreLib\src +# mklink /D src\coreclr\System.Private.CoreLib\common %CD%\src\libraries\Common\src # # On Unix, make your own by running these commands from the repo root: -# ln -s $(pwd)/src/libraries/System.Private.CoreLib/src src/coreclr/src/System.Private.CoreLib/shared -# ln -s $(pwd)/src/libraries/Common/src src/coreclr/src/System.Private.CoreLib/common -src/coreclr/src/System.Private.CoreLib/shared -src/coreclr/src/System.Private.CoreLib/common +# ln -s $(pwd)/src/libraries/System.Private.CoreLib/src src/coreclr/System.Private.CoreLib/shared +# ln -s $(pwd)/src/libraries/Common/src src/coreclr/System.Private.CoreLib/common +src/coreclr/System.Private.CoreLib/shared +src/coreclr/System.Private.CoreLib/common # The debug directory should not be ignored -!src/coreclr/src/debug +!src/coreclr/debug + +# Exceptions to the exclusions +!src/coreclr/.nuget/_.pdb +!src/coreclr/inc/obj/ +!src/coreclr/vm/.vscode/ +!src/coreclr/vm/.vscode/c_cpp_properties.json # Mono Wasm-specific excludes src/mono/wasm/emsdk/ diff --git a/Directory.Build.props b/Directory.Build.props index de7d5e8..a6eccc0 100644 --- a/Directory.Build.props +++ b/Directory.Build.props @@ -47,7 +47,7 @@ $([MSBuild]::NormalizeDirectory('$(LibrariesProjectRoot)', 'System.Private.CoreLib', 'src')) - $([MSBuild]::NormalizePath('$(CoreClrProjectRoot)', 'src', 'System.Private.CoreLib', 'System.Private.CoreLib.csproj')) + $([MSBuild]::NormalizePath('$(CoreClrProjectRoot)', 'System.Private.CoreLib', 'System.Private.CoreLib.csproj')) $([MSBuild]::NormalizePath('$(MonoProjectRoot)', 'netcore', 'System.Private.CoreLib', 'System.Private.CoreLib.csproj')) diff --git a/eng/Subsets.props b/eng/Subsets.props index aa65f67..f7ee9dc 100644 --- a/eng/Subsets.props +++ b/eng/Subsets.props @@ -136,12 +136,12 @@ - + - @@ -166,7 +166,7 @@ - + @@ -178,17 +178,17 @@ - + - + - @@ -197,7 +197,7 @@ - + diff --git a/src/coreclr/src/.nuget/Directory.Build.props b/src/coreclr/.nuget/Directory.Build.props similarity index 100% rename from src/coreclr/src/.nuget/Directory.Build.props rename to src/coreclr/.nuget/Directory.Build.props diff --git a/src/coreclr/src/.nuget/Directory.Build.targets b/src/coreclr/.nuget/Directory.Build.targets similarity index 100% rename from src/coreclr/src/.nuget/Directory.Build.targets rename to src/coreclr/.nuget/Directory.Build.targets diff --git a/src/coreclr/src/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.pkgproj b/src/coreclr/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.pkgproj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.pkgproj rename to src/coreclr/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.pkgproj diff --git a/src/coreclr/src/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.proj b/src/coreclr/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.proj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.proj rename to src/coreclr/.nuget/Microsoft.CrossOsDiag.Private.CoreCLR/Microsoft.CrossOsDiag.Private.CoreCLR.proj diff --git a/src/coreclr/src/.nuget/Microsoft.ILVerification/Microsoft.ILVerification.pkgproj b/src/coreclr/.nuget/Microsoft.ILVerification/Microsoft.ILVerification.pkgproj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.ILVerification/Microsoft.ILVerification.pkgproj rename to src/coreclr/.nuget/Microsoft.ILVerification/Microsoft.ILVerification.pkgproj diff --git a/src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/Microsoft.NET.Sdk.IL.pkgproj b/src/coreclr/.nuget/Microsoft.NET.Sdk.IL/Microsoft.NET.Sdk.IL.pkgproj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/Microsoft.NET.Sdk.IL.pkgproj rename to src/coreclr/.nuget/Microsoft.NET.Sdk.IL/Microsoft.NET.Sdk.IL.pkgproj diff --git a/src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.props b/src/coreclr/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.props similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.props rename to src/coreclr/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.props diff --git a/src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.targets b/src/coreclr/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.targets similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.targets rename to src/coreclr/.nuget/Microsoft.NET.Sdk.IL/sdk/Sdk.targets diff --git a/src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.Common.targets b/src/coreclr/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.Common.targets similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.Common.targets rename to src/coreclr/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.Common.targets diff --git a/src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.targets b/src/coreclr/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.targets similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.targets rename to src/coreclr/.nuget/Microsoft.NET.Sdk.IL/targets/Microsoft.NET.Sdk.IL.targets diff --git a/src/coreclr/src/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.pkgproj b/src/coreclr/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.pkgproj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.pkgproj rename to src/coreclr/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.pkgproj diff --git a/src/coreclr/src/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.proj b/src/coreclr/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.proj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.proj rename to src/coreclr/.nuget/Microsoft.NETCore.ILAsm/Microsoft.NETCore.ILAsm.proj diff --git a/src/coreclr/src/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.pkgproj b/src/coreclr/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.pkgproj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.pkgproj rename to src/coreclr/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.pkgproj diff --git a/src/coreclr/src/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.proj b/src/coreclr/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.proj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.proj rename to src/coreclr/.nuget/Microsoft.NETCore.ILDAsm/Microsoft.NETCore.ILDAsm.proj diff --git a/src/coreclr/src/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.pkgproj b/src/coreclr/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.pkgproj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.pkgproj rename to src/coreclr/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.pkgproj diff --git a/src/coreclr/src/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.proj b/src/coreclr/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.proj similarity index 100% rename from src/coreclr/src/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.proj rename to src/coreclr/.nuget/Microsoft.NETCore.TestHost/Microsoft.NETCore.TestHost.proj diff --git a/src/coreclr/src/.nuget/_.pdb b/src/coreclr/.nuget/_.pdb similarity index 100% rename from src/coreclr/src/.nuget/_.pdb rename to src/coreclr/.nuget/_.pdb diff --git a/src/coreclr/src/.nuget/builds.targets b/src/coreclr/.nuget/builds.targets similarity index 100% rename from src/coreclr/src/.nuget/builds.targets rename to src/coreclr/.nuget/builds.targets diff --git a/src/coreclr/src/.nuget/coreclr-packages.proj b/src/coreclr/.nuget/coreclr-packages.proj similarity index 100% rename from src/coreclr/src/.nuget/coreclr-packages.proj rename to src/coreclr/.nuget/coreclr-packages.proj diff --git a/src/coreclr/src/.nuget/descriptions.json b/src/coreclr/.nuget/descriptions.json similarity index 100% rename from src/coreclr/src/.nuget/descriptions.json rename to src/coreclr/.nuget/descriptions.json diff --git a/src/coreclr/src/.nuget/dotnet-ilverify/DotnetToolSettings.xml b/src/coreclr/.nuget/dotnet-ilverify/DotnetToolSettings.xml similarity index 100% rename from src/coreclr/src/.nuget/dotnet-ilverify/DotnetToolSettings.xml rename to src/coreclr/.nuget/dotnet-ilverify/DotnetToolSettings.xml diff --git a/src/coreclr/src/.nuget/dotnet-ilverify/dotnet-ilverify.pkgproj b/src/coreclr/.nuget/dotnet-ilverify/dotnet-ilverify.pkgproj similarity index 100% rename from src/coreclr/src/.nuget/dotnet-ilverify/dotnet-ilverify.pkgproj rename to src/coreclr/.nuget/dotnet-ilverify/dotnet-ilverify.pkgproj diff --git a/src/coreclr/src/.nuget/optdata/Directory.Build.props b/src/coreclr/.nuget/optdata/Directory.Build.props similarity index 100% rename from src/coreclr/src/.nuget/optdata/Directory.Build.props rename to src/coreclr/.nuget/optdata/Directory.Build.props diff --git a/src/coreclr/src/.nuget/optdata/Directory.Build.targets b/src/coreclr/.nuget/optdata/Directory.Build.targets similarity index 100% rename from src/coreclr/src/.nuget/optdata/Directory.Build.targets rename to src/coreclr/.nuget/optdata/Directory.Build.targets diff --git a/src/coreclr/src/.nuget/optdata/optdata.csproj b/src/coreclr/.nuget/optdata/optdata.csproj similarity index 100% rename from src/coreclr/src/.nuget/optdata/optdata.csproj rename to src/coreclr/.nuget/optdata/optdata.csproj diff --git a/src/coreclr/src/.nuget/packageIndex.json b/src/coreclr/.nuget/packageIndex.json similarity index 100% rename from src/coreclr/src/.nuget/packageIndex.json rename to src/coreclr/.nuget/packageIndex.json diff --git a/src/coreclr/src/.nuget/packaging.props b/src/coreclr/.nuget/packaging.props similarity index 100% rename from src/coreclr/src/.nuget/packaging.props rename to src/coreclr/.nuget/packaging.props diff --git a/src/coreclr/src/.nuget/versioning.targets b/src/coreclr/.nuget/versioning.targets similarity index 100% rename from src/coreclr/src/.nuget/versioning.targets rename to src/coreclr/.nuget/versioning.targets diff --git a/src/coreclr/CMakeLists.txt b/src/coreclr/CMakeLists.txt index b7cb9e9..2b0bf50 100644 --- a/src/coreclr/CMakeLists.txt +++ b/src/coreclr/CMakeLists.txt @@ -19,11 +19,11 @@ endif (MSVC) # Set commonly used directory names set(CLR_DIR ${CMAKE_CURRENT_SOURCE_DIR}) -set(VM_DIR ${CMAKE_CURRENT_SOURCE_DIR}/src/vm) -set(GENERATED_INCLUDE_DIR ${CMAKE_CURRENT_BINARY_DIR}/src/inc) +set(VM_DIR ${CMAKE_CURRENT_SOURCE_DIR}/vm) +set(GENERATED_INCLUDE_DIR ${CMAKE_CURRENT_BINARY_DIR}/inc) set(GENERATED_EVENTING_DIR ${CMAKE_CURRENT_BINARY_DIR}/Eventing) set(VERSION_FILE_PATH "${CMAKE_BINARY_DIR}/version.c") -set(PAL_REDEFINES_FILE ${CMAKE_CURRENT_SOURCE_DIR}/src/dlls/mscordac/palredefines.S) +set(PAL_REDEFINES_FILE ${CMAKE_CURRENT_SOURCE_DIR}/dlls/mscordac/palredefines.S) # Avoid logging when skipping up-to-date copies set(CMAKE_INSTALL_MESSAGE LAZY) @@ -79,24 +79,24 @@ if(CLR_CMAKE_HOST_UNIX) endif() endif() - add_subdirectory(src/pal) - add_subdirectory(src/hosts) + add_subdirectory(pal) + add_subdirectory(hosts) else(CLR_CMAKE_HOST_UNIX) if(CLR_CMAKE_TARGET_UNIX) - add_subdirectory(src/pal/src/libunwind) + add_subdirectory(pal/src/libunwind) endif(CLR_CMAKE_TARGET_UNIX) endif(CLR_CMAKE_HOST_UNIX) # Add this subdir. We install the headers for the jit. -add_subdirectory(src/pal/prebuilt/inc) +add_subdirectory(pal/prebuilt/inc) -add_subdirectory(src/debug/debug-pal) +add_subdirectory(debug/debug-pal) if(CLR_CMAKE_TARGET_WIN32 AND CLR_CMAKE_BUILD_SUBSET_RUNTIME) - add_subdirectory(src/gc/sample) + add_subdirectory(gc/sample) endif() -add_subdirectory(src/tools/aot/jitinterface) +add_subdirectory(tools/aot/jitinterface) # Above projects do not build with these compile options # All of the compiler options are specified in file compileoptions.cmake @@ -116,23 +116,123 @@ include(clrdefinitions.cmake) # Include directory directives #------------------------------------- # Include the basic prebuilt headers - required for getting fileversion resource details. -include_directories("src/pal/prebuilt/inc") +include_directories("pal/prebuilt/inc") include_directories("../../artifacts/obj/coreclr") if(FEATURE_STANDALONE_GC) add_definitions(-DFEATURE_STANDALONE_GC) if (CLR_CMAKE_BUILD_SUBSET_RUNTIME) - add_subdirectory(src/gc) + add_subdirectory(gc) endif (CLR_CMAKE_BUILD_SUBSET_RUNTIME) endif(FEATURE_STANDALONE_GC) if (CLR_CMAKE_HOST_UNIX) - include_directories("src/pal/inc") - include_directories("src/pal/inc/rt") - include_directories("src/pal/src/safecrt") + include_directories("pal/inc") + include_directories("pal/inc/rt") + include_directories("pal/src/safecrt") endif (CLR_CMAKE_HOST_UNIX) #------------------------------ -# Add Product Directory +# Add Product Directories #------------------------------ -add_subdirectory(src) +include_directories("inc") +include_directories("debug/inc") +include_directories("debug/inc/${ARCH_SOURCES_DIR}") +include_directories("debug/inc/dump") +include_directories("md/inc") +include_directories("classlibnative/bcltype") +include_directories("classlibnative/cryptography") +include_directories("classlibnative/inc") +include_directories("${GENERATED_INCLUDE_DIR}") +include_directories("hosts/inc") + +if(CLR_CMAKE_TARGET_WIN32 AND FEATURE_EVENT_TRACE) + include_directories("${GENERATED_INCLUDE_DIR}/etw") +endif(CLR_CMAKE_TARGET_WIN32 AND FEATURE_EVENT_TRACE) + +add_subdirectory(debug/dbgutil) + +if(CLR_CMAKE_HOST_UNIX) + if(CLR_CMAKE_BUILD_SUBSET_RUNTIME) + if(CLR_CMAKE_HOST_OSX OR (CLR_CMAKE_HOST_LINUX AND NOT CLR_CMAKE_HOST_UNIX_X86 AND NOT CLR_CMAKE_HOST_ANDROID)) + add_subdirectory(debug/createdump) + endif(CLR_CMAKE_HOST_OSX OR (CLR_CMAKE_HOST_LINUX AND NOT CLR_CMAKE_HOST_UNIX_X86 AND NOT CLR_CMAKE_HOST_ANDROID)) + endif(CLR_CMAKE_BUILD_SUBSET_RUNTIME) + + # Include the dummy c++ include files + include_directories("pal/inc/rt/cpp") + + # This prevents inclusion of standard C compiler headers + add_compile_options(-nostdinc) + + set (NATIVE_RESOURCE_DIR ${CMAKE_CURRENT_SOURCE_DIR}/nativeresources) + include_directories(${NATIVE_RESOURCE_DIR}) + set (RC_TO_CPP ${NATIVE_RESOURCE_DIR}/rctocpp.awk) + set (PROCESS_RC ${NATIVE_RESOURCE_DIR}/processrc.awk) + set (RESOURCE_STRING_HEADER_DIR ${NATIVE_RESOURCE_DIR}) + + # Create a command to create a C++ source file containing an array of + # NativeStringResource structs which represent the information from a + # given Windows .rc file. The target C++ file path is returned in the + # variable specified by the TARGET_FILE parameter. + function(build_resources SOURCE TARGET_NAME TARGET_FILE) + + set(PREPROCESSED_SOURCE ${CMAKE_CURRENT_BINARY_DIR}/${TARGET_NAME}.rc.i) + + preprocess_file(${SOURCE} ${PREPROCESSED_SOURCE}) + + set(RESOURCE_ENTRY_ARRAY_CPP ${CMAKE_CURRENT_BINARY_DIR}/${TARGET_NAME}.cpp) + + add_custom_command( + OUTPUT ${RESOURCE_ENTRY_ARRAY_CPP} + # Convert the preprocessed .rc file to a C++ file which will be used to make a static lib. + COMMAND ${AWK} -v name=${TARGET_NAME} -f ${RC_TO_CPP} -f ${PROCESS_RC} ${PREPROCESSED_SOURCE} >${RESOURCE_ENTRY_ARRAY_CPP} + DEPENDS ${PREPROCESSED_SOURCE} ${RC_TO_CPP} ${PROCESS_RC} + ) + + include_directories(${RESOURCE_STRING_HEADER_DIR}) + set(${TARGET_FILE} ${RESOURCE_ENTRY_ARRAY_CPP} PARENT_SCOPE) + + endfunction() + + add_subdirectory(nativeresources) +endif(CLR_CMAKE_HOST_UNIX) + +if ((CMAKE_CXX_COMPILER_ID STREQUAL "GNU") AND (CMAKE_CXX_COMPILER_VERSION VERSION_GREATER 10.0)) + add_compile_options(-Wno-error=stringop-overflow=) +endif() + +add_subdirectory(utilcode) +add_subdirectory(gcinfo) +add_subdirectory(jit) +add_subdirectory(inc) + +if(CLR_CMAKE_HOST_UNIX) + add_subdirectory(palrt) +endif(CLR_CMAKE_HOST_UNIX) + +add_subdirectory(vm) +if (CLR_CMAKE_BUILD_SUBSET_RUNTIME) + add_subdirectory(md) + add_subdirectory(debug) + add_subdirectory(binder) + add_subdirectory(classlibnative) + add_subdirectory(dlls) + add_subdirectory(ToolBox) + add_subdirectory(tools) + add_subdirectory(unwinder) + add_subdirectory(ildasm) + add_subdirectory(ilasm) + add_subdirectory(interop) + + if(CLR_CMAKE_HOST_WIN32) + add_subdirectory(hosts) + endif(CLR_CMAKE_HOST_WIN32) +else() + if(CLR_CMAKE_HOST_UNIX) + # this is needed to compile the jit on unix platforms. + # When the runtime subset is compiled, the add_subdirectory(dlls) above + # brings the mscorrc library into the build graph + add_subdirectory(dlls/mscorrc) + endif(CLR_CMAKE_HOST_UNIX) +endif(CLR_CMAKE_BUILD_SUBSET_RUNTIME) diff --git a/src/coreclr/Directory.Build.targets b/src/coreclr/Directory.Build.targets index 9a0b6cf..09081a3 100644 --- a/src/coreclr/Directory.Build.targets +++ b/src/coreclr/Directory.Build.targets @@ -12,4 +12,24 @@ $(ProductVersion) $(InformationalVersion)-$(VersionSuffix) + + + + + + + + + + + + + + + diff --git a/src/coreclr/src/System.Private.CoreLib/CreateRuntimeRootILLinkDescriptorFile.targets b/src/coreclr/System.Private.CoreLib/CreateRuntimeRootILLinkDescriptorFile.targets similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/CreateRuntimeRootILLinkDescriptorFile.targets rename to src/coreclr/System.Private.CoreLib/CreateRuntimeRootILLinkDescriptorFile.targets diff --git a/src/coreclr/src/System.Private.CoreLib/MembersMustExist.AnalyzerData b/src/coreclr/System.Private.CoreLib/MembersMustExist.AnalyzerData similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/MembersMustExist.AnalyzerData rename to src/coreclr/System.Private.CoreLib/MembersMustExist.AnalyzerData diff --git a/src/coreclr/src/System.Private.CoreLib/System.Private.CoreLib.csproj b/src/coreclr/System.Private.CoreLib/System.Private.CoreLib.csproj similarity index 98% rename from src/coreclr/src/System.Private.CoreLib/System.Private.CoreLib.csproj rename to src/coreclr/System.Private.CoreLib/System.Private.CoreLib.csproj index 1b227e0..06390a9 100644 --- a/src/coreclr/src/System.Private.CoreLib/System.Private.CoreLib.csproj +++ b/src/coreclr/System.Private.CoreLib/System.Private.CoreLib.csproj @@ -104,7 +104,7 @@ - + @@ -320,8 +320,8 @@ - - + + src\System\Diagnostics\Eventing\Generated\NativeRuntimeEventSource.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/System.Private.CoreLib.sln b/src/coreclr/System.Private.CoreLib/System.Private.CoreLib.sln similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/System.Private.CoreLib.sln rename to src/coreclr/System.Private.CoreLib/System.Private.CoreLib.sln diff --git a/src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/CategoryCasingInfo.cs b/src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/CategoryCasingInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/CategoryCasingInfo.cs rename to src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/CategoryCasingInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/DataTable.cs b/src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/DataTable.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/DataTable.cs rename to src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/DataTable.cs diff --git a/src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/GenUnicodeProp.csproj b/src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/GenUnicodeProp.csproj similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/GenUnicodeProp.csproj rename to src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/GenUnicodeProp.csproj diff --git a/src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/NumericGraphemeInfo.cs b/src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/NumericGraphemeInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/NumericGraphemeInfo.cs rename to src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/NumericGraphemeInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/Program.cs b/src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/Program.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/Program.cs rename to src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/Program.cs diff --git a/src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/Readme.md b/src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/Readme.md similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/Readme.md rename to src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/Readme.md diff --git a/src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/StrongBidiCategory.cs b/src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/StrongBidiCategory.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/StrongBidiCategory.cs rename to src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/StrongBidiCategory.cs diff --git a/src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/TableLevels.cs b/src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/TableLevels.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/Tools/GenUnicodeProp/TableLevels.cs rename to src/coreclr/System.Private.CoreLib/Tools/GenUnicodeProp/TableLevels.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/ILLink/ILLinkTrim.xml b/src/coreclr/System.Private.CoreLib/src/ILLink/ILLinkTrim.xml similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/ILLink/ILLinkTrim.xml rename to src/coreclr/System.Private.CoreLib/src/ILLink/ILLinkTrim.xml diff --git a/src/coreclr/src/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComActivator.cs b/src/coreclr/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComActivator.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComActivator.cs rename to src/coreclr/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComActivator.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComponentActivator.cs b/src/coreclr/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComponentActivator.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComponentActivator.cs rename to src/coreclr/System.Private.CoreLib/src/Internal/Runtime/InteropServices/ComponentActivator.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/Internal/Runtime/InteropServices/InMemoryAssemblyLoader.cs b/src/coreclr/System.Private.CoreLib/src/Internal/Runtime/InteropServices/InMemoryAssemblyLoader.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/Internal/Runtime/InteropServices/InMemoryAssemblyLoader.cs rename to src/coreclr/System.Private.CoreLib/src/Internal/Runtime/InteropServices/InMemoryAssemblyLoader.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/Internal/Runtime/InteropServices/IsolatedComponentLoadContext.cs b/src/coreclr/System.Private.CoreLib/src/Internal/Runtime/InteropServices/IsolatedComponentLoadContext.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/Internal/Runtime/InteropServices/IsolatedComponentLoadContext.cs rename to src/coreclr/System.Private.CoreLib/src/Internal/Runtime/InteropServices/IsolatedComponentLoadContext.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/Interop/Unix/Interop.Libraries.cs b/src/coreclr/System.Private.CoreLib/src/Interop/Unix/Interop.Libraries.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/Interop/Unix/Interop.Libraries.cs rename to src/coreclr/System.Private.CoreLib/src/Interop/Unix/Interop.Libraries.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/Microsoft/Win32/OAVariantLib.cs b/src/coreclr/System.Private.CoreLib/src/Microsoft/Win32/OAVariantLib.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/Microsoft/Win32/OAVariantLib.cs rename to src/coreclr/System.Private.CoreLib/src/Microsoft/Win32/OAVariantLib.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/ArgIterator.cs b/src/coreclr/System.Private.CoreLib/src/System/ArgIterator.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/ArgIterator.cs rename to src/coreclr/System.Private.CoreLib/src/System/ArgIterator.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Array.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Array.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Array.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Array.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Attribute.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Attribute.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Attribute.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Attribute.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/BadImageFormatException.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/BadImageFormatException.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/BadImageFormatException.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/BadImageFormatException.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Buffer.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Buffer.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Buffer.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Buffer.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/CLRConfig.cs b/src/coreclr/System.Private.CoreLib/src/System/CLRConfig.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/CLRConfig.cs rename to src/coreclr/System.Private.CoreLib/src/System/CLRConfig.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Collections/EmptyReadOnlyDictionaryInternal.cs b/src/coreclr/System.Private.CoreLib/src/System/Collections/EmptyReadOnlyDictionaryInternal.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Collections/EmptyReadOnlyDictionaryInternal.cs rename to src/coreclr/System.Private.CoreLib/src/System/Collections/EmptyReadOnlyDictionaryInternal.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Collections/Generic/ArraySortHelper.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Collections/Generic/ArraySortHelper.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Collections/Generic/ArraySortHelper.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Collections/Generic/ArraySortHelper.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Collections/Generic/Comparer.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Collections/Generic/Comparer.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Collections/Generic/Comparer.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Collections/Generic/Comparer.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Collections/Generic/ComparerHelpers.cs b/src/coreclr/System.Private.CoreLib/src/System/Collections/Generic/ComparerHelpers.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Collections/Generic/ComparerHelpers.cs rename to src/coreclr/System.Private.CoreLib/src/System/Collections/Generic/ComparerHelpers.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Collections/Generic/EqualityComparer.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Collections/Generic/EqualityComparer.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Collections/Generic/EqualityComparer.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Collections/Generic/EqualityComparer.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Collections/ObjectModel/ReadOnlyDictionary.cs b/src/coreclr/System.Private.CoreLib/src/System/Collections/ObjectModel/ReadOnlyDictionary.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Collections/ObjectModel/ReadOnlyDictionary.cs rename to src/coreclr/System.Private.CoreLib/src/System/Collections/ObjectModel/ReadOnlyDictionary.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Currency.cs b/src/coreclr/System.Private.CoreLib/src/System/Currency.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Currency.cs rename to src/coreclr/System.Private.CoreLib/src/System/Currency.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/DateTime.Unix.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/DateTime.Unix.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/DateTime.Unix.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/DateTime.Unix.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/DateTime.Windows.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/DateTime.Windows.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/DateTime.Windows.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/DateTime.Windows.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Delegate.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Delegate.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Delegate.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Delegate.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/Debugger.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/Debugger.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/Debugger.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/Debugger.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/EditAndContinueHelper.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/EditAndContinueHelper.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/EditAndContinueHelper.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/EditAndContinueHelper.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/Eventing/EventPipe.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/Eventing/EventPipe.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/Eventing/EventPipe.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/Eventing/EventPipe.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/ICustomDebuggerNotification.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/ICustomDebuggerNotification.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/ICustomDebuggerNotification.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/ICustomDebuggerNotification.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/StackFrame.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/StackFrame.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/StackFrame.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/StackFrame.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/StackFrameHelper.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/StackFrameHelper.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/StackFrameHelper.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/StackFrameHelper.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/StackTrace.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/StackTrace.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/StackTrace.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/StackTrace.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/ISymWriter.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/ISymWriter.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/ISymWriter.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/ISymWriter.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/SymAddressKind.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/SymAddressKind.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/SymAddressKind.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/SymAddressKind.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/Token.cs b/src/coreclr/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/Token.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/Token.cs rename to src/coreclr/System.Private.CoreLib/src/System/Diagnostics/SymbolStore/Token.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Enum.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Enum.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Enum.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Enum.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Environment.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Environment.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Environment.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Environment.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Exception.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Exception.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Exception.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Exception.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/GC.cs b/src/coreclr/System.Private.CoreLib/src/System/GC.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/GC.cs rename to src/coreclr/System.Private.CoreLib/src/System/GC.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/IO/FileLoadException.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/IO/FileLoadException.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/IO/FileLoadException.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/IO/FileLoadException.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/IO/FileNotFoundException.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/IO/FileNotFoundException.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/IO/FileNotFoundException.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/IO/FileNotFoundException.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/IO/Stream.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/IO/Stream.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/IO/Stream.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/IO/Stream.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Math.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Math.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Math.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Math.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/MathF.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/MathF.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/MathF.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/MathF.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/MissingMemberException.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/MissingMemberException.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/MissingMemberException.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/MissingMemberException.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/MulticastDelegate.cs b/src/coreclr/System.Private.CoreLib/src/System/MulticastDelegate.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/MulticastDelegate.cs rename to src/coreclr/System.Private.CoreLib/src/System/MulticastDelegate.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Object.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Object.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Object.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Object.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/OleAutBinder.cs b/src/coreclr/System.Private.CoreLib/src/System/OleAutBinder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/OleAutBinder.cs rename to src/coreclr/System.Private.CoreLib/src/System/OleAutBinder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Assembly.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Assembly.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Assembly.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Assembly.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/AssemblyName.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/AssemblyName.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/AssemblyName.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/AssemblyName.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Associates.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Associates.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Associates.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Associates.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/ConstructorInfo.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/ConstructorInfo.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/ConstructorInfo.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/ConstructorInfo.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/CustomAttribute.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/CustomAttribute.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/CustomAttribute.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/CustomAttribute.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilderData.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilderData.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilderData.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/AssemblyBuilderData.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ConstructorBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ConstructorBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ConstructorBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ConstructorBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/CustomAttributeBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/CustomAttributeBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/CustomAttributeBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/CustomAttributeBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/DynamicILGenerator.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/DynamicILGenerator.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/DynamicILGenerator.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/DynamicILGenerator.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/DynamicMethod.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/DynamicMethod.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/DynamicMethod.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/DynamicMethod.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/EnumBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/EnumBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/EnumBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/EnumBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/EventBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/EventBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/EventBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/EventBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/FieldBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/FieldBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/FieldBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/FieldBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/GenericTypeParameterBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/GenericTypeParameterBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/GenericTypeParameterBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/GenericTypeParameterBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ILGenerator.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ILGenerator.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ILGenerator.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ILGenerator.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ISymWrapperCore.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ISymWrapperCore.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ISymWrapperCore.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ISymWrapperCore.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/LocalBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/LocalBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/LocalBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/LocalBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilderInstantiation.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilderInstantiation.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilderInstantiation.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/MethodBuilderInstantiation.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilderData.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilderData.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilderData.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ModuleBuilderData.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ParameterBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ParameterBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/ParameterBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/ParameterBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/PropertyBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/PropertyBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/PropertyBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/PropertyBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/SignatureHelper.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/SignatureHelper.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/SignatureHelper.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/SignatureHelper.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/SymbolMethod.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/SymbolMethod.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/SymbolMethod.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/SymbolMethod.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/SymbolType.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/SymbolType.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/SymbolType.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/SymbolType.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilder.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilder.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilder.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilder.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilderInstantiation.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilderInstantiation.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilderInstantiation.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/TypeBuilderInstantiation.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/XXXOnTypeBuilderInstantiation.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/XXXOnTypeBuilderInstantiation.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Emit/XXXOnTypeBuilderInstantiation.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Emit/XXXOnTypeBuilderInstantiation.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/FieldInfo.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/FieldInfo.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/FieldInfo.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/FieldInfo.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/INVOCATION_FLAGS.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/INVOCATION_FLAGS.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/INVOCATION_FLAGS.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/INVOCATION_FLAGS.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/LoaderAllocator.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/LoaderAllocator.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/LoaderAllocator.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/LoaderAllocator.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MdConstant.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/MdConstant.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MdConstant.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/MdConstant.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MdFieldInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/MdFieldInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MdFieldInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/MdFieldInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MdImport.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/MdImport.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MdImport.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/MdImport.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MemberInfo.Internal.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/MemberInfo.Internal.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MemberInfo.Internal.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/MemberInfo.Internal.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Metadata/AssemblyExtensions.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/Metadata/AssemblyExtensions.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/Metadata/AssemblyExtensions.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/Metadata/AssemblyExtensions.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MethodBase.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/MethodBase.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/MethodBase.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/MethodBase.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RtFieldInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RtFieldInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RtFieldInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RtFieldInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeAssembly.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeAssembly.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeAssembly.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeAssembly.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeConstructorInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeConstructorInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeConstructorInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeConstructorInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeEventInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeEventInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeEventInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeEventInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeExceptionHandlingClause.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeExceptionHandlingClause.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeExceptionHandlingClause.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeExceptionHandlingClause.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeFieldInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeFieldInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeFieldInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeFieldInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeLocalVariableInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeLocalVariableInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeLocalVariableInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeLocalVariableInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeMethodBody.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeMethodBody.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeMethodBody.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeMethodBody.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeMethodInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeMethodInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeMethodInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeMethodInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeModule.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeModule.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeModule.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeModule.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeParameterInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeParameterInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimeParameterInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimeParameterInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimePropertyInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimePropertyInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Reflection/RuntimePropertyInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Reflection/RuntimePropertyInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Resources/ManifestBasedResourceGroveler.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Resources/ManifestBasedResourceGroveler.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Resources/ManifestBasedResourceGroveler.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Resources/ManifestBasedResourceGroveler.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/CastHelpers.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/CastHelpers.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/CastHelpers.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/CastHelpers.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/CrossLoaderAllocatorHashHelpers.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/CrossLoaderAllocatorHashHelpers.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/CrossLoaderAllocatorHashHelpers.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/CrossLoaderAllocatorHashHelpers.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/DependentHandle.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/DependentHandle.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/DependentHandle.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/DependentHandle.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/GCHeapHash.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/GCHeapHash.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/GCHeapHash.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/GCHeapHash.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/ICastableHelpers.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/ICastableHelpers.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/ICastableHelpers.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/ICastableHelpers.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeFeature.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeFeature.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeFeature.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeFeature.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeHelpers.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeHelpers.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeHelpers.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/RuntimeHelpers.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/TypeDependencyAttribute.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/TypeDependencyAttribute.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/CompilerServices/TypeDependencyAttribute.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/CompilerServices/TypeDependencyAttribute.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/GCSettings.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/GCSettings.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/GCSettings.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/GCSettings.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsHelper.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsHelper.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsHelper.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsHelper.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsInfo.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsInfo.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsInfo.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComEventsInfo.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerable.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerable.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerable.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerable.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerator.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerator.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerator.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComTypes/IEnumerator.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComWrappers.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComWrappers.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/ComWrappers.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/ComWrappers.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ComDataHelpers.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ComDataHelpers.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ComDataHelpers.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ComDataHelpers.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumVariantViewOfEnumerator.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumVariantViewOfEnumerator.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumVariantViewOfEnumerator.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumVariantViewOfEnumerator.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableToDispatchMarshaler.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableToDispatchMarshaler.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableToDispatchMarshaler.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableToDispatchMarshaler.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableViewOfDispatch.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableViewOfDispatch.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableViewOfDispatch.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumerableViewOfDispatch.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorToEnumVariantMarshaler.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorToEnumVariantMarshaler.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorToEnumVariantMarshaler.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorToEnumVariantMarshaler.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorViewOfEnumVariant.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorViewOfEnumVariant.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorViewOfEnumVariant.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/EnumeratorViewOfEnumVariant.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ExpandoToDispatchExMarshaler.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ExpandoToDispatchExMarshaler.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ExpandoToDispatchExMarshaler.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/ExpandoToDispatchExMarshaler.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/TypeToTypeInfoMarshaler.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/TypeToTypeInfoMarshaler.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/TypeToTypeInfoMarshaler.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/CustomMarshalers/TypeToTypeInfoMarshaler.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/DynamicInterfaceCastableHelpers.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/DynamicInterfaceCastableHelpers.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/DynamicInterfaceCastableHelpers.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/DynamicInterfaceCastableHelpers.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/GCHandle.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/GCHandle.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/GCHandle.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/GCHandle.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/IException.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/IException.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/IException.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/IException.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/Marshal.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/Marshal.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/Marshal.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/Marshal.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/MemoryMarshal.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/MemoryMarshal.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/MemoryMarshal.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/MemoryMarshal.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/NativeLibrary.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/NativeLibrary.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/InteropServices/NativeLibrary.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/InteropServices/NativeLibrary.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/Intrinsics/X86/X86Base.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/Intrinsics/X86/X86Base.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/Intrinsics/X86/X86Base.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/Intrinsics/X86/X86Base.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/Loader/AssemblyLoadContext.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/Loader/AssemblyLoadContext.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/Loader/AssemblyLoadContext.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/Loader/AssemblyLoadContext.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Runtime/Versioning/CompatibilitySwitch.cs b/src/coreclr/System.Private.CoreLib/src/System/Runtime/Versioning/CompatibilitySwitch.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Runtime/Versioning/CompatibilitySwitch.cs rename to src/coreclr/System.Private.CoreLib/src/System/Runtime/Versioning/CompatibilitySwitch.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/RuntimeArgumentHandle.cs b/src/coreclr/System.Private.CoreLib/src/System/RuntimeArgumentHandle.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/RuntimeArgumentHandle.cs rename to src/coreclr/System.Private.CoreLib/src/System/RuntimeArgumentHandle.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/RuntimeHandles.cs b/src/coreclr/System.Private.CoreLib/src/System/RuntimeHandles.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/RuntimeHandles.cs rename to src/coreclr/System.Private.CoreLib/src/System/RuntimeHandles.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/RuntimeType.ActivatorCache.cs b/src/coreclr/System.Private.CoreLib/src/System/RuntimeType.ActivatorCache.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/RuntimeType.ActivatorCache.cs rename to src/coreclr/System.Private.CoreLib/src/System/RuntimeType.ActivatorCache.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/RuntimeType.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/RuntimeType.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/RuntimeType.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/RuntimeType.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Security/DynamicSecurityMethodAttribute.cs b/src/coreclr/System.Private.CoreLib/src/System/Security/DynamicSecurityMethodAttribute.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Security/DynamicSecurityMethodAttribute.cs rename to src/coreclr/System.Private.CoreLib/src/System/Security/DynamicSecurityMethodAttribute.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/StartupHookProvider.cs b/src/coreclr/System.Private.CoreLib/src/System/StartupHookProvider.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/StartupHookProvider.cs rename to src/coreclr/System.Private.CoreLib/src/System/StartupHookProvider.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/String.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/String.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/String.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/String.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/StubHelpers.cs b/src/coreclr/System.Private.CoreLib/src/System/StubHelpers.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/StubHelpers.cs rename to src/coreclr/System.Private.CoreLib/src/System/StubHelpers.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Text/StringBuilder.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Text/StringBuilder.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Text/StringBuilder.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Text/StringBuilder.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Unix.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Unix.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Unix.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Unix.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Windows.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Windows.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Windows.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.Windows.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandle.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandleOverlapped.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandleOverlapped.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandleOverlapped.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolBoundHandleOverlapped.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolPreAllocatedOverlapped.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolPreAllocatedOverlapped.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/ClrThreadPoolPreAllocatedOverlapped.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/ClrThreadPoolPreAllocatedOverlapped.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/Interlocked.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/Interlocked.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/Interlocked.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/Interlocked.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/LowLevelLifoSemaphore.Unix.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/LowLevelLifoSemaphore.Unix.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/LowLevelLifoSemaphore.Unix.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/LowLevelLifoSemaphore.Unix.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/Monitor.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/Monitor.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/Monitor.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/Monitor.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/Overlapped.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/Overlapped.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/Overlapped.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/Overlapped.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/ProcessorIdCache.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/ProcessorIdCache.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/ProcessorIdCache.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/ProcessorIdCache.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/StackCrawlMark.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/StackCrawlMark.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/StackCrawlMark.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/StackCrawlMark.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/SynchronizationContext.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/SynchronizationContext.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/SynchronizationContext.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/SynchronizationContext.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/Thread.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/Thread.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/Thread.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/Thread.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/ThreadPool.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/ThreadPool.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/ThreadPool.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/ThreadPool.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/Timer.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/Timer.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/Timer.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/Timer.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Threading/WaitHandle.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Threading/WaitHandle.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Threading/WaitHandle.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Threading/WaitHandle.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Type.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/Type.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Type.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/Type.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/TypeLoadException.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/TypeLoadException.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/TypeLoadException.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/TypeLoadException.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/TypeNameParser.cs b/src/coreclr/System.Private.CoreLib/src/System/TypeNameParser.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/TypeNameParser.cs rename to src/coreclr/System.Private.CoreLib/src/System/TypeNameParser.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/TypedReference.cs b/src/coreclr/System.Private.CoreLib/src/System/TypedReference.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/TypedReference.cs rename to src/coreclr/System.Private.CoreLib/src/System/TypedReference.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/ValueType.cs b/src/coreclr/System.Private.CoreLib/src/System/ValueType.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/ValueType.cs rename to src/coreclr/System.Private.CoreLib/src/System/ValueType.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/Variant.cs b/src/coreclr/System.Private.CoreLib/src/System/Variant.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/Variant.cs rename to src/coreclr/System.Private.CoreLib/src/System/Variant.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/WeakReference.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/WeakReference.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/WeakReference.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/WeakReference.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/WeakReference.T.CoreCLR.cs b/src/coreclr/System.Private.CoreLib/src/System/WeakReference.T.CoreCLR.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/WeakReference.T.CoreCLR.cs rename to src/coreclr/System.Private.CoreLib/src/System/WeakReference.T.CoreCLR.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/__Canon.cs b/src/coreclr/System.Private.CoreLib/src/System/__Canon.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/__Canon.cs rename to src/coreclr/System.Private.CoreLib/src/System/__Canon.cs diff --git a/src/coreclr/src/System.Private.CoreLib/src/System/__ComObject.cs b/src/coreclr/System.Private.CoreLib/src/System/__ComObject.cs similarity index 100% rename from src/coreclr/src/System.Private.CoreLib/src/System/__ComObject.cs rename to src/coreclr/System.Private.CoreLib/src/System/__ComObject.cs diff --git a/src/coreclr/src/ToolBox/CMakeLists.txt b/src/coreclr/ToolBox/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ToolBox/CMakeLists.txt rename to src/coreclr/ToolBox/CMakeLists.txt diff --git a/src/coreclr/src/ToolBox/SOS/CMakeLists.txt b/src/coreclr/ToolBox/SOS/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ToolBox/SOS/CMakeLists.txt rename to src/coreclr/ToolBox/SOS/CMakeLists.txt diff --git a/src/coreclr/src/ToolBox/SOS/DIALib/DIALib.il b/src/coreclr/ToolBox/SOS/DIALib/DIALib.il similarity index 100% rename from src/coreclr/src/ToolBox/SOS/DIALib/DIALib.il rename to src/coreclr/ToolBox/SOS/DIALib/DIALib.il diff --git a/src/coreclr/src/ToolBox/SOS/DIALib/DIALib.ilproj b/src/coreclr/ToolBox/SOS/DIALib/DIALib.ilproj similarity index 100% rename from src/coreclr/src/ToolBox/SOS/DIALib/DIALib.ilproj rename to src/coreclr/ToolBox/SOS/DIALib/DIALib.ilproj diff --git a/src/coreclr/src/ToolBox/SOS/DacTableGen/DacTableGen.csproj b/src/coreclr/ToolBox/SOS/DacTableGen/DacTableGen.csproj similarity index 100% rename from src/coreclr/src/ToolBox/SOS/DacTableGen/DacTableGen.csproj rename to src/coreclr/ToolBox/SOS/DacTableGen/DacTableGen.csproj diff --git a/src/coreclr/src/ToolBox/SOS/DacTableGen/MapSymbolProvider.cs b/src/coreclr/ToolBox/SOS/DacTableGen/MapSymbolProvider.cs similarity index 100% rename from src/coreclr/src/ToolBox/SOS/DacTableGen/MapSymbolProvider.cs rename to src/coreclr/ToolBox/SOS/DacTableGen/MapSymbolProvider.cs diff --git a/src/coreclr/src/ToolBox/SOS/DacTableGen/cvconst.cs b/src/coreclr/ToolBox/SOS/DacTableGen/cvconst.cs similarity index 100% rename from src/coreclr/src/ToolBox/SOS/DacTableGen/cvconst.cs rename to src/coreclr/ToolBox/SOS/DacTableGen/cvconst.cs diff --git a/src/coreclr/src/ToolBox/SOS/DacTableGen/diautil.cs b/src/coreclr/ToolBox/SOS/DacTableGen/diautil.cs similarity index 100% rename from src/coreclr/src/ToolBox/SOS/DacTableGen/diautil.cs rename to src/coreclr/ToolBox/SOS/DacTableGen/diautil.cs diff --git a/src/coreclr/src/ToolBox/SOS/DacTableGen/main.cs b/src/coreclr/ToolBox/SOS/DacTableGen/main.cs similarity index 100% rename from src/coreclr/src/ToolBox/SOS/DacTableGen/main.cs rename to src/coreclr/ToolBox/SOS/DacTableGen/main.cs diff --git a/src/coreclr/src/ToolBox/SOS/Directory.Build.props b/src/coreclr/ToolBox/SOS/Directory.Build.props similarity index 100% rename from src/coreclr/src/ToolBox/SOS/Directory.Build.props rename to src/coreclr/ToolBox/SOS/Directory.Build.props diff --git a/src/coreclr/src/ToolBox/SOS/SOS_README.md b/src/coreclr/ToolBox/SOS/SOS_README.md similarity index 100% rename from src/coreclr/src/ToolBox/SOS/SOS_README.md rename to src/coreclr/ToolBox/SOS/SOS_README.md diff --git a/src/coreclr/src/jit/.clang-format b/src/coreclr/ToolBox/superpmi/.clang-format similarity index 100% rename from src/coreclr/src/jit/.clang-format rename to src/coreclr/ToolBox/superpmi/.clang-format diff --git a/src/coreclr/src/ToolBox/superpmi/CMakeLists.txt b/src/coreclr/ToolBox/superpmi/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/CMakeLists.txt rename to src/coreclr/ToolBox/superpmi/CMakeLists.txt diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/CMakeLists.txt b/src/coreclr/ToolBox/superpmi/mcs/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/CMakeLists.txt rename to src/coreclr/ToolBox/superpmi/mcs/CMakeLists.txt diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/commandline.cpp b/src/coreclr/ToolBox/superpmi/mcs/commandline.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/commandline.cpp rename to src/coreclr/ToolBox/superpmi/mcs/commandline.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/commandline.h b/src/coreclr/ToolBox/superpmi/mcs/commandline.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/commandline.h rename to src/coreclr/ToolBox/superpmi/mcs/commandline.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/mcs.cpp b/src/coreclr/ToolBox/superpmi/mcs/mcs.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/mcs.cpp rename to src/coreclr/ToolBox/superpmi/mcs/mcs.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/mcs.h b/src/coreclr/ToolBox/superpmi/mcs/mcs.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/mcs.h rename to src/coreclr/ToolBox/superpmi/mcs/mcs.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/removedup.cpp b/src/coreclr/ToolBox/superpmi/mcs/removedup.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/removedup.cpp rename to src/coreclr/ToolBox/superpmi/mcs/removedup.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/removedup.h b/src/coreclr/ToolBox/superpmi/mcs/removedup.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/removedup.h rename to src/coreclr/ToolBox/superpmi/mcs/removedup.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbasmdump.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbasmdump.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbasmdump.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbasmdump.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbasmdump.h b/src/coreclr/ToolBox/superpmi/mcs/verbasmdump.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbasmdump.h rename to src/coreclr/ToolBox/superpmi/mcs/verbasmdump.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbconcat.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbconcat.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbconcat.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbconcat.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbconcat.h b/src/coreclr/ToolBox/superpmi/mcs/verbconcat.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbconcat.h rename to src/coreclr/ToolBox/superpmi/mcs/verbconcat.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbdump.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbdump.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbdump.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbdump.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbdump.h b/src/coreclr/ToolBox/superpmi/mcs/verbdump.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbdump.h rename to src/coreclr/ToolBox/superpmi/mcs/verbdump.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbdumpmap.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbdumpmap.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbdumpmap.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbdumpmap.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbdumpmap.h b/src/coreclr/ToolBox/superpmi/mcs/verbdumpmap.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbdumpmap.h rename to src/coreclr/ToolBox/superpmi/mcs/verbdumpmap.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbdumptoc.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbdumptoc.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbdumptoc.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbdumptoc.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbdumptoc.h b/src/coreclr/ToolBox/superpmi/mcs/verbdumptoc.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbdumptoc.h rename to src/coreclr/ToolBox/superpmi/mcs/verbdumptoc.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbfracture.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbfracture.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbfracture.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbfracture.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbfracture.h b/src/coreclr/ToolBox/superpmi/mcs/verbfracture.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbfracture.h rename to src/coreclr/ToolBox/superpmi/mcs/verbfracture.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbildump.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbildump.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbildump.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbildump.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbildump.h b/src/coreclr/ToolBox/superpmi/mcs/verbildump.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbildump.h rename to src/coreclr/ToolBox/superpmi/mcs/verbildump.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbinteg.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbinteg.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbinteg.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbinteg.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbinteg.h b/src/coreclr/ToolBox/superpmi/mcs/verbinteg.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbinteg.h rename to src/coreclr/ToolBox/superpmi/mcs/verbinteg.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbmerge.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbmerge.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbmerge.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbmerge.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbmerge.h b/src/coreclr/ToolBox/superpmi/mcs/verbmerge.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbmerge.h rename to src/coreclr/ToolBox/superpmi/mcs/verbmerge.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbprintjiteeversion.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbprintjiteeversion.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbprintjiteeversion.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbprintjiteeversion.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbprintjiteeversion.h b/src/coreclr/ToolBox/superpmi/mcs/verbprintjiteeversion.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbprintjiteeversion.h rename to src/coreclr/ToolBox/superpmi/mcs/verbprintjiteeversion.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbremovedup.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbremovedup.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbremovedup.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbremovedup.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbremovedup.h b/src/coreclr/ToolBox/superpmi/mcs/verbremovedup.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbremovedup.h rename to src/coreclr/ToolBox/superpmi/mcs/verbremovedup.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbstat.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbstat.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbstat.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbstat.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbstat.h b/src/coreclr/ToolBox/superpmi/mcs/verbstat.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbstat.h rename to src/coreclr/ToolBox/superpmi/mcs/verbstat.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbstrip.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbstrip.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbstrip.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbstrip.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbstrip.h b/src/coreclr/ToolBox/superpmi/mcs/verbstrip.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbstrip.h rename to src/coreclr/ToolBox/superpmi/mcs/verbstrip.h diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbtoc.cpp b/src/coreclr/ToolBox/superpmi/mcs/verbtoc.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbtoc.cpp rename to src/coreclr/ToolBox/superpmi/mcs/verbtoc.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/mcs/verbtoc.h b/src/coreclr/ToolBox/superpmi/mcs/verbtoc.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/mcs/verbtoc.h rename to src/coreclr/ToolBox/superpmi/mcs/verbtoc.h diff --git a/src/coreclr/src/ToolBox/superpmi/readme.md b/src/coreclr/ToolBox/superpmi/readme.md similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/readme.md rename to src/coreclr/ToolBox/superpmi/readme.md diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/asmdumper.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/asmdumper.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/asmdumper.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/asmdumper.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/asmdumper.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/asmdumper.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/asmdumper.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/asmdumper.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/callutils.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/callutils.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/callutils.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/callutils.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/callutils.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/callutils.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/callutils.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/callutils.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/compileresult.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/compileresult.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/compileresult.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/compileresult.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/compileresult.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/compileresult.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/compileresult.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/compileresult.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/crlwmlist.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/crlwmlist.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/crlwmlist.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/crlwmlist.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/errorhandling.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/errorhandling.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/errorhandling.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/errorhandling.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/errorhandling.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/errorhandling.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/errorhandling.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/errorhandling.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/hash.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/hash.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/hash.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/hash.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/hash.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/hash.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/hash.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/hash.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/icorjitcompilerimpl.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/icorjitcompilerimpl.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/icorjitcompilerimpl.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/icorjitcompilerimpl.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/icorjithostimpl.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/icorjithostimpl.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/icorjithostimpl.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/icorjithostimpl.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/icorjitinfoimpl.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/icorjitinfoimpl.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/icorjitinfoimpl.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/icorjitinfoimpl.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/lightweightmap.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/lightweightmap.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/lightweightmap.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/lightweightmap.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/logging.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/logging.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/logging.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/logging.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/logging.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/logging.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/logging.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/logging.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/lwmlist.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/lwmlist.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/lwmlist.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/lwmlist.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/mclist.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/mclist.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/mclist.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/mclist.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/mclist.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/mclist.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/mclist.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/mclist.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontext.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontext.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontext.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontext.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontext.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontext.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontext.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontext.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontextiterator.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontextiterator.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontextiterator.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontextiterator.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontextiterator.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontextiterator.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontextiterator.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontextiterator.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontextreader.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontextreader.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontextreader.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontextreader.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontextreader.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontextreader.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/methodcontextreader.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/methodcontextreader.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/runtimedetails.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/runtimedetails.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/runtimedetails.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/runtimedetails.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/simpletimer.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/simpletimer.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/simpletimer.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/simpletimer.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/simpletimer.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/simpletimer.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/simpletimer.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/simpletimer.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmidumphelper.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/spmidumphelper.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmidumphelper.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/spmidumphelper.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmidumphelper.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/spmidumphelper.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmidumphelper.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/spmidumphelper.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmirecordhelper.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/spmirecordhelper.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmirecordhelper.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/spmirecordhelper.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmiutil.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/spmiutil.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmiutil.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/spmiutil.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmiutil.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/spmiutil.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/spmiutil.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/spmiutil.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/standardpch.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/standardpch.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/standardpch.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/standardpch.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/tocfile.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/tocfile.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/tocfile.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/tocfile.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/tocfile.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/tocfile.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/tocfile.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/tocfile.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/typeutils.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shared/typeutils.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/typeutils.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shared/typeutils.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shared/typeutils.h b/src/coreclr/ToolBox/superpmi/superpmi-shared/typeutils.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shared/typeutils.h rename to src/coreclr/ToolBox/superpmi/superpmi-shared/typeutils.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/CMakeLists.txt b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/CMakeLists.txt rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/CMakeLists.txt diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/icorjitcompiler.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/icorjitinfo.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/jithost.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/jithost.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/jithost.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/jithost.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/jithost.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/jithost.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/jithost.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/jithost.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.def b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.def similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.def rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.def diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-collector/superpmi-shim-collector.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/CMakeLists.txt b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/CMakeLists.txt rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/CMakeLists.txt diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/icorjitcompiler.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/icorjitinfo.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/jithost.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/jithost.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/jithost.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/jithost.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/jithost.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/jithost.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/jithost.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/jithost.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/methodcallsummarizer.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.def b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.def similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.def rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.def diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-counter/superpmi-shim-counter.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/CMakeLists.txt b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/CMakeLists.txt rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/CMakeLists.txt diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/icorjitcompiler.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/icorjitinfo.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/jithost.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/jithost.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/jithost.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/jithost.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/jithost.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/jithost.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/jithost.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/jithost.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.cpp b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.cpp rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.def b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.def similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.def rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.def diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.h b/src/coreclr/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.h rename to src/coreclr/ToolBox/superpmi/superpmi-shim-simple/superpmi-shim-simple.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/CMakeLists.txt b/src/coreclr/ToolBox/superpmi/superpmi/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/CMakeLists.txt rename to src/coreclr/ToolBox/superpmi/superpmi/CMakeLists.txt diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/commandline.cpp b/src/coreclr/ToolBox/superpmi/superpmi/commandline.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/commandline.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/commandline.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/commandline.h b/src/coreclr/ToolBox/superpmi/superpmi/commandline.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/commandline.h rename to src/coreclr/ToolBox/superpmi/superpmi/commandline.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/cycletimer.cpp b/src/coreclr/ToolBox/superpmi/superpmi/cycletimer.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/cycletimer.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/cycletimer.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/cycletimer.h b/src/coreclr/ToolBox/superpmi/superpmi/cycletimer.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/cycletimer.h rename to src/coreclr/ToolBox/superpmi/superpmi/cycletimer.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/icorjitinfo.cpp b/src/coreclr/ToolBox/superpmi/superpmi/icorjitinfo.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/icorjitinfo.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/icorjitinfo.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/icorjitinfo.h b/src/coreclr/ToolBox/superpmi/superpmi/icorjitinfo.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/icorjitinfo.h rename to src/coreclr/ToolBox/superpmi/superpmi/icorjitinfo.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/jitdebugger.cpp b/src/coreclr/ToolBox/superpmi/superpmi/jitdebugger.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/jitdebugger.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/jitdebugger.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/jitdebugger.h b/src/coreclr/ToolBox/superpmi/superpmi/jitdebugger.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/jitdebugger.h rename to src/coreclr/ToolBox/superpmi/superpmi/jitdebugger.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/jithost.cpp b/src/coreclr/ToolBox/superpmi/superpmi/jithost.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/jithost.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/jithost.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/jithost.h b/src/coreclr/ToolBox/superpmi/superpmi/jithost.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/jithost.h rename to src/coreclr/ToolBox/superpmi/superpmi/jithost.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/jitinstance.cpp b/src/coreclr/ToolBox/superpmi/superpmi/jitinstance.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/jitinstance.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/jitinstance.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/jitinstance.h b/src/coreclr/ToolBox/superpmi/superpmi/jitinstance.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/jitinstance.h rename to src/coreclr/ToolBox/superpmi/superpmi/jitinstance.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/methodstatsemitter.cpp b/src/coreclr/ToolBox/superpmi/superpmi/methodstatsemitter.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/methodstatsemitter.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/methodstatsemitter.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/methodstatsemitter.h b/src/coreclr/ToolBox/superpmi/superpmi/methodstatsemitter.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/methodstatsemitter.h rename to src/coreclr/ToolBox/superpmi/superpmi/methodstatsemitter.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/neardiffer.cpp b/src/coreclr/ToolBox/superpmi/superpmi/neardiffer.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/neardiffer.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/neardiffer.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/neardiffer.h b/src/coreclr/ToolBox/superpmi/superpmi/neardiffer.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/neardiffer.h rename to src/coreclr/ToolBox/superpmi/superpmi/neardiffer.h diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/parallelsuperpmi.cpp b/src/coreclr/ToolBox/superpmi/superpmi/parallelsuperpmi.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/parallelsuperpmi.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/parallelsuperpmi.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/superpmi.cpp b/src/coreclr/ToolBox/superpmi/superpmi/superpmi.cpp similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/superpmi.cpp rename to src/coreclr/ToolBox/superpmi/superpmi/superpmi.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/superpmi/superpmi.h b/src/coreclr/ToolBox/superpmi/superpmi/superpmi.h similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/superpmi/superpmi.h rename to src/coreclr/ToolBox/superpmi/superpmi/superpmi.h diff --git a/src/coreclr/src/binder/CMakeLists.txt b/src/coreclr/binder/CMakeLists.txt similarity index 100% rename from src/coreclr/src/binder/CMakeLists.txt rename to src/coreclr/binder/CMakeLists.txt diff --git a/src/coreclr/src/binder/activitytracker.cpp b/src/coreclr/binder/activitytracker.cpp similarity index 100% rename from src/coreclr/src/binder/activitytracker.cpp rename to src/coreclr/binder/activitytracker.cpp diff --git a/src/coreclr/src/binder/applicationcontext.cpp b/src/coreclr/binder/applicationcontext.cpp similarity index 100% rename from src/coreclr/src/binder/applicationcontext.cpp rename to src/coreclr/binder/applicationcontext.cpp diff --git a/src/coreclr/src/binder/assembly.cpp b/src/coreclr/binder/assembly.cpp similarity index 100% rename from src/coreclr/src/binder/assembly.cpp rename to src/coreclr/binder/assembly.cpp diff --git a/src/coreclr/src/binder/assemblybinder.cpp b/src/coreclr/binder/assemblybinder.cpp similarity index 100% rename from src/coreclr/src/binder/assemblybinder.cpp rename to src/coreclr/binder/assemblybinder.cpp diff --git a/src/coreclr/src/binder/assemblyidentitycache.cpp b/src/coreclr/binder/assemblyidentitycache.cpp similarity index 100% rename from src/coreclr/src/binder/assemblyidentitycache.cpp rename to src/coreclr/binder/assemblyidentitycache.cpp diff --git a/src/coreclr/src/binder/assemblyname.cpp b/src/coreclr/binder/assemblyname.cpp similarity index 100% rename from src/coreclr/src/binder/assemblyname.cpp rename to src/coreclr/binder/assemblyname.cpp diff --git a/src/coreclr/src/binder/bindertracing.cpp b/src/coreclr/binder/bindertracing.cpp similarity index 100% rename from src/coreclr/src/binder/bindertracing.cpp rename to src/coreclr/binder/bindertracing.cpp diff --git a/src/coreclr/src/binder/clrprivbinderassemblyloadcontext.cpp b/src/coreclr/binder/clrprivbinderassemblyloadcontext.cpp similarity index 100% rename from src/coreclr/src/binder/clrprivbinderassemblyloadcontext.cpp rename to src/coreclr/binder/clrprivbinderassemblyloadcontext.cpp diff --git a/src/coreclr/src/binder/clrprivbindercoreclr.cpp b/src/coreclr/binder/clrprivbindercoreclr.cpp similarity index 100% rename from src/coreclr/src/binder/clrprivbindercoreclr.cpp rename to src/coreclr/binder/clrprivbindercoreclr.cpp diff --git a/src/coreclr/src/binder/coreclrbindercommon.cpp b/src/coreclr/binder/coreclrbindercommon.cpp similarity index 100% rename from src/coreclr/src/binder/coreclrbindercommon.cpp rename to src/coreclr/binder/coreclrbindercommon.cpp diff --git a/src/coreclr/src/binder/failurecache.cpp b/src/coreclr/binder/failurecache.cpp similarity index 100% rename from src/coreclr/src/binder/failurecache.cpp rename to src/coreclr/binder/failurecache.cpp diff --git a/src/coreclr/src/binder/fusionassemblyname.cpp b/src/coreclr/binder/fusionassemblyname.cpp similarity index 100% rename from src/coreclr/src/binder/fusionassemblyname.cpp rename to src/coreclr/binder/fusionassemblyname.cpp diff --git a/src/coreclr/src/binder/inc/activitytracker.h b/src/coreclr/binder/inc/activitytracker.h similarity index 100% rename from src/coreclr/src/binder/inc/activitytracker.h rename to src/coreclr/binder/inc/activitytracker.h diff --git a/src/coreclr/src/binder/inc/applicationcontext.hpp b/src/coreclr/binder/inc/applicationcontext.hpp similarity index 100% rename from src/coreclr/src/binder/inc/applicationcontext.hpp rename to src/coreclr/binder/inc/applicationcontext.hpp diff --git a/src/coreclr/src/binder/inc/applicationcontext.inl b/src/coreclr/binder/inc/applicationcontext.inl similarity index 100% rename from src/coreclr/src/binder/inc/applicationcontext.inl rename to src/coreclr/binder/inc/applicationcontext.inl diff --git a/src/coreclr/src/binder/inc/assembly.hpp b/src/coreclr/binder/inc/assembly.hpp similarity index 100% rename from src/coreclr/src/binder/inc/assembly.hpp rename to src/coreclr/binder/inc/assembly.hpp diff --git a/src/coreclr/src/binder/inc/assembly.inl b/src/coreclr/binder/inc/assembly.inl similarity index 100% rename from src/coreclr/src/binder/inc/assembly.inl rename to src/coreclr/binder/inc/assembly.inl diff --git a/src/coreclr/src/binder/inc/assemblybinder.hpp b/src/coreclr/binder/inc/assemblybinder.hpp similarity index 100% rename from src/coreclr/src/binder/inc/assemblybinder.hpp rename to src/coreclr/binder/inc/assemblybinder.hpp diff --git a/src/coreclr/src/binder/inc/assemblyentry.hpp b/src/coreclr/binder/inc/assemblyentry.hpp similarity index 100% rename from src/coreclr/src/binder/inc/assemblyentry.hpp rename to src/coreclr/binder/inc/assemblyentry.hpp diff --git a/src/coreclr/src/binder/inc/assemblyhashtraits.hpp b/src/coreclr/binder/inc/assemblyhashtraits.hpp similarity index 100% rename from src/coreclr/src/binder/inc/assemblyhashtraits.hpp rename to src/coreclr/binder/inc/assemblyhashtraits.hpp diff --git a/src/coreclr/src/binder/inc/assemblyidentity.hpp b/src/coreclr/binder/inc/assemblyidentity.hpp similarity index 100% rename from src/coreclr/src/binder/inc/assemblyidentity.hpp rename to src/coreclr/binder/inc/assemblyidentity.hpp diff --git a/src/coreclr/src/binder/inc/assemblyidentitycache.hpp b/src/coreclr/binder/inc/assemblyidentitycache.hpp similarity index 100% rename from src/coreclr/src/binder/inc/assemblyidentitycache.hpp rename to src/coreclr/binder/inc/assemblyidentitycache.hpp diff --git a/src/coreclr/src/binder/inc/assemblyname.hpp b/src/coreclr/binder/inc/assemblyname.hpp similarity index 100% rename from src/coreclr/src/binder/inc/assemblyname.hpp rename to src/coreclr/binder/inc/assemblyname.hpp diff --git a/src/coreclr/src/binder/inc/assemblyname.inl b/src/coreclr/binder/inc/assemblyname.inl similarity index 100% rename from src/coreclr/src/binder/inc/assemblyname.inl rename to src/coreclr/binder/inc/assemblyname.inl diff --git a/src/coreclr/src/binder/inc/assemblyversion.hpp b/src/coreclr/binder/inc/assemblyversion.hpp similarity index 100% rename from src/coreclr/src/binder/inc/assemblyversion.hpp rename to src/coreclr/binder/inc/assemblyversion.hpp diff --git a/src/coreclr/src/binder/inc/assemblyversion.inl b/src/coreclr/binder/inc/assemblyversion.inl similarity index 100% rename from src/coreclr/src/binder/inc/assemblyversion.inl rename to src/coreclr/binder/inc/assemblyversion.inl diff --git a/src/coreclr/src/binder/inc/bindertracing.h b/src/coreclr/binder/inc/bindertracing.h similarity index 100% rename from src/coreclr/src/binder/inc/bindertracing.h rename to src/coreclr/binder/inc/bindertracing.h diff --git a/src/coreclr/src/binder/inc/bindertypes.hpp b/src/coreclr/binder/inc/bindertypes.hpp similarity index 100% rename from src/coreclr/src/binder/inc/bindertypes.hpp rename to src/coreclr/binder/inc/bindertypes.hpp diff --git a/src/coreclr/src/binder/inc/bindresult.hpp b/src/coreclr/binder/inc/bindresult.hpp similarity index 100% rename from src/coreclr/src/binder/inc/bindresult.hpp rename to src/coreclr/binder/inc/bindresult.hpp diff --git a/src/coreclr/src/binder/inc/bindresult.inl b/src/coreclr/binder/inc/bindresult.inl similarity index 100% rename from src/coreclr/src/binder/inc/bindresult.inl rename to src/coreclr/binder/inc/bindresult.inl diff --git a/src/coreclr/src/binder/inc/clrprivbinderassemblyloadcontext.h b/src/coreclr/binder/inc/clrprivbinderassemblyloadcontext.h similarity index 100% rename from src/coreclr/src/binder/inc/clrprivbinderassemblyloadcontext.h rename to src/coreclr/binder/inc/clrprivbinderassemblyloadcontext.h diff --git a/src/coreclr/src/binder/inc/clrprivbindercoreclr.h b/src/coreclr/binder/inc/clrprivbindercoreclr.h similarity index 100% rename from src/coreclr/src/binder/inc/clrprivbindercoreclr.h rename to src/coreclr/binder/inc/clrprivbindercoreclr.h diff --git a/src/coreclr/src/binder/inc/contextentry.hpp b/src/coreclr/binder/inc/contextentry.hpp similarity index 100% rename from src/coreclr/src/binder/inc/contextentry.hpp rename to src/coreclr/binder/inc/contextentry.hpp diff --git a/src/coreclr/src/binder/inc/coreclrbindercommon.h b/src/coreclr/binder/inc/coreclrbindercommon.h similarity index 100% rename from src/coreclr/src/binder/inc/coreclrbindercommon.h rename to src/coreclr/binder/inc/coreclrbindercommon.h diff --git a/src/coreclr/src/binder/inc/failurecache.hpp b/src/coreclr/binder/inc/failurecache.hpp similarity index 100% rename from src/coreclr/src/binder/inc/failurecache.hpp rename to src/coreclr/binder/inc/failurecache.hpp diff --git a/src/coreclr/src/binder/inc/failurecachehashtraits.hpp b/src/coreclr/binder/inc/failurecachehashtraits.hpp similarity index 100% rename from src/coreclr/src/binder/inc/failurecachehashtraits.hpp rename to src/coreclr/binder/inc/failurecachehashtraits.hpp diff --git a/src/coreclr/src/binder/inc/fusionassemblyname.hpp b/src/coreclr/binder/inc/fusionassemblyname.hpp similarity index 100% rename from src/coreclr/src/binder/inc/fusionassemblyname.hpp rename to src/coreclr/binder/inc/fusionassemblyname.hpp diff --git a/src/coreclr/src/binder/inc/fusionhelpers.hpp b/src/coreclr/binder/inc/fusionhelpers.hpp similarity index 100% rename from src/coreclr/src/binder/inc/fusionhelpers.hpp rename to src/coreclr/binder/inc/fusionhelpers.hpp diff --git a/src/coreclr/src/binder/inc/loadcontext.hpp b/src/coreclr/binder/inc/loadcontext.hpp similarity index 100% rename from src/coreclr/src/binder/inc/loadcontext.hpp rename to src/coreclr/binder/inc/loadcontext.hpp diff --git a/src/coreclr/src/binder/inc/loadcontext.inl b/src/coreclr/binder/inc/loadcontext.inl similarity index 100% rename from src/coreclr/src/binder/inc/loadcontext.inl rename to src/coreclr/binder/inc/loadcontext.inl diff --git a/src/coreclr/src/binder/inc/stringlexer.hpp b/src/coreclr/binder/inc/stringlexer.hpp similarity index 100% rename from src/coreclr/src/binder/inc/stringlexer.hpp rename to src/coreclr/binder/inc/stringlexer.hpp diff --git a/src/coreclr/src/binder/inc/stringlexer.inl b/src/coreclr/binder/inc/stringlexer.inl similarity index 100% rename from src/coreclr/src/binder/inc/stringlexer.inl rename to src/coreclr/binder/inc/stringlexer.inl diff --git a/src/coreclr/src/binder/inc/textualidentityparser.hpp b/src/coreclr/binder/inc/textualidentityparser.hpp similarity index 100% rename from src/coreclr/src/binder/inc/textualidentityparser.hpp rename to src/coreclr/binder/inc/textualidentityparser.hpp diff --git a/src/coreclr/src/binder/inc/utils.hpp b/src/coreclr/binder/inc/utils.hpp similarity index 100% rename from src/coreclr/src/binder/inc/utils.hpp rename to src/coreclr/binder/inc/utils.hpp diff --git a/src/coreclr/src/binder/inc/variables.hpp b/src/coreclr/binder/inc/variables.hpp similarity index 100% rename from src/coreclr/src/binder/inc/variables.hpp rename to src/coreclr/binder/inc/variables.hpp diff --git a/src/coreclr/src/binder/stringlexer.cpp b/src/coreclr/binder/stringlexer.cpp similarity index 100% rename from src/coreclr/src/binder/stringlexer.cpp rename to src/coreclr/binder/stringlexer.cpp diff --git a/src/coreclr/src/binder/textualidentityparser.cpp b/src/coreclr/binder/textualidentityparser.cpp similarity index 100% rename from src/coreclr/src/binder/textualidentityparser.cpp rename to src/coreclr/binder/textualidentityparser.cpp diff --git a/src/coreclr/src/binder/utils.cpp b/src/coreclr/binder/utils.cpp similarity index 100% rename from src/coreclr/src/binder/utils.cpp rename to src/coreclr/binder/utils.cpp diff --git a/src/coreclr/src/binder/variables.cpp b/src/coreclr/binder/variables.cpp similarity index 100% rename from src/coreclr/src/binder/variables.cpp rename to src/coreclr/binder/variables.cpp diff --git a/src/coreclr/build-runtime.cmd b/src/coreclr/build-runtime.cmd index dd14dce..f5aa11e 100644 --- a/src/coreclr/build-runtime.cmd +++ b/src/coreclr/build-runtime.cmd @@ -364,7 +364,7 @@ REM === Restore optimization profile data REM === REM ========================================================================================= -set OptDataProjectFilePath=%__ProjectDir%\src\.nuget\optdata\optdata.csproj +set OptDataProjectFilePath=%__ProjectDir%\.nuget\optdata\optdata.csproj if %__RestoreOptData% EQU 1 ( echo %__MsgPrefix%Restoring the OptimizationData Package set "__BinLog=\"%__LogsDir%\OptRestore_%__TargetOS%__%__BuildArch%__%__BuildType%.binlog\"" diff --git a/src/coreclr/build-runtime.sh b/src/coreclr/build-runtime.sh index f8129a7..25cf3e0 100755 --- a/src/coreclr/build-runtime.sh +++ b/src/coreclr/build-runtime.sh @@ -41,7 +41,7 @@ setup_dirs_local() restore_optdata() { - local OptDataProjectFilePath="$__ProjectRoot/src/.nuget/optdata/optdata.csproj" + local OptDataProjectFilePath="$__ProjectRoot/.nuget/optdata/optdata.csproj" if [[ "$__SkipRestoreOptData" == 0 && "$__IsMSBuildOnNETCoreSupported" == 1 ]]; then echo "Restoring the OptimizationData package" "$__RepoRootDir/eng/common/msbuild.sh" /clp:nosummary $__ArcadeScriptArgs \ diff --git a/src/coreclr/src/classlibnative/CMakeLists.txt b/src/coreclr/classlibnative/CMakeLists.txt similarity index 100% rename from src/coreclr/src/classlibnative/CMakeLists.txt rename to src/coreclr/classlibnative/CMakeLists.txt diff --git a/src/coreclr/src/classlibnative/bcltype/CMakeLists.txt b/src/coreclr/classlibnative/bcltype/CMakeLists.txt similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/CMakeLists.txt rename to src/coreclr/classlibnative/bcltype/CMakeLists.txt diff --git a/src/coreclr/src/classlibnative/bcltype/arraynative.cpp b/src/coreclr/classlibnative/bcltype/arraynative.cpp similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/arraynative.cpp rename to src/coreclr/classlibnative/bcltype/arraynative.cpp diff --git a/src/coreclr/src/classlibnative/bcltype/arraynative.h b/src/coreclr/classlibnative/bcltype/arraynative.h similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/arraynative.h rename to src/coreclr/classlibnative/bcltype/arraynative.h diff --git a/src/coreclr/src/classlibnative/bcltype/arraynative.inl b/src/coreclr/classlibnative/bcltype/arraynative.inl similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/arraynative.inl rename to src/coreclr/classlibnative/bcltype/arraynative.inl diff --git a/src/coreclr/src/classlibnative/bcltype/oavariant.cpp b/src/coreclr/classlibnative/bcltype/oavariant.cpp similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/oavariant.cpp rename to src/coreclr/classlibnative/bcltype/oavariant.cpp diff --git a/src/coreclr/src/classlibnative/bcltype/oavariant.h b/src/coreclr/classlibnative/bcltype/oavariant.h similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/oavariant.h rename to src/coreclr/classlibnative/bcltype/oavariant.h diff --git a/src/coreclr/src/classlibnative/bcltype/objectnative.cpp b/src/coreclr/classlibnative/bcltype/objectnative.cpp similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/objectnative.cpp rename to src/coreclr/classlibnative/bcltype/objectnative.cpp diff --git a/src/coreclr/src/classlibnative/bcltype/objectnative.h b/src/coreclr/classlibnative/bcltype/objectnative.h similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/objectnative.h rename to src/coreclr/classlibnative/bcltype/objectnative.h diff --git a/src/coreclr/src/classlibnative/bcltype/stringnative.cpp b/src/coreclr/classlibnative/bcltype/stringnative.cpp similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/stringnative.cpp rename to src/coreclr/classlibnative/bcltype/stringnative.cpp diff --git a/src/coreclr/src/classlibnative/bcltype/stringnative.h b/src/coreclr/classlibnative/bcltype/stringnative.h similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/stringnative.h rename to src/coreclr/classlibnative/bcltype/stringnative.h diff --git a/src/coreclr/src/classlibnative/bcltype/system.cpp b/src/coreclr/classlibnative/bcltype/system.cpp similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/system.cpp rename to src/coreclr/classlibnative/bcltype/system.cpp diff --git a/src/coreclr/src/classlibnative/bcltype/system.h b/src/coreclr/classlibnative/bcltype/system.h similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/system.h rename to src/coreclr/classlibnative/bcltype/system.h diff --git a/src/coreclr/src/classlibnative/bcltype/varargsnative.cpp b/src/coreclr/classlibnative/bcltype/varargsnative.cpp similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/varargsnative.cpp rename to src/coreclr/classlibnative/bcltype/varargsnative.cpp diff --git a/src/coreclr/src/classlibnative/bcltype/varargsnative.h b/src/coreclr/classlibnative/bcltype/varargsnative.h similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/varargsnative.h rename to src/coreclr/classlibnative/bcltype/varargsnative.h diff --git a/src/coreclr/src/classlibnative/bcltype/variant.cpp b/src/coreclr/classlibnative/bcltype/variant.cpp similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/variant.cpp rename to src/coreclr/classlibnative/bcltype/variant.cpp diff --git a/src/coreclr/src/classlibnative/bcltype/variant.h b/src/coreclr/classlibnative/bcltype/variant.h similarity index 100% rename from src/coreclr/src/classlibnative/bcltype/variant.h rename to src/coreclr/classlibnative/bcltype/variant.h diff --git a/src/coreclr/src/classlibnative/float/CMakeLists.txt b/src/coreclr/classlibnative/float/CMakeLists.txt similarity index 100% rename from src/coreclr/src/classlibnative/float/CMakeLists.txt rename to src/coreclr/classlibnative/float/CMakeLists.txt diff --git a/src/coreclr/src/classlibnative/float/floatdouble.cpp b/src/coreclr/classlibnative/float/floatdouble.cpp similarity index 100% rename from src/coreclr/src/classlibnative/float/floatdouble.cpp rename to src/coreclr/classlibnative/float/floatdouble.cpp diff --git a/src/coreclr/src/classlibnative/float/floatsingle.cpp b/src/coreclr/classlibnative/float/floatsingle.cpp similarity index 100% rename from src/coreclr/src/classlibnative/float/floatsingle.cpp rename to src/coreclr/classlibnative/float/floatsingle.cpp diff --git a/src/coreclr/src/classlibnative/inc/floatdouble.h b/src/coreclr/classlibnative/inc/floatdouble.h similarity index 100% rename from src/coreclr/src/classlibnative/inc/floatdouble.h rename to src/coreclr/classlibnative/inc/floatdouble.h diff --git a/src/coreclr/src/classlibnative/inc/floatsingle.h b/src/coreclr/classlibnative/inc/floatsingle.h similarity index 100% rename from src/coreclr/src/classlibnative/inc/floatsingle.h rename to src/coreclr/classlibnative/inc/floatsingle.h diff --git a/src/coreclr/src/classlibnative/inc/nls.h b/src/coreclr/classlibnative/inc/nls.h similarity index 100% rename from src/coreclr/src/classlibnative/inc/nls.h rename to src/coreclr/classlibnative/inc/nls.h diff --git a/src/coreclr/src/cpp.hint b/src/coreclr/cpp.hint similarity index 100% rename from src/coreclr/src/cpp.hint rename to src/coreclr/cpp.hint diff --git a/src/coreclr/src/debug/CMakeLists.txt b/src/coreclr/debug/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/CMakeLists.txt rename to src/coreclr/debug/CMakeLists.txt diff --git a/src/coreclr/src/debug/createdump/CMakeLists.txt b/src/coreclr/debug/createdump/CMakeLists.txt similarity index 97% rename from src/coreclr/src/debug/createdump/CMakeLists.txt rename to src/coreclr/debug/createdump/CMakeLists.txt index 71b6083..2e55b9a 100644 --- a/src/coreclr/src/debug/createdump/CMakeLists.txt +++ b/src/coreclr/debug/createdump/CMakeLists.txt @@ -4,7 +4,7 @@ set(CMAKE_INCLUDE_CURRENT_DIR ON) include_directories(BEFORE ${VM_DIR}) if(CLR_CMAKE_HOST_OSX) - include_directories(${CLR_DIR}/src/inc/llvm) + include_directories(${CLR_DIR}/inc/llvm) endif(CLR_CMAKE_HOST_OSX) remove_definitions(-DUNICODE) diff --git a/src/coreclr/src/debug/createdump/config.h.in b/src/coreclr/debug/createdump/config.h.in similarity index 100% rename from src/coreclr/src/debug/createdump/config.h.in rename to src/coreclr/debug/createdump/config.h.in diff --git a/src/coreclr/src/debug/createdump/configure.cmake b/src/coreclr/debug/createdump/configure.cmake similarity index 100% rename from src/coreclr/src/debug/createdump/configure.cmake rename to src/coreclr/debug/createdump/configure.cmake diff --git a/src/coreclr/src/debug/createdump/crashinfo.cpp b/src/coreclr/debug/createdump/crashinfo.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/crashinfo.cpp rename to src/coreclr/debug/createdump/crashinfo.cpp diff --git a/src/coreclr/src/debug/createdump/crashinfo.h b/src/coreclr/debug/createdump/crashinfo.h similarity index 100% rename from src/coreclr/src/debug/createdump/crashinfo.h rename to src/coreclr/debug/createdump/crashinfo.h diff --git a/src/coreclr/src/debug/createdump/crashinfomac.cpp b/src/coreclr/debug/createdump/crashinfomac.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/crashinfomac.cpp rename to src/coreclr/debug/createdump/crashinfomac.cpp diff --git a/src/coreclr/src/debug/createdump/crashinfounix.cpp b/src/coreclr/debug/createdump/crashinfounix.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/crashinfounix.cpp rename to src/coreclr/debug/createdump/crashinfounix.cpp diff --git a/src/coreclr/src/debug/createdump/createdump.h b/src/coreclr/debug/createdump/createdump.h similarity index 100% rename from src/coreclr/src/debug/createdump/createdump.h rename to src/coreclr/debug/createdump/createdump.h diff --git a/src/coreclr/src/debug/createdump/createdump.rc b/src/coreclr/debug/createdump/createdump.rc similarity index 100% rename from src/coreclr/src/debug/createdump/createdump.rc rename to src/coreclr/debug/createdump/createdump.rc diff --git a/src/coreclr/src/debug/createdump/createdumpunix.cpp b/src/coreclr/debug/createdump/createdumpunix.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/createdumpunix.cpp rename to src/coreclr/debug/createdump/createdumpunix.cpp diff --git a/src/coreclr/src/debug/createdump/createdumpwindows.cpp b/src/coreclr/debug/createdump/createdumpwindows.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/createdumpwindows.cpp rename to src/coreclr/debug/createdump/createdumpwindows.cpp diff --git a/src/coreclr/src/debug/createdump/datatarget.cpp b/src/coreclr/debug/createdump/datatarget.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/datatarget.cpp rename to src/coreclr/debug/createdump/datatarget.cpp diff --git a/src/coreclr/src/debug/createdump/datatarget.h b/src/coreclr/debug/createdump/datatarget.h similarity index 100% rename from src/coreclr/src/debug/createdump/datatarget.h rename to src/coreclr/debug/createdump/datatarget.h diff --git a/src/coreclr/src/debug/createdump/dumpname.cpp b/src/coreclr/debug/createdump/dumpname.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/dumpname.cpp rename to src/coreclr/debug/createdump/dumpname.cpp diff --git a/src/coreclr/src/debug/createdump/dumpwriter.cpp b/src/coreclr/debug/createdump/dumpwriter.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/dumpwriter.cpp rename to src/coreclr/debug/createdump/dumpwriter.cpp diff --git a/src/coreclr/src/debug/createdump/dumpwriter.h b/src/coreclr/debug/createdump/dumpwriter.h similarity index 100% rename from src/coreclr/src/debug/createdump/dumpwriter.h rename to src/coreclr/debug/createdump/dumpwriter.h diff --git a/src/coreclr/src/debug/createdump/mac.h b/src/coreclr/debug/createdump/mac.h similarity index 100% rename from src/coreclr/src/debug/createdump/mac.h rename to src/coreclr/debug/createdump/mac.h diff --git a/src/coreclr/src/debug/createdump/main.cpp b/src/coreclr/debug/createdump/main.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/main.cpp rename to src/coreclr/debug/createdump/main.cpp diff --git a/src/coreclr/src/debug/createdump/memoryregion.h b/src/coreclr/debug/createdump/memoryregion.h similarity index 100% rename from src/coreclr/src/debug/createdump/memoryregion.h rename to src/coreclr/debug/createdump/memoryregion.h diff --git a/src/coreclr/src/debug/createdump/threadinfo.cpp b/src/coreclr/debug/createdump/threadinfo.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/threadinfo.cpp rename to src/coreclr/debug/createdump/threadinfo.cpp diff --git a/src/coreclr/src/debug/createdump/threadinfo.h b/src/coreclr/debug/createdump/threadinfo.h similarity index 100% rename from src/coreclr/src/debug/createdump/threadinfo.h rename to src/coreclr/debug/createdump/threadinfo.h diff --git a/src/coreclr/src/debug/createdump/threadinfomac.cpp b/src/coreclr/debug/createdump/threadinfomac.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/threadinfomac.cpp rename to src/coreclr/debug/createdump/threadinfomac.cpp diff --git a/src/coreclr/src/debug/createdump/threadinfounix.cpp b/src/coreclr/debug/createdump/threadinfounix.cpp similarity index 100% rename from src/coreclr/src/debug/createdump/threadinfounix.cpp rename to src/coreclr/debug/createdump/threadinfounix.cpp diff --git a/src/coreclr/src/debug/daccess/CMakeLists.txt b/src/coreclr/debug/daccess/CMakeLists.txt similarity index 89% rename from src/coreclr/src/debug/daccess/CMakeLists.txt rename to src/coreclr/debug/daccess/CMakeLists.txt index 64aada0..aaf8f34 100644 --- a/src/coreclr/src/debug/daccess/CMakeLists.txt +++ b/src/coreclr/debug/daccess/CMakeLists.txt @@ -3,9 +3,9 @@ add_definitions(-DFEATURE_NO_HOST) include_directories(BEFORE ${VM_DIR}) include_directories(BEFORE ${VM_DIR}/${ARCH_SOURCES_DIR}) include_directories(BEFORE ${CMAKE_CURRENT_SOURCE_DIR}) -include_directories(${CLR_DIR}/src/debug/ee) -include_directories(${CLR_DIR}/src/gcdump) -include_directories(${CLR_DIR}/src/interop/inc) +include_directories(${CLR_DIR}/debug/ee) +include_directories(${CLR_DIR}/gcdump) +include_directories(${CLR_DIR}/interop/inc) if(CLR_CMAKE_HOST_UNIX) include_directories(${GENERATED_INCLUDE_DIR}) @@ -52,7 +52,7 @@ if(CLR_CMAKE_HOST_OSX OR CLR_CMAKE_HOST_FREEBSD OR CLR_CMAKE_HOST_NETBSD OR CLR_ DEPENDS coreclr VERBATIM COMMAND_EXPAND_LISTS - COMMAND sh ${CLR_DIR}/src/pal/tools/gen-dactable-rva.sh ${args} + COMMAND sh ${CLR_DIR}/pal/tools/gen-dactable-rva.sh ${args} COMMENT Generating ${GENERATED_INCLUDE_DIR}/dactablerva.h ) diff --git a/src/coreclr/src/debug/ee/amd64/primitives.cpp b/src/coreclr/debug/daccess/amd64/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/ee/amd64/primitives.cpp rename to src/coreclr/debug/daccess/amd64/primitives.cpp diff --git a/src/coreclr/src/debug/daccess/arm/primitives.cpp b/src/coreclr/debug/daccess/arm/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/arm/primitives.cpp rename to src/coreclr/debug/daccess/arm/primitives.cpp diff --git a/src/coreclr/src/debug/daccess/arm64/primitives.cpp b/src/coreclr/debug/daccess/arm64/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/arm64/primitives.cpp rename to src/coreclr/debug/daccess/arm64/primitives.cpp diff --git a/src/coreclr/src/debug/daccess/daccess.cpp b/src/coreclr/debug/daccess/daccess.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/daccess.cpp rename to src/coreclr/debug/daccess/daccess.cpp diff --git a/src/coreclr/src/debug/daccess/dacdbiimpl.cpp b/src/coreclr/debug/daccess/dacdbiimpl.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/dacdbiimpl.cpp rename to src/coreclr/debug/daccess/dacdbiimpl.cpp diff --git a/src/coreclr/src/debug/daccess/dacdbiimpl.h b/src/coreclr/debug/daccess/dacdbiimpl.h similarity index 100% rename from src/coreclr/src/debug/daccess/dacdbiimpl.h rename to src/coreclr/debug/daccess/dacdbiimpl.h diff --git a/src/coreclr/src/debug/daccess/dacdbiimpl.inl b/src/coreclr/debug/daccess/dacdbiimpl.inl similarity index 100% rename from src/coreclr/src/debug/daccess/dacdbiimpl.inl rename to src/coreclr/debug/daccess/dacdbiimpl.inl diff --git a/src/coreclr/src/debug/daccess/dacdbiimpllocks.cpp b/src/coreclr/debug/daccess/dacdbiimpllocks.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/dacdbiimpllocks.cpp rename to src/coreclr/debug/daccess/dacdbiimpllocks.cpp diff --git a/src/coreclr/src/debug/daccess/dacdbiimplstackwalk.cpp b/src/coreclr/debug/daccess/dacdbiimplstackwalk.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/dacdbiimplstackwalk.cpp rename to src/coreclr/debug/daccess/dacdbiimplstackwalk.cpp diff --git a/src/coreclr/src/debug/daccess/dacfn.cpp b/src/coreclr/debug/daccess/dacfn.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/dacfn.cpp rename to src/coreclr/debug/daccess/dacfn.cpp diff --git a/src/coreclr/src/debug/daccess/dacimpl.h b/src/coreclr/debug/daccess/dacimpl.h similarity index 100% rename from src/coreclr/src/debug/daccess/dacimpl.h rename to src/coreclr/debug/daccess/dacimpl.h diff --git a/src/coreclr/src/debug/daccess/datatargetadapter.cpp b/src/coreclr/debug/daccess/datatargetadapter.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/datatargetadapter.cpp rename to src/coreclr/debug/daccess/datatargetadapter.cpp diff --git a/src/coreclr/src/debug/daccess/datatargetadapter.h b/src/coreclr/debug/daccess/datatargetadapter.h similarity index 100% rename from src/coreclr/src/debug/daccess/datatargetadapter.h rename to src/coreclr/debug/daccess/datatargetadapter.h diff --git a/src/coreclr/src/debug/daccess/enummem.cpp b/src/coreclr/debug/daccess/enummem.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/enummem.cpp rename to src/coreclr/debug/daccess/enummem.cpp diff --git a/src/coreclr/src/debug/daccess/fntableaccess.cpp b/src/coreclr/debug/daccess/fntableaccess.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/fntableaccess.cpp rename to src/coreclr/debug/daccess/fntableaccess.cpp diff --git a/src/coreclr/src/debug/daccess/fntableaccess.h b/src/coreclr/debug/daccess/fntableaccess.h similarity index 100% rename from src/coreclr/src/debug/daccess/fntableaccess.h rename to src/coreclr/debug/daccess/fntableaccess.h diff --git a/src/coreclr/src/debug/daccess/gcinterface.dac.h b/src/coreclr/debug/daccess/gcinterface.dac.h similarity index 100% rename from src/coreclr/src/debug/daccess/gcinterface.dac.h rename to src/coreclr/debug/daccess/gcinterface.dac.h diff --git a/src/coreclr/src/debug/ee/i386/primitives.cpp b/src/coreclr/debug/daccess/i386/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/ee/i386/primitives.cpp rename to src/coreclr/debug/daccess/i386/primitives.cpp diff --git a/src/coreclr/src/debug/daccess/inspect.cpp b/src/coreclr/debug/daccess/inspect.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/inspect.cpp rename to src/coreclr/debug/daccess/inspect.cpp diff --git a/src/coreclr/src/debug/daccess/nidump.cpp b/src/coreclr/debug/daccess/nidump.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/nidump.cpp rename to src/coreclr/debug/daccess/nidump.cpp diff --git a/src/coreclr/src/debug/daccess/nidump.h b/src/coreclr/debug/daccess/nidump.h similarity index 100% rename from src/coreclr/src/debug/daccess/nidump.h rename to src/coreclr/debug/daccess/nidump.h diff --git a/src/coreclr/src/debug/daccess/nidump.inl b/src/coreclr/debug/daccess/nidump.inl similarity index 100% rename from src/coreclr/src/debug/daccess/nidump.inl rename to src/coreclr/debug/daccess/nidump.inl diff --git a/src/coreclr/src/debug/daccess/reimpl.cpp b/src/coreclr/debug/daccess/reimpl.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/reimpl.cpp rename to src/coreclr/debug/daccess/reimpl.cpp diff --git a/src/coreclr/src/debug/daccess/request.cpp b/src/coreclr/debug/daccess/request.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/request.cpp rename to src/coreclr/debug/daccess/request.cpp diff --git a/src/coreclr/src/debug/daccess/request_common.h b/src/coreclr/debug/daccess/request_common.h similarity index 100% rename from src/coreclr/src/debug/daccess/request_common.h rename to src/coreclr/debug/daccess/request_common.h diff --git a/src/coreclr/src/debug/daccess/request_svr.cpp b/src/coreclr/debug/daccess/request_svr.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/request_svr.cpp rename to src/coreclr/debug/daccess/request_svr.cpp diff --git a/src/coreclr/src/debug/daccess/stack.cpp b/src/coreclr/debug/daccess/stack.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/stack.cpp rename to src/coreclr/debug/daccess/stack.cpp diff --git a/src/coreclr/src/debug/daccess/stdafx.h b/src/coreclr/debug/daccess/stdafx.h similarity index 100% rename from src/coreclr/src/debug/daccess/stdafx.h rename to src/coreclr/debug/daccess/stdafx.h diff --git a/src/coreclr/src/debug/daccess/task.cpp b/src/coreclr/debug/daccess/task.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/task.cpp rename to src/coreclr/debug/daccess/task.cpp diff --git a/src/coreclr/src/debug/dbgutil/CMakeLists.txt b/src/coreclr/debug/dbgutil/CMakeLists.txt similarity index 93% rename from src/coreclr/src/debug/dbgutil/CMakeLists.txt rename to src/coreclr/debug/dbgutil/CMakeLists.txt index 30be041..01d724d 100644 --- a/src/coreclr/src/debug/dbgutil/CMakeLists.txt +++ b/src/coreclr/debug/dbgutil/CMakeLists.txt @@ -6,7 +6,7 @@ if(CLR_CMAKE_HOST_WIN32) endif(CLR_CMAKE_HOST_WIN32) if(CLR_CMAKE_HOST_WIN32 OR CLR_CMAKE_HOST_OSX) - include_directories(${CLR_DIR}/src/inc/llvm) + include_directories(${CLR_DIR}/inc/llvm) endif(CLR_CMAKE_HOST_WIN32 OR CLR_CMAKE_HOST_OSX) add_definitions(-DPAL_STDCPP_COMPAT) diff --git a/src/coreclr/src/debug/dbgutil/dbgutil.cpp b/src/coreclr/debug/dbgutil/dbgutil.cpp similarity index 100% rename from src/coreclr/src/debug/dbgutil/dbgutil.cpp rename to src/coreclr/debug/dbgutil/dbgutil.cpp diff --git a/src/coreclr/src/debug/dbgutil/elfreader.cpp b/src/coreclr/debug/dbgutil/elfreader.cpp similarity index 100% rename from src/coreclr/src/debug/dbgutil/elfreader.cpp rename to src/coreclr/debug/dbgutil/elfreader.cpp diff --git a/src/coreclr/src/debug/dbgutil/elfreader.h b/src/coreclr/debug/dbgutil/elfreader.h similarity index 100% rename from src/coreclr/src/debug/dbgutil/elfreader.h rename to src/coreclr/debug/dbgutil/elfreader.h diff --git a/src/coreclr/src/debug/dbgutil/machoreader.cpp b/src/coreclr/debug/dbgutil/machoreader.cpp similarity index 100% rename from src/coreclr/src/debug/dbgutil/machoreader.cpp rename to src/coreclr/debug/dbgutil/machoreader.cpp diff --git a/src/coreclr/src/debug/dbgutil/machoreader.h b/src/coreclr/debug/dbgutil/machoreader.h similarity index 100% rename from src/coreclr/src/debug/dbgutil/machoreader.h rename to src/coreclr/debug/dbgutil/machoreader.h diff --git a/src/coreclr/src/debug/debug-pal/CMakeLists.txt b/src/coreclr/debug/debug-pal/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/debug-pal/CMakeLists.txt rename to src/coreclr/debug/debug-pal/CMakeLists.txt diff --git a/src/coreclr/src/debug/debug-pal/dummy/twowaypipe.cpp b/src/coreclr/debug/debug-pal/dummy/twowaypipe.cpp similarity index 100% rename from src/coreclr/src/debug/debug-pal/dummy/twowaypipe.cpp rename to src/coreclr/debug/debug-pal/dummy/twowaypipe.cpp diff --git a/src/coreclr/src/debug/debug-pal/unix/diagnosticsipc.cpp b/src/coreclr/debug/debug-pal/unix/diagnosticsipc.cpp similarity index 100% rename from src/coreclr/src/debug/debug-pal/unix/diagnosticsipc.cpp rename to src/coreclr/debug/debug-pal/unix/diagnosticsipc.cpp diff --git a/src/coreclr/src/debug/debug-pal/unix/processdescriptor.cpp b/src/coreclr/debug/debug-pal/unix/processdescriptor.cpp similarity index 100% rename from src/coreclr/src/debug/debug-pal/unix/processdescriptor.cpp rename to src/coreclr/debug/debug-pal/unix/processdescriptor.cpp diff --git a/src/coreclr/src/debug/debug-pal/unix/twowaypipe.cpp b/src/coreclr/debug/debug-pal/unix/twowaypipe.cpp similarity index 100% rename from src/coreclr/src/debug/debug-pal/unix/twowaypipe.cpp rename to src/coreclr/debug/debug-pal/unix/twowaypipe.cpp diff --git a/src/coreclr/src/debug/debug-pal/win/diagnosticsipc.cpp b/src/coreclr/debug/debug-pal/win/diagnosticsipc.cpp similarity index 100% rename from src/coreclr/src/debug/debug-pal/win/diagnosticsipc.cpp rename to src/coreclr/debug/debug-pal/win/diagnosticsipc.cpp diff --git a/src/coreclr/src/debug/debug-pal/win/processdescriptor.cpp b/src/coreclr/debug/debug-pal/win/processdescriptor.cpp similarity index 100% rename from src/coreclr/src/debug/debug-pal/win/processdescriptor.cpp rename to src/coreclr/debug/debug-pal/win/processdescriptor.cpp diff --git a/src/coreclr/src/debug/debug-pal/win/twowaypipe.cpp b/src/coreclr/debug/debug-pal/win/twowaypipe.cpp similarity index 100% rename from src/coreclr/src/debug/debug-pal/win/twowaypipe.cpp rename to src/coreclr/debug/debug-pal/win/twowaypipe.cpp diff --git a/src/coreclr/src/debug/di/CMakeLists.txt b/src/coreclr/debug/di/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/di/CMakeLists.txt rename to src/coreclr/debug/di/CMakeLists.txt diff --git a/src/coreclr/src/debug/di/ICorDebugValueTypes.vsd b/src/coreclr/debug/di/ICorDebugValueTypes.vsd similarity index 100% rename from src/coreclr/src/debug/di/ICorDebugValueTypes.vsd rename to src/coreclr/debug/di/ICorDebugValueTypes.vsd diff --git a/src/coreclr/src/debug/di/amd64/FloatConversion.asm b/src/coreclr/debug/di/amd64/FloatConversion.asm similarity index 100% rename from src/coreclr/src/debug/di/amd64/FloatConversion.asm rename to src/coreclr/debug/di/amd64/FloatConversion.asm diff --git a/src/coreclr/src/debug/di/amd64/cordbregisterset.cpp b/src/coreclr/debug/di/amd64/cordbregisterset.cpp similarity index 100% rename from src/coreclr/src/debug/di/amd64/cordbregisterset.cpp rename to src/coreclr/debug/di/amd64/cordbregisterset.cpp diff --git a/src/coreclr/src/debug/di/amd64/floatconversion.S b/src/coreclr/debug/di/amd64/floatconversion.S similarity index 100% rename from src/coreclr/src/debug/di/amd64/floatconversion.S rename to src/coreclr/debug/di/amd64/floatconversion.S diff --git a/src/coreclr/src/debug/di/amd64/primitives.cpp b/src/coreclr/debug/di/amd64/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/di/amd64/primitives.cpp rename to src/coreclr/debug/di/amd64/primitives.cpp diff --git a/src/coreclr/src/debug/di/arm/cordbregisterset.cpp b/src/coreclr/debug/di/arm/cordbregisterset.cpp similarity index 100% rename from src/coreclr/src/debug/di/arm/cordbregisterset.cpp rename to src/coreclr/debug/di/arm/cordbregisterset.cpp diff --git a/src/coreclr/src/debug/di/arm/floatconversion.S b/src/coreclr/debug/di/arm/floatconversion.S similarity index 100% rename from src/coreclr/src/debug/di/arm/floatconversion.S rename to src/coreclr/debug/di/arm/floatconversion.S diff --git a/src/coreclr/src/debug/di/arm/floatconversion.asm b/src/coreclr/debug/di/arm/floatconversion.asm similarity index 100% rename from src/coreclr/src/debug/di/arm/floatconversion.asm rename to src/coreclr/debug/di/arm/floatconversion.asm diff --git a/src/coreclr/src/debug/di/arm/primitives.cpp b/src/coreclr/debug/di/arm/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/di/arm/primitives.cpp rename to src/coreclr/debug/di/arm/primitives.cpp diff --git a/src/coreclr/src/debug/di/arm64/cordbregisterset.cpp b/src/coreclr/debug/di/arm64/cordbregisterset.cpp similarity index 100% rename from src/coreclr/src/debug/di/arm64/cordbregisterset.cpp rename to src/coreclr/debug/di/arm64/cordbregisterset.cpp diff --git a/src/coreclr/src/debug/di/arm64/floatconversion.S b/src/coreclr/debug/di/arm64/floatconversion.S similarity index 100% rename from src/coreclr/src/debug/di/arm64/floatconversion.S rename to src/coreclr/debug/di/arm64/floatconversion.S diff --git a/src/coreclr/src/debug/di/arm64/floatconversion.asm b/src/coreclr/debug/di/arm64/floatconversion.asm similarity index 100% rename from src/coreclr/src/debug/di/arm64/floatconversion.asm rename to src/coreclr/debug/di/arm64/floatconversion.asm diff --git a/src/coreclr/src/debug/di/arm64/primitives.cpp b/src/coreclr/debug/di/arm64/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/di/arm64/primitives.cpp rename to src/coreclr/debug/di/arm64/primitives.cpp diff --git a/src/coreclr/src/debug/di/breakpoint.cpp b/src/coreclr/debug/di/breakpoint.cpp similarity index 100% rename from src/coreclr/src/debug/di/breakpoint.cpp rename to src/coreclr/debug/di/breakpoint.cpp diff --git a/src/coreclr/src/debug/di/classfactory.h b/src/coreclr/debug/di/classfactory.h similarity index 100% rename from src/coreclr/src/debug/di/classfactory.h rename to src/coreclr/debug/di/classfactory.h diff --git a/src/coreclr/src/debug/di/cordb.cpp b/src/coreclr/debug/di/cordb.cpp similarity index 100% rename from src/coreclr/src/debug/di/cordb.cpp rename to src/coreclr/debug/di/cordb.cpp diff --git a/src/coreclr/src/debug/di/dbgtransportmanager.cpp b/src/coreclr/debug/di/dbgtransportmanager.cpp similarity index 100% rename from src/coreclr/src/debug/di/dbgtransportmanager.cpp rename to src/coreclr/debug/di/dbgtransportmanager.cpp diff --git a/src/coreclr/src/debug/di/dbgtransportmanager.h b/src/coreclr/debug/di/dbgtransportmanager.h similarity index 100% rename from src/coreclr/src/debug/di/dbgtransportmanager.h rename to src/coreclr/debug/di/dbgtransportmanager.h diff --git a/src/coreclr/src/debug/di/dbgtransportpipeline.cpp b/src/coreclr/debug/di/dbgtransportpipeline.cpp similarity index 100% rename from src/coreclr/src/debug/di/dbgtransportpipeline.cpp rename to src/coreclr/debug/di/dbgtransportpipeline.cpp diff --git a/src/coreclr/src/debug/di/divalue.cpp b/src/coreclr/debug/di/divalue.cpp similarity index 100% rename from src/coreclr/src/debug/di/divalue.cpp rename to src/coreclr/debug/di/divalue.cpp diff --git a/src/coreclr/src/debug/di/eventchannel.h b/src/coreclr/debug/di/eventchannel.h similarity index 100% rename from src/coreclr/src/debug/di/eventchannel.h rename to src/coreclr/debug/di/eventchannel.h diff --git a/src/coreclr/src/debug/di/eventredirectionpipeline.cpp b/src/coreclr/debug/di/eventredirectionpipeline.cpp similarity index 100% rename from src/coreclr/src/debug/di/eventredirectionpipeline.cpp rename to src/coreclr/debug/di/eventredirectionpipeline.cpp diff --git a/src/coreclr/src/debug/di/eventredirectionpipeline.h b/src/coreclr/debug/di/eventredirectionpipeline.h similarity index 100% rename from src/coreclr/src/debug/di/eventredirectionpipeline.h rename to src/coreclr/debug/di/eventredirectionpipeline.h diff --git a/src/coreclr/src/debug/di/hash.cpp b/src/coreclr/debug/di/hash.cpp similarity index 100% rename from src/coreclr/src/debug/di/hash.cpp rename to src/coreclr/debug/di/hash.cpp diff --git a/src/coreclr/src/debug/di/helpers.h b/src/coreclr/debug/di/helpers.h similarity index 100% rename from src/coreclr/src/debug/di/helpers.h rename to src/coreclr/debug/di/helpers.h diff --git a/src/coreclr/src/debug/di/i386/cordbregisterset.cpp b/src/coreclr/debug/di/i386/cordbregisterset.cpp similarity index 100% rename from src/coreclr/src/debug/di/i386/cordbregisterset.cpp rename to src/coreclr/debug/di/i386/cordbregisterset.cpp diff --git a/src/coreclr/src/debug/di/i386/primitives.cpp b/src/coreclr/debug/di/i386/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/di/i386/primitives.cpp rename to src/coreclr/debug/di/i386/primitives.cpp diff --git a/src/coreclr/src/debug/di/localeventchannel.cpp b/src/coreclr/debug/di/localeventchannel.cpp similarity index 100% rename from src/coreclr/src/debug/di/localeventchannel.cpp rename to src/coreclr/debug/di/localeventchannel.cpp diff --git a/src/coreclr/src/debug/di/module.cpp b/src/coreclr/debug/di/module.cpp similarity index 100% rename from src/coreclr/src/debug/di/module.cpp rename to src/coreclr/debug/di/module.cpp diff --git a/src/coreclr/src/debug/di/nativepipeline.cpp b/src/coreclr/debug/di/nativepipeline.cpp similarity index 100% rename from src/coreclr/src/debug/di/nativepipeline.cpp rename to src/coreclr/debug/di/nativepipeline.cpp diff --git a/src/coreclr/src/debug/di/nativepipeline.h b/src/coreclr/debug/di/nativepipeline.h similarity index 100% rename from src/coreclr/src/debug/di/nativepipeline.h rename to src/coreclr/debug/di/nativepipeline.h diff --git a/src/coreclr/src/debug/di/platformspecific.cpp b/src/coreclr/debug/di/platformspecific.cpp similarity index 100% rename from src/coreclr/src/debug/di/platformspecific.cpp rename to src/coreclr/debug/di/platformspecific.cpp diff --git a/src/coreclr/src/debug/di/process.cpp b/src/coreclr/debug/di/process.cpp similarity index 100% rename from src/coreclr/src/debug/di/process.cpp rename to src/coreclr/debug/di/process.cpp diff --git a/src/coreclr/src/debug/di/publish.cpp b/src/coreclr/debug/di/publish.cpp similarity index 100% rename from src/coreclr/src/debug/di/publish.cpp rename to src/coreclr/debug/di/publish.cpp diff --git a/src/coreclr/src/debug/di/remoteeventchannel.cpp b/src/coreclr/debug/di/remoteeventchannel.cpp similarity index 100% rename from src/coreclr/src/debug/di/remoteeventchannel.cpp rename to src/coreclr/debug/di/remoteeventchannel.cpp diff --git a/src/coreclr/src/debug/di/rsappdomain.cpp b/src/coreclr/debug/di/rsappdomain.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsappdomain.cpp rename to src/coreclr/debug/di/rsappdomain.cpp diff --git a/src/coreclr/src/debug/di/rsassembly.cpp b/src/coreclr/debug/di/rsassembly.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsassembly.cpp rename to src/coreclr/debug/di/rsassembly.cpp diff --git a/src/coreclr/src/debug/di/rsclass.cpp b/src/coreclr/debug/di/rsclass.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsclass.cpp rename to src/coreclr/debug/di/rsclass.cpp diff --git a/src/coreclr/src/debug/di/rsenumerator.hpp b/src/coreclr/debug/di/rsenumerator.hpp similarity index 100% rename from src/coreclr/src/debug/di/rsenumerator.hpp rename to src/coreclr/debug/di/rsenumerator.hpp diff --git a/src/coreclr/src/debug/di/rsfunction.cpp b/src/coreclr/debug/di/rsfunction.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsfunction.cpp rename to src/coreclr/debug/di/rsfunction.cpp diff --git a/src/coreclr/src/debug/di/rsmain.cpp b/src/coreclr/debug/di/rsmain.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsmain.cpp rename to src/coreclr/debug/di/rsmain.cpp diff --git a/src/coreclr/src/debug/di/rsmda.cpp b/src/coreclr/debug/di/rsmda.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsmda.cpp rename to src/coreclr/debug/di/rsmda.cpp diff --git a/src/coreclr/src/debug/di/rspriv.h b/src/coreclr/debug/di/rspriv.h similarity index 100% rename from src/coreclr/src/debug/di/rspriv.h rename to src/coreclr/debug/di/rspriv.h diff --git a/src/coreclr/src/debug/di/rspriv.inl b/src/coreclr/debug/di/rspriv.inl similarity index 100% rename from src/coreclr/src/debug/di/rspriv.inl rename to src/coreclr/debug/di/rspriv.inl diff --git a/src/coreclr/src/debug/di/rsregsetcommon.cpp b/src/coreclr/debug/di/rsregsetcommon.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsregsetcommon.cpp rename to src/coreclr/debug/di/rsregsetcommon.cpp diff --git a/src/coreclr/src/debug/di/rsstackwalk.cpp b/src/coreclr/debug/di/rsstackwalk.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsstackwalk.cpp rename to src/coreclr/debug/di/rsstackwalk.cpp diff --git a/src/coreclr/src/debug/di/rsthread.cpp b/src/coreclr/debug/di/rsthread.cpp similarity index 100% rename from src/coreclr/src/debug/di/rsthread.cpp rename to src/coreclr/debug/di/rsthread.cpp diff --git a/src/coreclr/src/debug/di/rstype.cpp b/src/coreclr/debug/di/rstype.cpp similarity index 100% rename from src/coreclr/src/debug/di/rstype.cpp rename to src/coreclr/debug/di/rstype.cpp diff --git a/src/coreclr/src/debug/di/shared.cpp b/src/coreclr/debug/di/shared.cpp similarity index 100% rename from src/coreclr/src/debug/di/shared.cpp rename to src/coreclr/debug/di/shared.cpp diff --git a/src/coreclr/src/debug/di/shimcallback.cpp b/src/coreclr/debug/di/shimcallback.cpp similarity index 100% rename from src/coreclr/src/debug/di/shimcallback.cpp rename to src/coreclr/debug/di/shimcallback.cpp diff --git a/src/coreclr/src/debug/di/shimdatatarget.cpp b/src/coreclr/debug/di/shimdatatarget.cpp similarity index 100% rename from src/coreclr/src/debug/di/shimdatatarget.cpp rename to src/coreclr/debug/di/shimdatatarget.cpp diff --git a/src/coreclr/src/debug/di/shimdatatarget.h b/src/coreclr/debug/di/shimdatatarget.h similarity index 100% rename from src/coreclr/src/debug/di/shimdatatarget.h rename to src/coreclr/debug/di/shimdatatarget.h diff --git a/src/coreclr/src/debug/di/shimevents.cpp b/src/coreclr/debug/di/shimevents.cpp similarity index 100% rename from src/coreclr/src/debug/di/shimevents.cpp rename to src/coreclr/debug/di/shimevents.cpp diff --git a/src/coreclr/src/debug/di/shimlocaldatatarget.cpp b/src/coreclr/debug/di/shimlocaldatatarget.cpp similarity index 100% rename from src/coreclr/src/debug/di/shimlocaldatatarget.cpp rename to src/coreclr/debug/di/shimlocaldatatarget.cpp diff --git a/src/coreclr/src/debug/di/shimpriv.h b/src/coreclr/debug/di/shimpriv.h similarity index 100% rename from src/coreclr/src/debug/di/shimpriv.h rename to src/coreclr/debug/di/shimpriv.h diff --git a/src/coreclr/src/debug/di/shimprocess.cpp b/src/coreclr/debug/di/shimprocess.cpp similarity index 100% rename from src/coreclr/src/debug/di/shimprocess.cpp rename to src/coreclr/debug/di/shimprocess.cpp diff --git a/src/coreclr/src/debug/di/shimremotedatatarget.cpp b/src/coreclr/debug/di/shimremotedatatarget.cpp similarity index 100% rename from src/coreclr/src/debug/di/shimremotedatatarget.cpp rename to src/coreclr/debug/di/shimremotedatatarget.cpp diff --git a/src/coreclr/src/debug/di/shimstackwalk.cpp b/src/coreclr/debug/di/shimstackwalk.cpp similarity index 100% rename from src/coreclr/src/debug/di/shimstackwalk.cpp rename to src/coreclr/debug/di/shimstackwalk.cpp diff --git a/src/coreclr/src/debug/di/stdafx.h b/src/coreclr/debug/di/stdafx.h similarity index 100% rename from src/coreclr/src/debug/di/stdafx.h rename to src/coreclr/debug/di/stdafx.h diff --git a/src/coreclr/src/debug/di/symbolinfo.cpp b/src/coreclr/debug/di/symbolinfo.cpp similarity index 100% rename from src/coreclr/src/debug/di/symbolinfo.cpp rename to src/coreclr/debug/di/symbolinfo.cpp diff --git a/src/coreclr/src/debug/di/symbolinfo.h b/src/coreclr/debug/di/symbolinfo.h similarity index 100% rename from src/coreclr/src/debug/di/symbolinfo.h rename to src/coreclr/debug/di/symbolinfo.h diff --git a/src/coreclr/src/debug/di/valuehome.cpp b/src/coreclr/debug/di/valuehome.cpp similarity index 100% rename from src/coreclr/src/debug/di/valuehome.cpp rename to src/coreclr/debug/di/valuehome.cpp diff --git a/src/coreclr/src/debug/di/windowspipeline.cpp b/src/coreclr/debug/di/windowspipeline.cpp similarity index 100% rename from src/coreclr/src/debug/di/windowspipeline.cpp rename to src/coreclr/debug/di/windowspipeline.cpp diff --git a/src/coreclr/src/debug/ee/CMakeLists.txt b/src/coreclr/debug/ee/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/ee/CMakeLists.txt rename to src/coreclr/debug/ee/CMakeLists.txt diff --git a/src/coreclr/src/debug/ee/amd64/amd64InstrDecode.h b/src/coreclr/debug/ee/amd64/amd64InstrDecode.h similarity index 100% rename from src/coreclr/src/debug/ee/amd64/amd64InstrDecode.h rename to src/coreclr/debug/ee/amd64/amd64InstrDecode.h diff --git a/src/coreclr/src/debug/ee/amd64/amd64walker.cpp b/src/coreclr/debug/ee/amd64/amd64walker.cpp similarity index 100% rename from src/coreclr/src/debug/ee/amd64/amd64walker.cpp rename to src/coreclr/debug/ee/amd64/amd64walker.cpp diff --git a/src/coreclr/src/debug/ee/amd64/dbghelpers.S b/src/coreclr/debug/ee/amd64/dbghelpers.S similarity index 100% rename from src/coreclr/src/debug/ee/amd64/dbghelpers.S rename to src/coreclr/debug/ee/amd64/dbghelpers.S diff --git a/src/coreclr/src/debug/ee/amd64/dbghelpers.asm b/src/coreclr/debug/ee/amd64/dbghelpers.asm similarity index 100% rename from src/coreclr/src/debug/ee/amd64/dbghelpers.asm rename to src/coreclr/debug/ee/amd64/dbghelpers.asm diff --git a/src/coreclr/src/debug/ee/amd64/debuggerregdisplayhelper.cpp b/src/coreclr/debug/ee/amd64/debuggerregdisplayhelper.cpp similarity index 100% rename from src/coreclr/src/debug/ee/amd64/debuggerregdisplayhelper.cpp rename to src/coreclr/debug/ee/amd64/debuggerregdisplayhelper.cpp diff --git a/src/coreclr/src/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.cs b/src/coreclr/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.cs similarity index 100% rename from src/coreclr/src/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.cs rename to src/coreclr/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.cs diff --git a/src/coreclr/src/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.csproj b/src/coreclr/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.csproj similarity index 100% rename from src/coreclr/src/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.csproj rename to src/coreclr/debug/ee/amd64/gen_amd64InstrDecode/Amd64InstructionTableGenerator.csproj diff --git a/src/coreclr/src/debug/ee/amd64/gen_amd64InstrDecode/README.md b/src/coreclr/debug/ee/amd64/gen_amd64InstrDecode/README.md similarity index 100% rename from src/coreclr/src/debug/ee/amd64/gen_amd64InstrDecode/README.md rename to src/coreclr/debug/ee/amd64/gen_amd64InstrDecode/README.md diff --git a/src/coreclr/src/debug/ee/amd64/gen_amd64InstrDecode/createOpcodes.cpp b/src/coreclr/debug/ee/amd64/gen_amd64InstrDecode/createOpcodes.cpp similarity index 100% rename from src/coreclr/src/debug/ee/amd64/gen_amd64InstrDecode/createOpcodes.cpp rename to src/coreclr/debug/ee/amd64/gen_amd64InstrDecode/createOpcodes.cpp diff --git a/src/coreclr/src/debug/daccess/amd64/primitives.cpp b/src/coreclr/debug/ee/amd64/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/amd64/primitives.cpp rename to src/coreclr/debug/ee/amd64/primitives.cpp diff --git a/src/coreclr/src/debug/ee/arm/armwalker.cpp b/src/coreclr/debug/ee/arm/armwalker.cpp similarity index 100% rename from src/coreclr/src/debug/ee/arm/armwalker.cpp rename to src/coreclr/debug/ee/arm/armwalker.cpp diff --git a/src/coreclr/src/debug/ee/arm/dbghelpers.S b/src/coreclr/debug/ee/arm/dbghelpers.S similarity index 100% rename from src/coreclr/src/debug/ee/arm/dbghelpers.S rename to src/coreclr/debug/ee/arm/dbghelpers.S diff --git a/src/coreclr/src/debug/ee/arm/dbghelpers.asm b/src/coreclr/debug/ee/arm/dbghelpers.asm similarity index 100% rename from src/coreclr/src/debug/ee/arm/dbghelpers.asm rename to src/coreclr/debug/ee/arm/dbghelpers.asm diff --git a/src/coreclr/src/debug/ee/arm/primitives.cpp b/src/coreclr/debug/ee/arm/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/ee/arm/primitives.cpp rename to src/coreclr/debug/ee/arm/primitives.cpp diff --git a/src/coreclr/src/debug/ee/arm64/arm64walker.cpp b/src/coreclr/debug/ee/arm64/arm64walker.cpp similarity index 100% rename from src/coreclr/src/debug/ee/arm64/arm64walker.cpp rename to src/coreclr/debug/ee/arm64/arm64walker.cpp diff --git a/src/coreclr/src/debug/ee/arm64/dbghelpers.S b/src/coreclr/debug/ee/arm64/dbghelpers.S similarity index 100% rename from src/coreclr/src/debug/ee/arm64/dbghelpers.S rename to src/coreclr/debug/ee/arm64/dbghelpers.S diff --git a/src/coreclr/src/debug/ee/arm64/dbghelpers.asm b/src/coreclr/debug/ee/arm64/dbghelpers.asm similarity index 100% rename from src/coreclr/src/debug/ee/arm64/dbghelpers.asm rename to src/coreclr/debug/ee/arm64/dbghelpers.asm diff --git a/src/coreclr/src/debug/ee/arm64/primitives.cpp b/src/coreclr/debug/ee/arm64/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/ee/arm64/primitives.cpp rename to src/coreclr/debug/ee/arm64/primitives.cpp diff --git a/src/coreclr/src/debug/ee/canary.cpp b/src/coreclr/debug/ee/canary.cpp similarity index 100% rename from src/coreclr/src/debug/ee/canary.cpp rename to src/coreclr/debug/ee/canary.cpp diff --git a/src/coreclr/src/debug/ee/canary.h b/src/coreclr/debug/ee/canary.h similarity index 100% rename from src/coreclr/src/debug/ee/canary.h rename to src/coreclr/debug/ee/canary.h diff --git a/src/coreclr/src/debug/ee/controller.cpp b/src/coreclr/debug/ee/controller.cpp similarity index 100% rename from src/coreclr/src/debug/ee/controller.cpp rename to src/coreclr/debug/ee/controller.cpp diff --git a/src/coreclr/src/debug/ee/controller.h b/src/coreclr/debug/ee/controller.h similarity index 100% rename from src/coreclr/src/debug/ee/controller.h rename to src/coreclr/debug/ee/controller.h diff --git a/src/coreclr/src/debug/ee/controller.inl b/src/coreclr/debug/ee/controller.inl similarity index 100% rename from src/coreclr/src/debug/ee/controller.inl rename to src/coreclr/debug/ee/controller.inl diff --git a/src/coreclr/src/debug/ee/dac/CMakeLists.txt b/src/coreclr/debug/ee/dac/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/ee/dac/CMakeLists.txt rename to src/coreclr/debug/ee/dac/CMakeLists.txt diff --git a/src/coreclr/src/debug/ee/dactable.cpp b/src/coreclr/debug/ee/dactable.cpp similarity index 100% rename from src/coreclr/src/debug/ee/dactable.cpp rename to src/coreclr/debug/ee/dactable.cpp diff --git a/src/coreclr/src/debug/ee/datatest.h b/src/coreclr/debug/ee/datatest.h similarity index 100% rename from src/coreclr/src/debug/ee/datatest.h rename to src/coreclr/debug/ee/datatest.h diff --git a/src/coreclr/src/debug/ee/debugger.cpp b/src/coreclr/debug/ee/debugger.cpp similarity index 100% rename from src/coreclr/src/debug/ee/debugger.cpp rename to src/coreclr/debug/ee/debugger.cpp diff --git a/src/coreclr/src/debug/ee/debugger.h b/src/coreclr/debug/ee/debugger.h similarity index 100% rename from src/coreclr/src/debug/ee/debugger.h rename to src/coreclr/debug/ee/debugger.h diff --git a/src/coreclr/src/debug/ee/debugger.inl b/src/coreclr/debug/ee/debugger.inl similarity index 100% rename from src/coreclr/src/debug/ee/debugger.inl rename to src/coreclr/debug/ee/debugger.inl diff --git a/src/coreclr/src/debug/ee/debuggermodule.cpp b/src/coreclr/debug/ee/debuggermodule.cpp similarity index 100% rename from src/coreclr/src/debug/ee/debuggermodule.cpp rename to src/coreclr/debug/ee/debuggermodule.cpp diff --git a/src/coreclr/src/debug/ee/frameinfo.cpp b/src/coreclr/debug/ee/frameinfo.cpp similarity index 100% rename from src/coreclr/src/debug/ee/frameinfo.cpp rename to src/coreclr/debug/ee/frameinfo.cpp diff --git a/src/coreclr/src/debug/ee/frameinfo.h b/src/coreclr/debug/ee/frameinfo.h similarity index 100% rename from src/coreclr/src/debug/ee/frameinfo.h rename to src/coreclr/debug/ee/frameinfo.h diff --git a/src/coreclr/src/debug/ee/funceval.cpp b/src/coreclr/debug/ee/funceval.cpp similarity index 100% rename from src/coreclr/src/debug/ee/funceval.cpp rename to src/coreclr/debug/ee/funceval.cpp diff --git a/src/coreclr/src/debug/ee/functioninfo.cpp b/src/coreclr/debug/ee/functioninfo.cpp similarity index 100% rename from src/coreclr/src/debug/ee/functioninfo.cpp rename to src/coreclr/debug/ee/functioninfo.cpp diff --git a/src/coreclr/src/debug/ee/i386/dbghelpers.S b/src/coreclr/debug/ee/i386/dbghelpers.S similarity index 100% rename from src/coreclr/src/debug/ee/i386/dbghelpers.S rename to src/coreclr/debug/ee/i386/dbghelpers.S diff --git a/src/coreclr/src/debug/ee/i386/dbghelpers.asm b/src/coreclr/debug/ee/i386/dbghelpers.asm similarity index 100% rename from src/coreclr/src/debug/ee/i386/dbghelpers.asm rename to src/coreclr/debug/ee/i386/dbghelpers.asm diff --git a/src/coreclr/src/debug/ee/i386/debuggerregdisplayhelper.cpp b/src/coreclr/debug/ee/i386/debuggerregdisplayhelper.cpp similarity index 100% rename from src/coreclr/src/debug/ee/i386/debuggerregdisplayhelper.cpp rename to src/coreclr/debug/ee/i386/debuggerregdisplayhelper.cpp diff --git a/src/coreclr/src/debug/daccess/i386/primitives.cpp b/src/coreclr/debug/ee/i386/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/daccess/i386/primitives.cpp rename to src/coreclr/debug/ee/i386/primitives.cpp diff --git a/src/coreclr/src/debug/ee/i386/x86walker.cpp b/src/coreclr/debug/ee/i386/x86walker.cpp similarity index 100% rename from src/coreclr/src/debug/ee/i386/x86walker.cpp rename to src/coreclr/debug/ee/i386/x86walker.cpp diff --git a/src/coreclr/src/debug/ee/rcthread.cpp b/src/coreclr/debug/ee/rcthread.cpp similarity index 100% rename from src/coreclr/src/debug/ee/rcthread.cpp rename to src/coreclr/debug/ee/rcthread.cpp diff --git a/src/coreclr/src/debug/ee/shared.cpp b/src/coreclr/debug/ee/shared.cpp similarity index 100% rename from src/coreclr/src/debug/ee/shared.cpp rename to src/coreclr/debug/ee/shared.cpp diff --git a/src/coreclr/src/debug/ee/stdafx.h b/src/coreclr/debug/ee/stdafx.h similarity index 100% rename from src/coreclr/src/debug/ee/stdafx.h rename to src/coreclr/debug/ee/stdafx.h diff --git a/src/coreclr/src/debug/ee/walker.h b/src/coreclr/debug/ee/walker.h similarity index 100% rename from src/coreclr/src/debug/ee/walker.h rename to src/coreclr/debug/ee/walker.h diff --git a/src/coreclr/src/debug/ee/wks/CMakeLists.txt b/src/coreclr/debug/ee/wks/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/ee/wks/CMakeLists.txt rename to src/coreclr/debug/ee/wks/CMakeLists.txt diff --git a/src/coreclr/src/debug/ildbsymlib/CMakeLists.txt b/src/coreclr/debug/ildbsymlib/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/CMakeLists.txt rename to src/coreclr/debug/ildbsymlib/CMakeLists.txt diff --git a/src/coreclr/src/debug/ildbsymlib/classfactory.h b/src/coreclr/debug/ildbsymlib/classfactory.h similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/classfactory.h rename to src/coreclr/debug/ildbsymlib/classfactory.h diff --git a/src/coreclr/src/debug/ildbsymlib/ildbsymbols.cpp b/src/coreclr/debug/ildbsymlib/ildbsymbols.cpp similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/ildbsymbols.cpp rename to src/coreclr/debug/ildbsymlib/ildbsymbols.cpp diff --git a/src/coreclr/src/debug/ildbsymlib/pch.h b/src/coreclr/debug/ildbsymlib/pch.h similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/pch.h rename to src/coreclr/debug/ildbsymlib/pch.h diff --git a/src/coreclr/src/debug/ildbsymlib/pdbdata.h b/src/coreclr/debug/ildbsymlib/pdbdata.h similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/pdbdata.h rename to src/coreclr/debug/ildbsymlib/pdbdata.h diff --git a/src/coreclr/src/debug/ildbsymlib/symbinder.cpp b/src/coreclr/debug/ildbsymlib/symbinder.cpp similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/symbinder.cpp rename to src/coreclr/debug/ildbsymlib/symbinder.cpp diff --git a/src/coreclr/src/debug/ildbsymlib/symbinder.h b/src/coreclr/debug/ildbsymlib/symbinder.h similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/symbinder.h rename to src/coreclr/debug/ildbsymlib/symbinder.h diff --git a/src/coreclr/src/debug/ildbsymlib/symread.cpp b/src/coreclr/debug/ildbsymlib/symread.cpp similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/symread.cpp rename to src/coreclr/debug/ildbsymlib/symread.cpp diff --git a/src/coreclr/src/debug/ildbsymlib/symread.h b/src/coreclr/debug/ildbsymlib/symread.h similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/symread.h rename to src/coreclr/debug/ildbsymlib/symread.h diff --git a/src/coreclr/src/debug/ildbsymlib/symwrite.cpp b/src/coreclr/debug/ildbsymlib/symwrite.cpp similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/symwrite.cpp rename to src/coreclr/debug/ildbsymlib/symwrite.cpp diff --git a/src/coreclr/src/debug/ildbsymlib/symwrite.h b/src/coreclr/debug/ildbsymlib/symwrite.h similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/symwrite.h rename to src/coreclr/debug/ildbsymlib/symwrite.h diff --git a/src/coreclr/src/debug/ildbsymlib/umisc.h b/src/coreclr/debug/ildbsymlib/umisc.h similarity index 100% rename from src/coreclr/src/debug/ildbsymlib/umisc.h rename to src/coreclr/debug/ildbsymlib/umisc.h diff --git a/src/coreclr/src/debug/inc/amd64/primitives.h b/src/coreclr/debug/inc/amd64/primitives.h similarity index 100% rename from src/coreclr/src/debug/inc/amd64/primitives.h rename to src/coreclr/debug/inc/amd64/primitives.h diff --git a/src/coreclr/src/debug/inc/arm/primitives.h b/src/coreclr/debug/inc/arm/primitives.h similarity index 100% rename from src/coreclr/src/debug/inc/arm/primitives.h rename to src/coreclr/debug/inc/arm/primitives.h diff --git a/src/coreclr/src/debug/inc/arm64/primitives.h b/src/coreclr/debug/inc/arm64/primitives.h similarity index 100% rename from src/coreclr/src/debug/inc/arm64/primitives.h rename to src/coreclr/debug/inc/arm64/primitives.h diff --git a/src/coreclr/src/debug/inc/arm_primitives.h b/src/coreclr/debug/inc/arm_primitives.h similarity index 100% rename from src/coreclr/src/debug/inc/arm_primitives.h rename to src/coreclr/debug/inc/arm_primitives.h diff --git a/src/coreclr/src/debug/inc/common.h b/src/coreclr/debug/inc/common.h similarity index 100% rename from src/coreclr/src/debug/inc/common.h rename to src/coreclr/debug/inc/common.h diff --git a/src/coreclr/src/debug/inc/coreclrremotedebugginginterfaces.h b/src/coreclr/debug/inc/coreclrremotedebugginginterfaces.h similarity index 100% rename from src/coreclr/src/debug/inc/coreclrremotedebugginginterfaces.h rename to src/coreclr/debug/inc/coreclrremotedebugginginterfaces.h diff --git a/src/coreclr/src/debug/inc/dacdbiinterface.h b/src/coreclr/debug/inc/dacdbiinterface.h similarity index 100% rename from src/coreclr/src/debug/inc/dacdbiinterface.h rename to src/coreclr/debug/inc/dacdbiinterface.h diff --git a/src/coreclr/src/debug/inc/dacdbistructures.h b/src/coreclr/debug/inc/dacdbistructures.h similarity index 100% rename from src/coreclr/src/debug/inc/dacdbistructures.h rename to src/coreclr/debug/inc/dacdbistructures.h diff --git a/src/coreclr/src/debug/inc/dacdbistructures.inl b/src/coreclr/debug/inc/dacdbistructures.inl similarity index 100% rename from src/coreclr/src/debug/inc/dacdbistructures.inl rename to src/coreclr/debug/inc/dacdbistructures.inl diff --git a/src/coreclr/src/debug/inc/dbgappdomain.h b/src/coreclr/debug/inc/dbgappdomain.h similarity index 100% rename from src/coreclr/src/debug/inc/dbgappdomain.h rename to src/coreclr/debug/inc/dbgappdomain.h diff --git a/src/coreclr/src/debug/inc/dbgipcevents.h b/src/coreclr/debug/inc/dbgipcevents.h similarity index 100% rename from src/coreclr/src/debug/inc/dbgipcevents.h rename to src/coreclr/debug/inc/dbgipcevents.h diff --git a/src/coreclr/src/debug/inc/dbgipceventtypes.h b/src/coreclr/debug/inc/dbgipceventtypes.h similarity index 100% rename from src/coreclr/src/debug/inc/dbgipceventtypes.h rename to src/coreclr/debug/inc/dbgipceventtypes.h diff --git a/src/coreclr/src/debug/inc/dbgtargetcontext.h b/src/coreclr/debug/inc/dbgtargetcontext.h similarity index 100% rename from src/coreclr/src/debug/inc/dbgtargetcontext.h rename to src/coreclr/debug/inc/dbgtargetcontext.h diff --git a/src/coreclr/src/debug/inc/dbgtransportsession.h b/src/coreclr/debug/inc/dbgtransportsession.h similarity index 100% rename from src/coreclr/src/debug/inc/dbgtransportsession.h rename to src/coreclr/debug/inc/dbgtransportsession.h diff --git a/src/coreclr/src/debug/inc/dbgutil.h b/src/coreclr/debug/inc/dbgutil.h similarity index 100% rename from src/coreclr/src/debug/inc/dbgutil.h rename to src/coreclr/debug/inc/dbgutil.h diff --git a/src/coreclr/src/debug/inc/ddmarshalutil.h b/src/coreclr/debug/inc/ddmarshalutil.h similarity index 100% rename from src/coreclr/src/debug/inc/ddmarshalutil.h rename to src/coreclr/debug/inc/ddmarshalutil.h diff --git a/src/coreclr/src/debug/inc/diagnosticsipc.h b/src/coreclr/debug/inc/diagnosticsipc.h similarity index 100% rename from src/coreclr/src/debug/inc/diagnosticsipc.h rename to src/coreclr/debug/inc/diagnosticsipc.h diff --git a/src/coreclr/src/debug/inc/dump/dumpcommon.h b/src/coreclr/debug/inc/dump/dumpcommon.h similarity index 100% rename from src/coreclr/src/debug/inc/dump/dumpcommon.h rename to src/coreclr/debug/inc/dump/dumpcommon.h diff --git a/src/coreclr/src/debug/inc/eventredirection.h b/src/coreclr/debug/inc/eventredirection.h similarity index 100% rename from src/coreclr/src/debug/inc/eventredirection.h rename to src/coreclr/debug/inc/eventredirection.h diff --git a/src/coreclr/src/debug/inc/i386/primitives.h b/src/coreclr/debug/inc/i386/primitives.h similarity index 100% rename from src/coreclr/src/debug/inc/i386/primitives.h rename to src/coreclr/debug/inc/i386/primitives.h diff --git a/src/coreclr/src/debug/inc/processdescriptor.h b/src/coreclr/debug/inc/processdescriptor.h similarity index 100% rename from src/coreclr/src/debug/inc/processdescriptor.h rename to src/coreclr/debug/inc/processdescriptor.h diff --git a/src/coreclr/src/debug/inc/readonlydatatargetfacade.h b/src/coreclr/debug/inc/readonlydatatargetfacade.h similarity index 100% rename from src/coreclr/src/debug/inc/readonlydatatargetfacade.h rename to src/coreclr/debug/inc/readonlydatatargetfacade.h diff --git a/src/coreclr/src/debug/inc/readonlydatatargetfacade.inl b/src/coreclr/debug/inc/readonlydatatargetfacade.inl similarity index 100% rename from src/coreclr/src/debug/inc/readonlydatatargetfacade.inl rename to src/coreclr/debug/inc/readonlydatatargetfacade.inl diff --git a/src/coreclr/src/debug/inc/runtimeinfo.h b/src/coreclr/debug/inc/runtimeinfo.h similarity index 100% rename from src/coreclr/src/debug/inc/runtimeinfo.h rename to src/coreclr/debug/inc/runtimeinfo.h diff --git a/src/coreclr/src/debug/inc/stringcopyholder.h b/src/coreclr/debug/inc/stringcopyholder.h similarity index 100% rename from src/coreclr/src/debug/inc/stringcopyholder.h rename to src/coreclr/debug/inc/stringcopyholder.h diff --git a/src/coreclr/src/debug/inc/twowaypipe.h b/src/coreclr/debug/inc/twowaypipe.h similarity index 100% rename from src/coreclr/src/debug/inc/twowaypipe.h rename to src/coreclr/debug/inc/twowaypipe.h diff --git a/src/coreclr/src/debug/runtimeinfo/CMakeLists.txt b/src/coreclr/debug/runtimeinfo/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/runtimeinfo/CMakeLists.txt rename to src/coreclr/debug/runtimeinfo/CMakeLists.txt diff --git a/src/coreclr/src/debug/runtimeinfo/runtimeinfo.cpp b/src/coreclr/debug/runtimeinfo/runtimeinfo.cpp similarity index 100% rename from src/coreclr/src/debug/runtimeinfo/runtimeinfo.cpp rename to src/coreclr/debug/runtimeinfo/runtimeinfo.cpp diff --git a/src/coreclr/src/debug/shared/amd64/primitives.cpp b/src/coreclr/debug/shared/amd64/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/shared/amd64/primitives.cpp rename to src/coreclr/debug/shared/amd64/primitives.cpp diff --git a/src/coreclr/src/debug/shared/arm/primitives.cpp b/src/coreclr/debug/shared/arm/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/shared/arm/primitives.cpp rename to src/coreclr/debug/shared/arm/primitives.cpp diff --git a/src/coreclr/src/debug/shared/arm64/primitives.cpp b/src/coreclr/debug/shared/arm64/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/shared/arm64/primitives.cpp rename to src/coreclr/debug/shared/arm64/primitives.cpp diff --git a/src/coreclr/src/debug/shared/dbgtransportsession.cpp b/src/coreclr/debug/shared/dbgtransportsession.cpp similarity index 100% rename from src/coreclr/src/debug/shared/dbgtransportsession.cpp rename to src/coreclr/debug/shared/dbgtransportsession.cpp diff --git a/src/coreclr/src/debug/shared/i386/primitives.cpp b/src/coreclr/debug/shared/i386/primitives.cpp similarity index 100% rename from src/coreclr/src/debug/shared/i386/primitives.cpp rename to src/coreclr/debug/shared/i386/primitives.cpp diff --git a/src/coreclr/src/debug/shared/stringcopyholder.cpp b/src/coreclr/debug/shared/stringcopyholder.cpp similarity index 100% rename from src/coreclr/src/debug/shared/stringcopyholder.cpp rename to src/coreclr/debug/shared/stringcopyholder.cpp diff --git a/src/coreclr/src/debug/shared/utils.cpp b/src/coreclr/debug/shared/utils.cpp similarity index 100% rename from src/coreclr/src/debug/shared/utils.cpp rename to src/coreclr/debug/shared/utils.cpp diff --git a/src/coreclr/src/debug/shim/CMakeLists.txt b/src/coreclr/debug/shim/CMakeLists.txt similarity index 100% rename from src/coreclr/src/debug/shim/CMakeLists.txt rename to src/coreclr/debug/shim/CMakeLists.txt diff --git a/src/coreclr/src/debug/shim/debugshim.cpp b/src/coreclr/debug/shim/debugshim.cpp similarity index 100% rename from src/coreclr/src/debug/shim/debugshim.cpp rename to src/coreclr/debug/shim/debugshim.cpp diff --git a/src/coreclr/src/debug/shim/debugshim.h b/src/coreclr/debug/shim/debugshim.h similarity index 100% rename from src/coreclr/src/debug/shim/debugshim.h rename to src/coreclr/debug/shim/debugshim.h diff --git a/src/coreclr/src/dlls/CMakeLists.txt b/src/coreclr/dlls/CMakeLists.txt similarity index 100% rename from src/coreclr/src/dlls/CMakeLists.txt rename to src/coreclr/dlls/CMakeLists.txt diff --git a/src/coreclr/src/dlls/clretwrc/CMakeLists.txt b/src/coreclr/dlls/clretwrc/CMakeLists.txt similarity index 100% rename from src/coreclr/src/dlls/clretwrc/CMakeLists.txt rename to src/coreclr/dlls/clretwrc/CMakeLists.txt diff --git a/src/coreclr/src/dlls/clretwrc/clretwrc.rc b/src/coreclr/dlls/clretwrc/clretwrc.rc similarity index 100% rename from src/coreclr/src/dlls/clretwrc/clretwrc.rc rename to src/coreclr/dlls/clretwrc/clretwrc.rc diff --git a/src/coreclr/src/dlls/dbgshim/CMakeLists.txt b/src/coreclr/dlls/dbgshim/CMakeLists.txt similarity index 98% rename from src/coreclr/src/dlls/dbgshim/CMakeLists.txt rename to src/coreclr/dlls/dbgshim/CMakeLists.txt index 2d06606..1092799 100644 --- a/src/coreclr/src/dlls/dbgshim/CMakeLists.txt +++ b/src/coreclr/dlls/dbgshim/CMakeLists.txt @@ -1,7 +1,7 @@ add_definitions(-DFEATURE_NO_HOST) add_definitions(-DSELF_NO_HOST) -include_directories(${CLR_DIR}/src/debug/shim) +include_directories(${CLR_DIR}/debug/shim) set(DBGSHIM_SOURCES dbgshim.cpp diff --git a/src/coreclr/src/dlls/dbgshim/dbgshim.cpp b/src/coreclr/dlls/dbgshim/dbgshim.cpp similarity index 100% rename from src/coreclr/src/dlls/dbgshim/dbgshim.cpp rename to src/coreclr/dlls/dbgshim/dbgshim.cpp diff --git a/src/coreclr/src/dlls/dbgshim/dbgshim.h b/src/coreclr/dlls/dbgshim/dbgshim.h similarity index 100% rename from src/coreclr/src/dlls/dbgshim/dbgshim.h rename to src/coreclr/dlls/dbgshim/dbgshim.h diff --git a/src/coreclr/src/dlls/dbgshim/dbgshim.ntdef b/src/coreclr/dlls/dbgshim/dbgshim.ntdef similarity index 100% rename from src/coreclr/src/dlls/dbgshim/dbgshim.ntdef rename to src/coreclr/dlls/dbgshim/dbgshim.ntdef diff --git a/src/coreclr/src/dlls/dbgshim/dbgshim.rc b/src/coreclr/dlls/dbgshim/dbgshim.rc similarity index 100% rename from src/coreclr/src/dlls/dbgshim/dbgshim.rc rename to src/coreclr/dlls/dbgshim/dbgshim.rc diff --git a/src/coreclr/src/dlls/dbgshim/dbgshim_unixexports.src b/src/coreclr/dlls/dbgshim/dbgshim_unixexports.src similarity index 100% rename from src/coreclr/src/dlls/dbgshim/dbgshim_unixexports.src rename to src/coreclr/dlls/dbgshim/dbgshim_unixexports.src diff --git a/src/coreclr/src/dlls/mscordac/CMakeLists.txt b/src/coreclr/dlls/mscordac/CMakeLists.txt similarity index 100% rename from src/coreclr/src/dlls/mscordac/CMakeLists.txt rename to src/coreclr/dlls/mscordac/CMakeLists.txt diff --git a/src/coreclr/src/dlls/mscordac/Native.rc b/src/coreclr/dlls/mscordac/Native.rc similarity index 100% rename from src/coreclr/src/dlls/mscordac/Native.rc rename to src/coreclr/dlls/mscordac/Native.rc diff --git a/src/coreclr/src/dlls/mscordac/libredefines.S b/src/coreclr/dlls/mscordac/libredefines.S similarity index 100% rename from src/coreclr/src/dlls/mscordac/libredefines.S rename to src/coreclr/dlls/mscordac/libredefines.S diff --git a/src/coreclr/src/dlls/mscordac/mscordac.cpp b/src/coreclr/dlls/mscordac/mscordac.cpp similarity index 100% rename from src/coreclr/src/dlls/mscordac/mscordac.cpp rename to src/coreclr/dlls/mscordac/mscordac.cpp diff --git a/src/coreclr/src/dlls/mscordac/mscordac.src b/src/coreclr/dlls/mscordac/mscordac.src similarity index 100% rename from src/coreclr/src/dlls/mscordac/mscordac.src rename to src/coreclr/dlls/mscordac/mscordac.src diff --git a/src/coreclr/src/dlls/mscordac/mscordac_unixexports.src b/src/coreclr/dlls/mscordac/mscordac_unixexports.src similarity index 100% rename from src/coreclr/src/dlls/mscordac/mscordac_unixexports.src rename to src/coreclr/dlls/mscordac/mscordac_unixexports.src diff --git a/src/coreclr/src/dlls/mscordac/palredefines.S b/src/coreclr/dlls/mscordac/palredefines.S similarity index 100% rename from src/coreclr/src/dlls/mscordac/palredefines.S rename to src/coreclr/dlls/mscordac/palredefines.S diff --git a/src/coreclr/src/dlls/mscordac/update.pl b/src/coreclr/dlls/mscordac/update.pl similarity index 100% rename from src/coreclr/src/dlls/mscordac/update.pl rename to src/coreclr/dlls/mscordac/update.pl diff --git a/src/coreclr/src/dlls/mscordbi/CMakeLists.txt b/src/coreclr/dlls/mscordbi/CMakeLists.txt similarity index 100% rename from src/coreclr/src/dlls/mscordbi/CMakeLists.txt rename to src/coreclr/dlls/mscordbi/CMakeLists.txt diff --git a/src/coreclr/src/dlls/mscordbi/Native.rc b/src/coreclr/dlls/mscordbi/Native.rc similarity index 100% rename from src/coreclr/src/dlls/mscordbi/Native.rc rename to src/coreclr/dlls/mscordbi/Native.rc diff --git a/src/coreclr/src/dlls/mscordbi/mscordbi.cpp b/src/coreclr/dlls/mscordbi/mscordbi.cpp similarity index 100% rename from src/coreclr/src/dlls/mscordbi/mscordbi.cpp rename to src/coreclr/dlls/mscordbi/mscordbi.cpp diff --git a/src/coreclr/src/dlls/mscordbi/mscordbi.src b/src/coreclr/dlls/mscordbi/mscordbi.src similarity index 100% rename from src/coreclr/src/dlls/mscordbi/mscordbi.src rename to src/coreclr/dlls/mscordbi/mscordbi.src diff --git a/src/coreclr/src/dlls/mscordbi/mscordbi_unixexports.src b/src/coreclr/dlls/mscordbi/mscordbi_unixexports.src similarity index 100% rename from src/coreclr/src/dlls/mscordbi/mscordbi_unixexports.src rename to src/coreclr/dlls/mscordbi/mscordbi_unixexports.src diff --git a/src/coreclr/src/dlls/mscordbi/stdafx.h b/src/coreclr/dlls/mscordbi/stdafx.h similarity index 100% rename from src/coreclr/src/dlls/mscordbi/stdafx.h rename to src/coreclr/dlls/mscordbi/stdafx.h diff --git a/src/coreclr/src/dlls/mscoree/CMakeLists.txt b/src/coreclr/dlls/mscoree/CMakeLists.txt similarity index 100% rename from src/coreclr/src/dlls/mscoree/CMakeLists.txt rename to src/coreclr/dlls/mscoree/CMakeLists.txt diff --git a/src/coreclr/src/dlls/mscoree/Native.rc b/src/coreclr/dlls/mscoree/Native.rc similarity index 100% rename from src/coreclr/src/dlls/mscoree/Native.rc rename to src/coreclr/dlls/mscoree/Native.rc diff --git a/src/coreclr/src/dlls/mscoree/coreclr/CMakeLists.txt b/src/coreclr/dlls/mscoree/coreclr/CMakeLists.txt similarity index 97% rename from src/coreclr/src/dlls/mscoree/coreclr/CMakeLists.txt rename to src/coreclr/dlls/mscoree/coreclr/CMakeLists.txt index 7835929..0d5095b 100644 --- a/src/coreclr/src/dlls/mscoree/coreclr/CMakeLists.txt +++ b/src/coreclr/dlls/mscoree/coreclr/CMakeLists.txt @@ -183,7 +183,7 @@ if(CLR_CMAKE_TARGET_WIN32) # Add dac table & debug resource to coreclr get_include_directories(INC_DIR) get_compile_definitions(PREPROCESS_DEFINITIONS) - list(APPEND INC_DIR -I${CLR_DIR}/src/vm -I${CLR_DIR}/src/vm/${ARCH_SOURCES_DIR} -I${CLR_DIR}/src/debug/ee -I${CLR_DIR}/src/gc) + list(APPEND INC_DIR -I${CLR_DIR}/vm -I${CLR_DIR}/vm/${ARCH_SOURCES_DIR} -I${CLR_DIR}/debug/ee -I${CLR_DIR}/gc) list(APPEND PREPROCESS_DEFINITIONS -DDACCESS_COMPILE -DTARGET_64BIT) if (CLR_CMAKE_HOST_ARCH_AMD64) @@ -204,9 +204,9 @@ if(CLR_CMAKE_TARGET_WIN32) endif() add_custom_command( - DEPENDS coreclr mscordaccore mscordbi ${CLR_DIR}/src/debug/daccess/daccess.cpp + DEPENDS coreclr mscordaccore mscordbi ${CLR_DIR}/debug/daccess/daccess.cpp OUTPUT ${CMAKE_CURRENT_BINARY_DIR}/${CMAKE_CFG_INTDIR}/inject_debug_resources.timestamp - COMMAND ${CMAKE_CXX_COMPILER} /P /EP /TP ${PREPROCESS_DEFINITIONS} ${INC_DIR} /Fi${CMAKE_CURRENT_BINARY_DIR}/daccess.i ${CLR_DIR}/src/debug/daccess/daccess.cpp + COMMAND ${CMAKE_CXX_COMPILER} /P /EP /TP ${PREPROCESS_DEFINITIONS} ${INC_DIR} /Fi${CMAKE_CURRENT_BINARY_DIR}/daccess.i ${CLR_DIR}/debug/daccess/daccess.cpp COMMAND cmd /c ${CLR_REPO_ROOT_DIR}/dotnet.cmd exec ${CMAKE_INSTALL_PREFIX}/DacTableGen/DacTableGen.dll /dac:${CMAKE_CURRENT_BINARY_DIR}/daccess.i /pdb:$ /dll:$ /bin:${CMAKE_CURRENT_BINARY_DIR}/wks.bin COMMAND InjectResource /bin:${CMAKE_CURRENT_BINARY_DIR}/wks.bin /dll:$ COMMAND GenClrDebugResource /dac:$ /dbi:$ /sku:onecoreclr /out:${CMAKE_CURRENT_BINARY_DIR}/clrDebugResource.bin diff --git a/src/coreclr/src/dlls/mscoree/coreclr/README.md b/src/coreclr/dlls/mscoree/coreclr/README.md similarity index 100% rename from src/coreclr/src/dlls/mscoree/coreclr/README.md rename to src/coreclr/dlls/mscoree/coreclr/README.md diff --git a/src/coreclr/src/dlls/mscoree/coreclr/dump_helper_resource.bin b/src/coreclr/dlls/mscoree/coreclr/dump_helper_resource.bin similarity index 100% rename from src/coreclr/src/dlls/mscoree/coreclr/dump_helper_resource.bin rename to src/coreclr/dlls/mscoree/coreclr/dump_helper_resource.bin diff --git a/src/coreclr/src/dlls/mscoree/delayloadhook.cpp b/src/coreclr/dlls/mscoree/delayloadhook.cpp similarity index 100% rename from src/coreclr/src/dlls/mscoree/delayloadhook.cpp rename to src/coreclr/dlls/mscoree/delayloadhook.cpp diff --git a/src/coreclr/src/dlls/mscoree/gdbjit_unixexports.src b/src/coreclr/dlls/mscoree/gdbjit_unixexports.src similarity index 100% rename from src/coreclr/src/dlls/mscoree/gdbjit_unixexports.src rename to src/coreclr/dlls/mscoree/gdbjit_unixexports.src diff --git a/src/coreclr/src/dlls/mscoree/mscoree.cpp b/src/coreclr/dlls/mscoree/mscoree.cpp similarity index 100% rename from src/coreclr/src/dlls/mscoree/mscoree.cpp rename to src/coreclr/dlls/mscoree/mscoree.cpp diff --git a/src/coreclr/src/dlls/mscoree/mscorwks_ntdef.src b/src/coreclr/dlls/mscoree/mscorwks_ntdef.src similarity index 100% rename from src/coreclr/src/dlls/mscoree/mscorwks_ntdef.src rename to src/coreclr/dlls/mscoree/mscorwks_ntdef.src diff --git a/src/coreclr/src/dlls/mscoree/mscorwks_unixexports.src b/src/coreclr/dlls/mscoree/mscorwks_unixexports.src similarity index 100% rename from src/coreclr/src/dlls/mscoree/mscorwks_unixexports.src rename to src/coreclr/dlls/mscoree/mscorwks_unixexports.src diff --git a/src/coreclr/src/dlls/mscoree/stdafx.cpp b/src/coreclr/dlls/mscoree/stdafx.cpp similarity index 100% rename from src/coreclr/src/dlls/mscoree/stdafx.cpp rename to src/coreclr/dlls/mscoree/stdafx.cpp diff --git a/src/coreclr/src/dlls/mscoree/stdafx.h b/src/coreclr/dlls/mscoree/stdafx.h similarity index 100% rename from src/coreclr/src/dlls/mscoree/stdafx.h rename to src/coreclr/dlls/mscoree/stdafx.h diff --git a/src/coreclr/src/dlls/mscoree/unixinterface.cpp b/src/coreclr/dlls/mscoree/unixinterface.cpp similarity index 100% rename from src/coreclr/src/dlls/mscoree/unixinterface.cpp rename to src/coreclr/dlls/mscoree/unixinterface.cpp diff --git a/src/coreclr/src/dlls/mscorpe/CMakeLists.txt b/src/coreclr/dlls/mscorpe/CMakeLists.txt similarity index 100% rename from src/coreclr/src/dlls/mscorpe/CMakeLists.txt rename to src/coreclr/dlls/mscorpe/CMakeLists.txt diff --git a/src/coreclr/src/dlls/mscorpe/ceefilegenwriter.cpp b/src/coreclr/dlls/mscorpe/ceefilegenwriter.cpp similarity index 100% rename from src/coreclr/src/dlls/mscorpe/ceefilegenwriter.cpp rename to src/coreclr/dlls/mscorpe/ceefilegenwriter.cpp diff --git a/src/coreclr/src/dlls/mscorpe/ceefilegenwritertokens.cpp b/src/coreclr/dlls/mscorpe/ceefilegenwritertokens.cpp similarity index 100% rename from src/coreclr/src/dlls/mscorpe/ceefilegenwritertokens.cpp rename to src/coreclr/dlls/mscorpe/ceefilegenwritertokens.cpp diff --git a/src/coreclr/src/dlls/mscorpe/iceefilegen.cpp b/src/coreclr/dlls/mscorpe/iceefilegen.cpp similarity index 100% rename from src/coreclr/src/dlls/mscorpe/iceefilegen.cpp rename to src/coreclr/dlls/mscorpe/iceefilegen.cpp diff --git a/src/coreclr/src/dlls/mscorpe/pewriter.cpp b/src/coreclr/dlls/mscorpe/pewriter.cpp similarity index 100% rename from src/coreclr/src/dlls/mscorpe/pewriter.cpp rename to src/coreclr/dlls/mscorpe/pewriter.cpp diff --git a/src/coreclr/src/dlls/mscorpe/pewriter.h b/src/coreclr/dlls/mscorpe/pewriter.h similarity index 100% rename from src/coreclr/src/dlls/mscorpe/pewriter.h rename to src/coreclr/dlls/mscorpe/pewriter.h diff --git a/src/coreclr/src/dlls/mscorpe/stdafx.cpp b/src/coreclr/dlls/mscorpe/stdafx.cpp similarity index 100% rename from src/coreclr/src/dlls/mscorpe/stdafx.cpp rename to src/coreclr/dlls/mscorpe/stdafx.cpp diff --git a/src/coreclr/src/dlls/mscorpe/stdafx.h b/src/coreclr/dlls/mscorpe/stdafx.h similarity index 100% rename from src/coreclr/src/dlls/mscorpe/stdafx.h rename to src/coreclr/dlls/mscorpe/stdafx.h diff --git a/src/coreclr/src/dlls/mscorrc/CMakeLists.txt b/src/coreclr/dlls/mscorrc/CMakeLists.txt similarity index 100% rename from src/coreclr/src/dlls/mscorrc/CMakeLists.txt rename to src/coreclr/dlls/mscorrc/CMakeLists.txt diff --git a/src/coreclr/src/dlls/mscorrc/include.rc b/src/coreclr/dlls/mscorrc/include.rc similarity index 100% rename from src/coreclr/src/dlls/mscorrc/include.rc rename to src/coreclr/dlls/mscorrc/include.rc diff --git a/src/coreclr/src/dlls/mscorrc/mscorrc.common.rc b/src/coreclr/dlls/mscorrc/mscorrc.common.rc similarity index 100% rename from src/coreclr/src/dlls/mscorrc/mscorrc.common.rc rename to src/coreclr/dlls/mscorrc/mscorrc.common.rc diff --git a/src/coreclr/src/dlls/mscorrc/mscorrc.rc b/src/coreclr/dlls/mscorrc/mscorrc.rc similarity index 100% rename from src/coreclr/src/dlls/mscorrc/mscorrc.rc rename to src/coreclr/dlls/mscorrc/mscorrc.rc diff --git a/src/coreclr/src/dlls/mscorrc/resource.h b/src/coreclr/dlls/mscorrc/resource.h similarity index 100% rename from src/coreclr/src/dlls/mscorrc/resource.h rename to src/coreclr/dlls/mscorrc/resource.h diff --git a/src/coreclr/src/gc/CMakeLists.txt b/src/coreclr/gc/CMakeLists.txt similarity index 100% rename from src/coreclr/src/gc/CMakeLists.txt rename to src/coreclr/gc/CMakeLists.txt diff --git a/src/coreclr/src/gc/env/common.cpp b/src/coreclr/gc/env/common.cpp similarity index 100% rename from src/coreclr/src/gc/env/common.cpp rename to src/coreclr/gc/env/common.cpp diff --git a/src/coreclr/src/gc/env/common.h b/src/coreclr/gc/env/common.h similarity index 100% rename from src/coreclr/src/gc/env/common.h rename to src/coreclr/gc/env/common.h diff --git a/src/coreclr/src/gc/env/etmdummy.h b/src/coreclr/gc/env/etmdummy.h similarity index 100% rename from src/coreclr/src/gc/env/etmdummy.h rename to src/coreclr/gc/env/etmdummy.h diff --git a/src/coreclr/src/gc/env/gcenv.base.h b/src/coreclr/gc/env/gcenv.base.h similarity index 100% rename from src/coreclr/src/gc/env/gcenv.base.h rename to src/coreclr/gc/env/gcenv.base.h diff --git a/src/coreclr/src/gc/env/gcenv.ee.h b/src/coreclr/gc/env/gcenv.ee.h similarity index 100% rename from src/coreclr/src/gc/env/gcenv.ee.h rename to src/coreclr/gc/env/gcenv.ee.h diff --git a/src/coreclr/src/gc/env/gcenv.h b/src/coreclr/gc/env/gcenv.h similarity index 100% rename from src/coreclr/src/gc/env/gcenv.h rename to src/coreclr/gc/env/gcenv.h diff --git a/src/coreclr/src/gc/env/gcenv.interlocked.h b/src/coreclr/gc/env/gcenv.interlocked.h similarity index 100% rename from src/coreclr/src/gc/env/gcenv.interlocked.h rename to src/coreclr/gc/env/gcenv.interlocked.h diff --git a/src/coreclr/src/gc/env/gcenv.interlocked.inl b/src/coreclr/gc/env/gcenv.interlocked.inl similarity index 100% rename from src/coreclr/src/gc/env/gcenv.interlocked.inl rename to src/coreclr/gc/env/gcenv.interlocked.inl diff --git a/src/coreclr/src/gc/env/gcenv.object.h b/src/coreclr/gc/env/gcenv.object.h similarity index 100% rename from src/coreclr/src/gc/env/gcenv.object.h rename to src/coreclr/gc/env/gcenv.object.h diff --git a/src/coreclr/src/gc/env/gcenv.os.h b/src/coreclr/gc/env/gcenv.os.h similarity index 100% rename from src/coreclr/src/gc/env/gcenv.os.h rename to src/coreclr/gc/env/gcenv.os.h diff --git a/src/coreclr/src/gc/env/gcenv.structs.h b/src/coreclr/gc/env/gcenv.structs.h similarity index 100% rename from src/coreclr/src/gc/env/gcenv.structs.h rename to src/coreclr/gc/env/gcenv.structs.h diff --git a/src/coreclr/src/gc/env/gcenv.sync.h b/src/coreclr/gc/env/gcenv.sync.h similarity index 100% rename from src/coreclr/src/gc/env/gcenv.sync.h rename to src/coreclr/gc/env/gcenv.sync.h diff --git a/src/coreclr/src/gc/env/gcenv.unix.inl b/src/coreclr/gc/env/gcenv.unix.inl similarity index 100% rename from src/coreclr/src/gc/env/gcenv.unix.inl rename to src/coreclr/gc/env/gcenv.unix.inl diff --git a/src/coreclr/src/gc/env/gcenv.windows.inl b/src/coreclr/gc/env/gcenv.windows.inl similarity index 100% rename from src/coreclr/src/gc/env/gcenv.windows.inl rename to src/coreclr/gc/env/gcenv.windows.inl diff --git a/src/coreclr/src/gc/env/volatile.h b/src/coreclr/gc/env/volatile.h similarity index 100% rename from src/coreclr/src/gc/env/volatile.h rename to src/coreclr/gc/env/volatile.h diff --git a/src/coreclr/src/gc/gc.cpp b/src/coreclr/gc/gc.cpp similarity index 100% rename from src/coreclr/src/gc/gc.cpp rename to src/coreclr/gc/gc.cpp diff --git a/src/coreclr/src/gc/gc.h b/src/coreclr/gc/gc.h similarity index 100% rename from src/coreclr/src/gc/gc.h rename to src/coreclr/gc/gc.h diff --git a/src/coreclr/src/gc/gccommon.cpp b/src/coreclr/gc/gccommon.cpp similarity index 100% rename from src/coreclr/src/gc/gccommon.cpp rename to src/coreclr/gc/gccommon.cpp diff --git a/src/coreclr/src/gc/gcconfig.cpp b/src/coreclr/gc/gcconfig.cpp similarity index 100% rename from src/coreclr/src/gc/gcconfig.cpp rename to src/coreclr/gc/gcconfig.cpp diff --git a/src/coreclr/src/gc/gcconfig.h b/src/coreclr/gc/gcconfig.h similarity index 100% rename from src/coreclr/src/gc/gcconfig.h rename to src/coreclr/gc/gcconfig.h diff --git a/src/coreclr/src/gc/gcdesc.h b/src/coreclr/gc/gcdesc.h similarity index 100% rename from src/coreclr/src/gc/gcdesc.h rename to src/coreclr/gc/gcdesc.h diff --git a/src/coreclr/src/gc/gcee.cpp b/src/coreclr/gc/gcee.cpp similarity index 100% rename from src/coreclr/src/gc/gcee.cpp rename to src/coreclr/gc/gcee.cpp diff --git a/src/coreclr/src/gc/gceesvr.cpp b/src/coreclr/gc/gceesvr.cpp similarity index 100% rename from src/coreclr/src/gc/gceesvr.cpp rename to src/coreclr/gc/gceesvr.cpp diff --git a/src/coreclr/src/gc/gceewks.cpp b/src/coreclr/gc/gceewks.cpp similarity index 100% rename from src/coreclr/src/gc/gceewks.cpp rename to src/coreclr/gc/gceewks.cpp diff --git a/src/coreclr/src/gc/gcenv.ee.standalone.inl b/src/coreclr/gc/gcenv.ee.standalone.inl similarity index 100% rename from src/coreclr/src/gc/gcenv.ee.standalone.inl rename to src/coreclr/gc/gcenv.ee.standalone.inl diff --git a/src/coreclr/src/gc/gcenv.inl b/src/coreclr/gc/gcenv.inl similarity index 100% rename from src/coreclr/src/gc/gcenv.inl rename to src/coreclr/gc/gcenv.inl diff --git a/src/coreclr/src/gc/gcevent_serializers.h b/src/coreclr/gc/gcevent_serializers.h similarity index 100% rename from src/coreclr/src/gc/gcevent_serializers.h rename to src/coreclr/gc/gcevent_serializers.h diff --git a/src/coreclr/src/gc/gcevents.h b/src/coreclr/gc/gcevents.h similarity index 100% rename from src/coreclr/src/gc/gcevents.h rename to src/coreclr/gc/gcevents.h diff --git a/src/coreclr/src/gc/gceventstatus.cpp b/src/coreclr/gc/gceventstatus.cpp similarity index 100% rename from src/coreclr/src/gc/gceventstatus.cpp rename to src/coreclr/gc/gceventstatus.cpp diff --git a/src/coreclr/src/gc/gceventstatus.h b/src/coreclr/gc/gceventstatus.h similarity index 100% rename from src/coreclr/src/gc/gceventstatus.h rename to src/coreclr/gc/gceventstatus.h diff --git a/src/coreclr/src/gc/gchandletable.cpp b/src/coreclr/gc/gchandletable.cpp similarity index 100% rename from src/coreclr/src/gc/gchandletable.cpp rename to src/coreclr/gc/gchandletable.cpp diff --git a/src/coreclr/src/gc/gchandletableimpl.h b/src/coreclr/gc/gchandletableimpl.h similarity index 100% rename from src/coreclr/src/gc/gchandletableimpl.h rename to src/coreclr/gc/gchandletableimpl.h diff --git a/src/coreclr/src/gc/gcimpl.h b/src/coreclr/gc/gcimpl.h similarity index 100% rename from src/coreclr/src/gc/gcimpl.h rename to src/coreclr/gc/gcimpl.h diff --git a/src/coreclr/src/gc/gcinterface.dac.h b/src/coreclr/gc/gcinterface.dac.h similarity index 100% rename from src/coreclr/src/gc/gcinterface.dac.h rename to src/coreclr/gc/gcinterface.dac.h diff --git a/src/coreclr/src/gc/gcinterface.dacvars.def b/src/coreclr/gc/gcinterface.dacvars.def similarity index 100% rename from src/coreclr/src/gc/gcinterface.dacvars.def rename to src/coreclr/gc/gcinterface.dacvars.def diff --git a/src/coreclr/src/gc/gcinterface.ee.h b/src/coreclr/gc/gcinterface.ee.h similarity index 100% rename from src/coreclr/src/gc/gcinterface.ee.h rename to src/coreclr/gc/gcinterface.ee.h diff --git a/src/coreclr/src/gc/gcinterface.h b/src/coreclr/gc/gcinterface.h similarity index 100% rename from src/coreclr/src/gc/gcinterface.h rename to src/coreclr/gc/gcinterface.h diff --git a/src/coreclr/src/gc/gcload.cpp b/src/coreclr/gc/gcload.cpp similarity index 100% rename from src/coreclr/src/gc/gcload.cpp rename to src/coreclr/gc/gcload.cpp diff --git a/src/coreclr/src/gc/gcpriv.h b/src/coreclr/gc/gcpriv.h similarity index 100% rename from src/coreclr/src/gc/gcpriv.h rename to src/coreclr/gc/gcpriv.h diff --git a/src/coreclr/src/gc/gcrecord.h b/src/coreclr/gc/gcrecord.h similarity index 100% rename from src/coreclr/src/gc/gcrecord.h rename to src/coreclr/gc/gcrecord.h diff --git a/src/coreclr/src/gc/gcscan.cpp b/src/coreclr/gc/gcscan.cpp similarity index 100% rename from src/coreclr/src/gc/gcscan.cpp rename to src/coreclr/gc/gcscan.cpp diff --git a/src/coreclr/src/gc/gcscan.h b/src/coreclr/gc/gcscan.h similarity index 100% rename from src/coreclr/src/gc/gcscan.h rename to src/coreclr/gc/gcscan.h diff --git a/src/coreclr/src/gc/gcsvr.cpp b/src/coreclr/gc/gcsvr.cpp similarity index 100% rename from src/coreclr/src/gc/gcsvr.cpp rename to src/coreclr/gc/gcsvr.cpp diff --git a/src/coreclr/src/gc/gcwks.cpp b/src/coreclr/gc/gcwks.cpp similarity index 100% rename from src/coreclr/src/gc/gcwks.cpp rename to src/coreclr/gc/gcwks.cpp diff --git a/src/coreclr/src/gc/handletable.cpp b/src/coreclr/gc/handletable.cpp similarity index 100% rename from src/coreclr/src/gc/handletable.cpp rename to src/coreclr/gc/handletable.cpp diff --git a/src/coreclr/src/gc/handletable.h b/src/coreclr/gc/handletable.h similarity index 100% rename from src/coreclr/src/gc/handletable.h rename to src/coreclr/gc/handletable.h diff --git a/src/coreclr/src/gc/handletable.inl b/src/coreclr/gc/handletable.inl similarity index 100% rename from src/coreclr/src/gc/handletable.inl rename to src/coreclr/gc/handletable.inl diff --git a/src/coreclr/src/gc/handletablecache.cpp b/src/coreclr/gc/handletablecache.cpp similarity index 100% rename from src/coreclr/src/gc/handletablecache.cpp rename to src/coreclr/gc/handletablecache.cpp diff --git a/src/coreclr/src/gc/handletablecore.cpp b/src/coreclr/gc/handletablecore.cpp similarity index 100% rename from src/coreclr/src/gc/handletablecore.cpp rename to src/coreclr/gc/handletablecore.cpp diff --git a/src/coreclr/src/gc/handletablepriv.h b/src/coreclr/gc/handletablepriv.h similarity index 100% rename from src/coreclr/src/gc/handletablepriv.h rename to src/coreclr/gc/handletablepriv.h diff --git a/src/coreclr/src/gc/handletablescan.cpp b/src/coreclr/gc/handletablescan.cpp similarity index 100% rename from src/coreclr/src/gc/handletablescan.cpp rename to src/coreclr/gc/handletablescan.cpp diff --git a/src/coreclr/src/gc/objecthandle.cpp b/src/coreclr/gc/objecthandle.cpp similarity index 100% rename from src/coreclr/src/gc/objecthandle.cpp rename to src/coreclr/gc/objecthandle.cpp diff --git a/src/coreclr/src/gc/objecthandle.h b/src/coreclr/gc/objecthandle.h similarity index 100% rename from src/coreclr/src/gc/objecthandle.h rename to src/coreclr/gc/objecthandle.h diff --git a/src/coreclr/src/gc/sample/CMakeLists.txt b/src/coreclr/gc/sample/CMakeLists.txt similarity index 100% rename from src/coreclr/src/gc/sample/CMakeLists.txt rename to src/coreclr/gc/sample/CMakeLists.txt diff --git a/src/coreclr/src/gc/sample/GCSample.cpp b/src/coreclr/gc/sample/GCSample.cpp similarity index 100% rename from src/coreclr/src/gc/sample/GCSample.cpp rename to src/coreclr/gc/sample/GCSample.cpp diff --git a/src/coreclr/src/gc/sample/GCSample.vcxproj b/src/coreclr/gc/sample/GCSample.vcxproj similarity index 100% rename from src/coreclr/src/gc/sample/GCSample.vcxproj rename to src/coreclr/gc/sample/GCSample.vcxproj diff --git a/src/coreclr/src/gc/sample/GCSample.vcxproj.filters b/src/coreclr/gc/sample/GCSample.vcxproj.filters similarity index 100% rename from src/coreclr/src/gc/sample/GCSample.vcxproj.filters rename to src/coreclr/gc/sample/GCSample.vcxproj.filters diff --git a/src/coreclr/src/gc/sample/gcenv.ee.cpp b/src/coreclr/gc/sample/gcenv.ee.cpp similarity index 100% rename from src/coreclr/src/gc/sample/gcenv.ee.cpp rename to src/coreclr/gc/sample/gcenv.ee.cpp diff --git a/src/coreclr/src/gc/sample/gcenv.h b/src/coreclr/gc/sample/gcenv.h similarity index 100% rename from src/coreclr/src/gc/sample/gcenv.h rename to src/coreclr/gc/sample/gcenv.h diff --git a/src/coreclr/src/gc/softwarewritewatch.cpp b/src/coreclr/gc/softwarewritewatch.cpp similarity index 100% rename from src/coreclr/src/gc/softwarewritewatch.cpp rename to src/coreclr/gc/softwarewritewatch.cpp diff --git a/src/coreclr/src/gc/softwarewritewatch.h b/src/coreclr/gc/softwarewritewatch.h similarity index 100% rename from src/coreclr/src/gc/softwarewritewatch.h rename to src/coreclr/gc/softwarewritewatch.h diff --git a/src/coreclr/src/gc/unix/CMakeLists.txt b/src/coreclr/gc/unix/CMakeLists.txt similarity index 100% rename from src/coreclr/src/gc/unix/CMakeLists.txt rename to src/coreclr/gc/unix/CMakeLists.txt diff --git a/src/coreclr/src/gc/unix/cgroup.cpp b/src/coreclr/gc/unix/cgroup.cpp similarity index 100% rename from src/coreclr/src/gc/unix/cgroup.cpp rename to src/coreclr/gc/unix/cgroup.cpp diff --git a/src/coreclr/src/gc/unix/cgroup.h b/src/coreclr/gc/unix/cgroup.h similarity index 100% rename from src/coreclr/src/gc/unix/cgroup.h rename to src/coreclr/gc/unix/cgroup.h diff --git a/src/coreclr/src/gc/unix/config.gc.h.in b/src/coreclr/gc/unix/config.gc.h.in similarity index 100% rename from src/coreclr/src/gc/unix/config.gc.h.in rename to src/coreclr/gc/unix/config.gc.h.in diff --git a/src/coreclr/src/gc/unix/configure.cmake b/src/coreclr/gc/unix/configure.cmake similarity index 100% rename from src/coreclr/src/gc/unix/configure.cmake rename to src/coreclr/gc/unix/configure.cmake diff --git a/src/coreclr/src/gc/unix/events.cpp b/src/coreclr/gc/unix/events.cpp similarity index 100% rename from src/coreclr/src/gc/unix/events.cpp rename to src/coreclr/gc/unix/events.cpp diff --git a/src/coreclr/src/gc/unix/gcenv.unix.cpp b/src/coreclr/gc/unix/gcenv.unix.cpp similarity index 100% rename from src/coreclr/src/gc/unix/gcenv.unix.cpp rename to src/coreclr/gc/unix/gcenv.unix.cpp diff --git a/src/coreclr/src/gc/unix/globals.h b/src/coreclr/gc/unix/globals.h similarity index 100% rename from src/coreclr/src/gc/unix/globals.h rename to src/coreclr/gc/unix/globals.h diff --git a/src/coreclr/src/gc/vxsort/alignment.h b/src/coreclr/gc/vxsort/alignment.h similarity index 100% rename from src/coreclr/src/gc/vxsort/alignment.h rename to src/coreclr/gc/vxsort/alignment.h diff --git a/src/coreclr/src/gc/vxsort/defs.h b/src/coreclr/gc/vxsort/defs.h similarity index 100% rename from src/coreclr/src/gc/vxsort/defs.h rename to src/coreclr/gc/vxsort/defs.h diff --git a/src/coreclr/src/gc/vxsort/do_vxsort.h b/src/coreclr/gc/vxsort/do_vxsort.h similarity index 100% rename from src/coreclr/src/gc/vxsort/do_vxsort.h rename to src/coreclr/gc/vxsort/do_vxsort.h diff --git a/src/coreclr/src/gc/vxsort/do_vxsort_avx2.cpp b/src/coreclr/gc/vxsort/do_vxsort_avx2.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/do_vxsort_avx2.cpp rename to src/coreclr/gc/vxsort/do_vxsort_avx2.cpp diff --git a/src/coreclr/src/gc/vxsort/do_vxsort_avx512.cpp b/src/coreclr/gc/vxsort/do_vxsort_avx512.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/do_vxsort_avx512.cpp rename to src/coreclr/gc/vxsort/do_vxsort_avx512.cpp diff --git a/src/coreclr/src/gc/vxsort/isa_detection.cpp b/src/coreclr/gc/vxsort/isa_detection.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/isa_detection.cpp rename to src/coreclr/gc/vxsort/isa_detection.cpp diff --git a/src/coreclr/src/gc/vxsort/machine_traits.avx2.cpp b/src/coreclr/gc/vxsort/machine_traits.avx2.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/machine_traits.avx2.cpp rename to src/coreclr/gc/vxsort/machine_traits.avx2.cpp diff --git a/src/coreclr/src/gc/vxsort/machine_traits.avx2.h b/src/coreclr/gc/vxsort/machine_traits.avx2.h similarity index 100% rename from src/coreclr/src/gc/vxsort/machine_traits.avx2.h rename to src/coreclr/gc/vxsort/machine_traits.avx2.h diff --git a/src/coreclr/src/gc/vxsort/machine_traits.avx512.h b/src/coreclr/gc/vxsort/machine_traits.avx512.h similarity index 100% rename from src/coreclr/src/gc/vxsort/machine_traits.avx512.h rename to src/coreclr/gc/vxsort/machine_traits.avx512.h diff --git a/src/coreclr/src/gc/vxsort/machine_traits.h b/src/coreclr/gc/vxsort/machine_traits.h similarity index 100% rename from src/coreclr/src/gc/vxsort/machine_traits.h rename to src/coreclr/gc/vxsort/machine_traits.h diff --git a/src/coreclr/src/gc/vxsort/packer.h b/src/coreclr/gc/vxsort/packer.h similarity index 100% rename from src/coreclr/src/gc/vxsort/packer.h rename to src/coreclr/gc/vxsort/packer.h diff --git a/src/coreclr/src/gc/vxsort/smallsort/avx2_load_mask_tables.cpp b/src/coreclr/gc/vxsort/smallsort/avx2_load_mask_tables.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/avx2_load_mask_tables.cpp rename to src/coreclr/gc/vxsort/smallsort/avx2_load_mask_tables.cpp diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.cpp b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.cpp rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.cpp diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.h b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.h similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.h rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX2.int32_t.generated.h diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.cpp b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.cpp rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.cpp diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.h b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.h similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.h rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX2.int64_t.generated.h diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.cpp b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.cpp rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.cpp diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.h b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.h similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.h rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX512.int32_t.generated.h diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.cpp b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.cpp similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.cpp rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.cpp diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.h b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.h similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.h rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.AVX512.int64_t.generated.h diff --git a/src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.h b/src/coreclr/gc/vxsort/smallsort/bitonic_sort.h similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/bitonic_sort.h rename to src/coreclr/gc/vxsort/smallsort/bitonic_sort.h diff --git a/src/coreclr/src/gc/vxsort/smallsort/codegen/avx2.py b/src/coreclr/gc/vxsort/smallsort/codegen/avx2.py similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/codegen/avx2.py rename to src/coreclr/gc/vxsort/smallsort/codegen/avx2.py diff --git a/src/coreclr/src/gc/vxsort/smallsort/codegen/avx512.py b/src/coreclr/gc/vxsort/smallsort/codegen/avx512.py similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/codegen/avx512.py rename to src/coreclr/gc/vxsort/smallsort/codegen/avx512.py diff --git a/src/coreclr/src/gc/vxsort/smallsort/codegen/bitonic_gen.py b/src/coreclr/gc/vxsort/smallsort/codegen/bitonic_gen.py similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/codegen/bitonic_gen.py rename to src/coreclr/gc/vxsort/smallsort/codegen/bitonic_gen.py diff --git a/src/coreclr/src/gc/vxsort/smallsort/codegen/bitonic_isa.py b/src/coreclr/gc/vxsort/smallsort/codegen/bitonic_isa.py similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/codegen/bitonic_isa.py rename to src/coreclr/gc/vxsort/smallsort/codegen/bitonic_isa.py diff --git a/src/coreclr/src/gc/vxsort/smallsort/codegen/utils.py b/src/coreclr/gc/vxsort/smallsort/codegen/utils.py similarity index 100% rename from src/coreclr/src/gc/vxsort/smallsort/codegen/utils.py rename to src/coreclr/gc/vxsort/smallsort/codegen/utils.py diff --git a/src/coreclr/src/gc/vxsort/vxsort.h b/src/coreclr/gc/vxsort/vxsort.h similarity index 100% rename from src/coreclr/src/gc/vxsort/vxsort.h rename to src/coreclr/gc/vxsort/vxsort.h diff --git a/src/coreclr/src/gc/vxsort/vxsort_targets_disable.h b/src/coreclr/gc/vxsort/vxsort_targets_disable.h similarity index 100% rename from src/coreclr/src/gc/vxsort/vxsort_targets_disable.h rename to src/coreclr/gc/vxsort/vxsort_targets_disable.h diff --git a/src/coreclr/src/gc/vxsort/vxsort_targets_enable_avx2.h b/src/coreclr/gc/vxsort/vxsort_targets_enable_avx2.h similarity index 100% rename from src/coreclr/src/gc/vxsort/vxsort_targets_enable_avx2.h rename to src/coreclr/gc/vxsort/vxsort_targets_enable_avx2.h diff --git a/src/coreclr/src/gc/vxsort/vxsort_targets_enable_avx512.h b/src/coreclr/gc/vxsort/vxsort_targets_enable_avx512.h similarity index 100% rename from src/coreclr/src/gc/vxsort/vxsort_targets_enable_avx512.h rename to src/coreclr/gc/vxsort/vxsort_targets_enable_avx512.h diff --git a/src/coreclr/src/gc/windows/gcenv.windows.cpp b/src/coreclr/gc/windows/gcenv.windows.cpp similarity index 100% rename from src/coreclr/src/gc/windows/gcenv.windows.cpp rename to src/coreclr/gc/windows/gcenv.windows.cpp diff --git a/src/coreclr/src/gcdump/gcdump.cpp b/src/coreclr/gcdump/gcdump.cpp similarity index 100% rename from src/coreclr/src/gcdump/gcdump.cpp rename to src/coreclr/gcdump/gcdump.cpp diff --git a/src/coreclr/src/gcdump/gcdumpnonx86.cpp b/src/coreclr/gcdump/gcdumpnonx86.cpp similarity index 100% rename from src/coreclr/src/gcdump/gcdumpnonx86.cpp rename to src/coreclr/gcdump/gcdumpnonx86.cpp diff --git a/src/coreclr/src/gcdump/i386/gcdumpx86.cpp b/src/coreclr/gcdump/i386/gcdumpx86.cpp similarity index 100% rename from src/coreclr/src/gcdump/i386/gcdumpx86.cpp rename to src/coreclr/gcdump/i386/gcdumpx86.cpp diff --git a/src/coreclr/src/gcinfo/CMakeLists.txt b/src/coreclr/gcinfo/CMakeLists.txt similarity index 100% rename from src/coreclr/src/gcinfo/CMakeLists.txt rename to src/coreclr/gcinfo/CMakeLists.txt diff --git a/src/coreclr/src/gcinfo/arraylist.cpp b/src/coreclr/gcinfo/arraylist.cpp similarity index 100% rename from src/coreclr/src/gcinfo/arraylist.cpp rename to src/coreclr/gcinfo/arraylist.cpp diff --git a/src/coreclr/src/gcinfo/gcinfodumper.cpp b/src/coreclr/gcinfo/gcinfodumper.cpp similarity index 100% rename from src/coreclr/src/gcinfo/gcinfodumper.cpp rename to src/coreclr/gcinfo/gcinfodumper.cpp diff --git a/src/coreclr/src/gcinfo/gcinfoencoder.cpp b/src/coreclr/gcinfo/gcinfoencoder.cpp similarity index 100% rename from src/coreclr/src/gcinfo/gcinfoencoder.cpp rename to src/coreclr/gcinfo/gcinfoencoder.cpp diff --git a/src/coreclr/src/gcinfo/simplerhash.cpp b/src/coreclr/gcinfo/simplerhash.cpp similarity index 100% rename from src/coreclr/src/gcinfo/simplerhash.cpp rename to src/coreclr/gcinfo/simplerhash.cpp diff --git a/src/coreclr/src/hosts/CMakeLists.txt b/src/coreclr/hosts/CMakeLists.txt similarity index 100% rename from src/coreclr/src/hosts/CMakeLists.txt rename to src/coreclr/hosts/CMakeLists.txt diff --git a/src/coreclr/src/hosts/applydefines.pl b/src/coreclr/hosts/applydefines.pl similarity index 100% rename from src/coreclr/src/hosts/applydefines.pl rename to src/coreclr/hosts/applydefines.pl diff --git a/src/coreclr/src/hosts/corerun/CMakeLists.txt b/src/coreclr/hosts/corerun/CMakeLists.txt similarity index 100% rename from src/coreclr/src/hosts/corerun/CMakeLists.txt rename to src/coreclr/hosts/corerun/CMakeLists.txt diff --git a/src/coreclr/src/hosts/corerun/corerun.cpp b/src/coreclr/hosts/corerun/corerun.cpp similarity index 100% rename from src/coreclr/src/hosts/corerun/corerun.cpp rename to src/coreclr/hosts/corerun/corerun.cpp diff --git a/src/coreclr/src/hosts/corerun/logger.cpp b/src/coreclr/hosts/corerun/logger.cpp similarity index 100% rename from src/coreclr/src/hosts/corerun/logger.cpp rename to src/coreclr/hosts/corerun/logger.cpp diff --git a/src/coreclr/src/hosts/corerun/logger.h b/src/coreclr/hosts/corerun/logger.h similarity index 100% rename from src/coreclr/src/hosts/corerun/logger.h rename to src/coreclr/hosts/corerun/logger.h diff --git a/src/coreclr/src/hosts/corerun/native.rc b/src/coreclr/hosts/corerun/native.rc similarity index 100% rename from src/coreclr/src/hosts/corerun/native.rc rename to src/coreclr/hosts/corerun/native.rc diff --git a/src/coreclr/src/hosts/corerun/test.txt b/src/coreclr/hosts/corerun/test.txt similarity index 100% rename from src/coreclr/src/hosts/corerun/test.txt rename to src/coreclr/hosts/corerun/test.txt diff --git a/src/coreclr/src/hosts/coreshim/CMakeLists.txt b/src/coreclr/hosts/coreshim/CMakeLists.txt similarity index 100% rename from src/coreclr/src/hosts/coreshim/CMakeLists.txt rename to src/coreclr/hosts/coreshim/CMakeLists.txt diff --git a/src/coreclr/src/hosts/coreshim/ComActivation.cpp b/src/coreclr/hosts/coreshim/ComActivation.cpp similarity index 100% rename from src/coreclr/src/hosts/coreshim/ComActivation.cpp rename to src/coreclr/hosts/coreshim/ComActivation.cpp diff --git a/src/coreclr/src/hosts/coreshim/CoreShim.cpp b/src/coreclr/hosts/coreshim/CoreShim.cpp similarity index 100% rename from src/coreclr/src/hosts/coreshim/CoreShim.cpp rename to src/coreclr/hosts/coreshim/CoreShim.cpp diff --git a/src/coreclr/src/hosts/coreshim/CoreShim.h b/src/coreclr/hosts/coreshim/CoreShim.h similarity index 100% rename from src/coreclr/src/hosts/coreshim/CoreShim.h rename to src/coreclr/hosts/coreshim/CoreShim.h diff --git a/src/coreclr/src/hosts/coreshim/Exports.def b/src/coreclr/hosts/coreshim/Exports.def similarity index 100% rename from src/coreclr/src/hosts/coreshim/Exports.def rename to src/coreclr/hosts/coreshim/Exports.def diff --git a/src/coreclr/src/hosts/inc/coreclrhost.h b/src/coreclr/hosts/inc/coreclrhost.h similarity index 100% rename from src/coreclr/src/hosts/inc/coreclrhost.h rename to src/coreclr/hosts/inc/coreclrhost.h diff --git a/src/coreclr/src/hosts/unixcorerun/CMakeLists.txt b/src/coreclr/hosts/unixcorerun/CMakeLists.txt similarity index 100% rename from src/coreclr/src/hosts/unixcorerun/CMakeLists.txt rename to src/coreclr/hosts/unixcorerun/CMakeLists.txt diff --git a/src/coreclr/src/hosts/unixcorerun/config.h.in b/src/coreclr/hosts/unixcorerun/config.h.in similarity index 100% rename from src/coreclr/src/hosts/unixcorerun/config.h.in rename to src/coreclr/hosts/unixcorerun/config.h.in diff --git a/src/coreclr/src/hosts/unixcorerun/configure.cmake b/src/coreclr/hosts/unixcorerun/configure.cmake similarity index 100% rename from src/coreclr/src/hosts/unixcorerun/configure.cmake rename to src/coreclr/hosts/unixcorerun/configure.cmake diff --git a/src/coreclr/src/hosts/unixcorerun/corerun.cpp b/src/coreclr/hosts/unixcorerun/corerun.cpp similarity index 100% rename from src/coreclr/src/hosts/unixcorerun/corerun.cpp rename to src/coreclr/hosts/unixcorerun/corerun.cpp diff --git a/src/coreclr/src/ilasm/CMakeLists.txt b/src/coreclr/ilasm/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ilasm/CMakeLists.txt rename to src/coreclr/ilasm/CMakeLists.txt diff --git a/src/coreclr/src/ilasm/Native.rc b/src/coreclr/ilasm/Native.rc similarity index 100% rename from src/coreclr/src/ilasm/Native.rc rename to src/coreclr/ilasm/Native.rc diff --git a/src/coreclr/src/ilasm/asmenum.h b/src/coreclr/ilasm/asmenum.h similarity index 100% rename from src/coreclr/src/ilasm/asmenum.h rename to src/coreclr/ilasm/asmenum.h diff --git a/src/coreclr/src/ilasm/asmman.cpp b/src/coreclr/ilasm/asmman.cpp similarity index 100% rename from src/coreclr/src/ilasm/asmman.cpp rename to src/coreclr/ilasm/asmman.cpp diff --git a/src/coreclr/src/ilasm/asmman.hpp b/src/coreclr/ilasm/asmman.hpp similarity index 100% rename from src/coreclr/src/ilasm/asmman.hpp rename to src/coreclr/ilasm/asmman.hpp diff --git a/src/coreclr/src/ilasm/asmparse.h b/src/coreclr/ilasm/asmparse.h similarity index 100% rename from src/coreclr/src/ilasm/asmparse.h rename to src/coreclr/ilasm/asmparse.h diff --git a/src/coreclr/src/ilasm/asmparse.y b/src/coreclr/ilasm/asmparse.y similarity index 100% rename from src/coreclr/src/ilasm/asmparse.y rename to src/coreclr/ilasm/asmparse.y diff --git a/src/coreclr/src/ilasm/asmtemplates.h b/src/coreclr/ilasm/asmtemplates.h similarity index 100% rename from src/coreclr/src/ilasm/asmtemplates.h rename to src/coreclr/ilasm/asmtemplates.h diff --git a/src/coreclr/src/ilasm/assem.cpp b/src/coreclr/ilasm/assem.cpp similarity index 100% rename from src/coreclr/src/ilasm/assem.cpp rename to src/coreclr/ilasm/assem.cpp diff --git a/src/coreclr/src/ilasm/assembler.cpp b/src/coreclr/ilasm/assembler.cpp similarity index 100% rename from src/coreclr/src/ilasm/assembler.cpp rename to src/coreclr/ilasm/assembler.cpp diff --git a/src/coreclr/src/ilasm/assembler.h b/src/coreclr/ilasm/assembler.h similarity index 100% rename from src/coreclr/src/ilasm/assembler.h rename to src/coreclr/ilasm/assembler.h diff --git a/src/coreclr/src/ilasm/binstr.h b/src/coreclr/ilasm/binstr.h similarity index 100% rename from src/coreclr/src/ilasm/binstr.h rename to src/coreclr/ilasm/binstr.h diff --git a/src/coreclr/src/ilasm/class.hpp b/src/coreclr/ilasm/class.hpp similarity index 100% rename from src/coreclr/src/ilasm/class.hpp rename to src/coreclr/ilasm/class.hpp diff --git a/src/coreclr/src/ilasm/extractGrammar.pl b/src/coreclr/ilasm/extractGrammar.pl similarity index 100% rename from src/coreclr/src/ilasm/extractGrammar.pl rename to src/coreclr/ilasm/extractGrammar.pl diff --git a/src/coreclr/src/ilasm/grammar_after.cpp b/src/coreclr/ilasm/grammar_after.cpp similarity index 100% rename from src/coreclr/src/ilasm/grammar_after.cpp rename to src/coreclr/ilasm/grammar_after.cpp diff --git a/src/coreclr/src/ilasm/grammar_before.cpp b/src/coreclr/ilasm/grammar_before.cpp similarity index 100% rename from src/coreclr/src/ilasm/grammar_before.cpp rename to src/coreclr/ilasm/grammar_before.cpp diff --git a/src/coreclr/src/ilasm/ilasmpch.h b/src/coreclr/ilasm/ilasmpch.h similarity index 100% rename from src/coreclr/src/ilasm/ilasmpch.h rename to src/coreclr/ilasm/ilasmpch.h diff --git a/src/coreclr/src/ilasm/main.cpp b/src/coreclr/ilasm/main.cpp similarity index 100% rename from src/coreclr/src/ilasm/main.cpp rename to src/coreclr/ilasm/main.cpp diff --git a/src/coreclr/src/ilasm/method.cpp b/src/coreclr/ilasm/method.cpp similarity index 100% rename from src/coreclr/src/ilasm/method.cpp rename to src/coreclr/ilasm/method.cpp diff --git a/src/coreclr/src/ilasm/method.hpp b/src/coreclr/ilasm/method.hpp similarity index 100% rename from src/coreclr/src/ilasm/method.hpp rename to src/coreclr/ilasm/method.hpp diff --git a/src/coreclr/src/ilasm/nvpair.h b/src/coreclr/ilasm/nvpair.h similarity index 100% rename from src/coreclr/src/ilasm/nvpair.h rename to src/coreclr/ilasm/nvpair.h diff --git a/src/coreclr/src/ilasm/portable_pdb.cpp b/src/coreclr/ilasm/portable_pdb.cpp similarity index 100% rename from src/coreclr/src/ilasm/portable_pdb.cpp rename to src/coreclr/ilasm/portable_pdb.cpp diff --git a/src/coreclr/src/ilasm/portable_pdb.h b/src/coreclr/ilasm/portable_pdb.h similarity index 100% rename from src/coreclr/src/ilasm/portable_pdb.h rename to src/coreclr/ilasm/portable_pdb.h diff --git a/src/coreclr/src/ilasm/prebuilt/asmparse.cpp b/src/coreclr/ilasm/prebuilt/asmparse.cpp similarity index 100% rename from src/coreclr/src/ilasm/prebuilt/asmparse.cpp rename to src/coreclr/ilasm/prebuilt/asmparse.cpp diff --git a/src/coreclr/src/ilasm/prebuilt/asmparse.grammar b/src/coreclr/ilasm/prebuilt/asmparse.grammar similarity index 100% rename from src/coreclr/src/ilasm/prebuilt/asmparse.grammar rename to src/coreclr/ilasm/prebuilt/asmparse.grammar diff --git a/src/coreclr/src/ilasm/typar.hpp b/src/coreclr/ilasm/typar.hpp similarity index 100% rename from src/coreclr/src/ilasm/typar.hpp rename to src/coreclr/ilasm/typar.hpp diff --git a/src/coreclr/src/ilasm/writer.cpp b/src/coreclr/ilasm/writer.cpp similarity index 100% rename from src/coreclr/src/ilasm/writer.cpp rename to src/coreclr/ilasm/writer.cpp diff --git a/src/coreclr/src/ilasm/writer_enc.cpp b/src/coreclr/ilasm/writer_enc.cpp similarity index 100% rename from src/coreclr/src/ilasm/writer_enc.cpp rename to src/coreclr/ilasm/writer_enc.cpp diff --git a/src/coreclr/src/ildasm/CMakeLists.txt b/src/coreclr/ildasm/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ildasm/CMakeLists.txt rename to src/coreclr/ildasm/CMakeLists.txt diff --git a/src/coreclr/src/ildasm/ceeload.cpp b/src/coreclr/ildasm/ceeload.cpp similarity index 100% rename from src/coreclr/src/ildasm/ceeload.cpp rename to src/coreclr/ildasm/ceeload.cpp diff --git a/src/coreclr/src/ildasm/ceeload.h b/src/coreclr/ildasm/ceeload.h similarity index 100% rename from src/coreclr/src/ildasm/ceeload.h rename to src/coreclr/ildasm/ceeload.h diff --git a/src/coreclr/src/ildasm/dasm.cpp b/src/coreclr/ildasm/dasm.cpp similarity index 100% rename from src/coreclr/src/ildasm/dasm.cpp rename to src/coreclr/ildasm/dasm.cpp diff --git a/src/coreclr/src/ildasm/dasm.rc b/src/coreclr/ildasm/dasm.rc similarity index 100% rename from src/coreclr/src/ildasm/dasm.rc rename to src/coreclr/ildasm/dasm.rc diff --git a/src/coreclr/src/ildasm/dasm_formattype.cpp b/src/coreclr/ildasm/dasm_formattype.cpp similarity index 100% rename from src/coreclr/src/ildasm/dasm_formattype.cpp rename to src/coreclr/ildasm/dasm_formattype.cpp diff --git a/src/coreclr/src/ildasm/dasm_mi.cpp b/src/coreclr/ildasm/dasm_mi.cpp similarity index 100% rename from src/coreclr/src/ildasm/dasm_mi.cpp rename to src/coreclr/ildasm/dasm_mi.cpp diff --git a/src/coreclr/src/ildasm/dasm_sz.cpp b/src/coreclr/ildasm/dasm_sz.cpp similarity index 100% rename from src/coreclr/src/ildasm/dasm_sz.cpp rename to src/coreclr/ildasm/dasm_sz.cpp diff --git a/src/coreclr/src/ildasm/dasm_sz.h b/src/coreclr/ildasm/dasm_sz.h similarity index 100% rename from src/coreclr/src/ildasm/dasm_sz.h rename to src/coreclr/ildasm/dasm_sz.h diff --git a/src/coreclr/src/ildasm/dasmenum.hpp b/src/coreclr/ildasm/dasmenum.hpp similarity index 100% rename from src/coreclr/src/ildasm/dasmenum.hpp rename to src/coreclr/ildasm/dasmenum.hpp diff --git a/src/coreclr/src/ildasm/dis.cpp b/src/coreclr/ildasm/dis.cpp similarity index 100% rename from src/coreclr/src/ildasm/dis.cpp rename to src/coreclr/ildasm/dis.cpp diff --git a/src/coreclr/src/ildasm/dis.h b/src/coreclr/ildasm/dis.h similarity index 100% rename from src/coreclr/src/ildasm/dis.h rename to src/coreclr/ildasm/dis.h diff --git a/src/coreclr/src/ildasm/dman.cpp b/src/coreclr/ildasm/dman.cpp similarity index 100% rename from src/coreclr/src/ildasm/dman.cpp rename to src/coreclr/ildasm/dman.cpp diff --git a/src/coreclr/src/ildasm/dres.cpp b/src/coreclr/ildasm/dres.cpp similarity index 100% rename from src/coreclr/src/ildasm/dres.cpp rename to src/coreclr/ildasm/dres.cpp diff --git a/src/coreclr/src/ildasm/dynamicarray.h b/src/coreclr/ildasm/dynamicarray.h similarity index 100% rename from src/coreclr/src/ildasm/dynamicarray.h rename to src/coreclr/ildasm/dynamicarray.h diff --git a/src/coreclr/src/ildasm/exe/CMakeLists.txt b/src/coreclr/ildasm/exe/CMakeLists.txt similarity index 100% rename from src/coreclr/src/ildasm/exe/CMakeLists.txt rename to src/coreclr/ildasm/exe/CMakeLists.txt diff --git a/src/coreclr/src/ildasm/ildasmpch.cpp b/src/coreclr/ildasm/ildasmpch.cpp similarity index 100% rename from src/coreclr/src/ildasm/ildasmpch.cpp rename to src/coreclr/ildasm/ildasmpch.cpp diff --git a/src/coreclr/src/ildasm/ildasmpch.h b/src/coreclr/ildasm/ildasmpch.h similarity index 100% rename from src/coreclr/src/ildasm/ildasmpch.h rename to src/coreclr/ildasm/ildasmpch.h diff --git a/src/coreclr/src/ildasm/resource.h b/src/coreclr/ildasm/resource.h similarity index 100% rename from src/coreclr/src/ildasm/resource.h rename to src/coreclr/ildasm/resource.h diff --git a/src/coreclr/src/ildasm/util.hpp b/src/coreclr/ildasm/util.hpp similarity index 100% rename from src/coreclr/src/ildasm/util.hpp rename to src/coreclr/ildasm/util.hpp diff --git a/src/coreclr/src/ildasm/windasm.cpp b/src/coreclr/ildasm/windasm.cpp similarity index 100% rename from src/coreclr/src/ildasm/windasm.cpp rename to src/coreclr/ildasm/windasm.cpp diff --git a/src/coreclr/src/inc/CMakeLists.txt b/src/coreclr/inc/CMakeLists.txt similarity index 100% rename from src/coreclr/src/inc/CMakeLists.txt rename to src/coreclr/inc/CMakeLists.txt diff --git a/src/coreclr/src/inc/CrstTypeTool.cs b/src/coreclr/inc/CrstTypeTool.cs similarity index 100% rename from src/coreclr/src/inc/CrstTypeTool.cs rename to src/coreclr/inc/CrstTypeTool.cs diff --git a/src/coreclr/src/inc/CrstTypes.def b/src/coreclr/inc/CrstTypes.def similarity index 100% rename from src/coreclr/src/inc/CrstTypes.def rename to src/coreclr/inc/CrstTypes.def diff --git a/src/coreclr/src/inc/MSCOREE.IDL b/src/coreclr/inc/MSCOREE.IDL similarity index 100% rename from src/coreclr/src/inc/MSCOREE.IDL rename to src/coreclr/inc/MSCOREE.IDL diff --git a/src/coreclr/src/inc/OpCodeGen.pl b/src/coreclr/inc/OpCodeGen.pl similarity index 100% rename from src/coreclr/src/inc/OpCodeGen.pl rename to src/coreclr/inc/OpCodeGen.pl diff --git a/src/coreclr/src/inc/allocacheck.h b/src/coreclr/inc/allocacheck.h similarity index 100% rename from src/coreclr/src/inc/allocacheck.h rename to src/coreclr/inc/allocacheck.h diff --git a/src/coreclr/src/inc/arrayholder.h b/src/coreclr/inc/arrayholder.h similarity index 100% rename from src/coreclr/src/inc/arrayholder.h rename to src/coreclr/inc/arrayholder.h diff --git a/src/coreclr/src/inc/arraylist.h b/src/coreclr/inc/arraylist.h similarity index 100% rename from src/coreclr/src/inc/arraylist.h rename to src/coreclr/inc/arraylist.h diff --git a/src/coreclr/src/inc/bbsweep.h b/src/coreclr/inc/bbsweep.h similarity index 100% rename from src/coreclr/src/inc/bbsweep.h rename to src/coreclr/inc/bbsweep.h diff --git a/src/coreclr/src/inc/bitmask.h b/src/coreclr/inc/bitmask.h similarity index 100% rename from src/coreclr/src/inc/bitmask.h rename to src/coreclr/inc/bitmask.h diff --git a/src/coreclr/src/inc/bitmask.inl b/src/coreclr/inc/bitmask.inl similarity index 100% rename from src/coreclr/src/inc/bitmask.inl rename to src/coreclr/inc/bitmask.inl diff --git a/src/coreclr/src/inc/bitposition.h b/src/coreclr/inc/bitposition.h similarity index 100% rename from src/coreclr/src/inc/bitposition.h rename to src/coreclr/inc/bitposition.h diff --git a/src/coreclr/src/inc/bitvector.h b/src/coreclr/inc/bitvector.h similarity index 100% rename from src/coreclr/src/inc/bitvector.h rename to src/coreclr/inc/bitvector.h diff --git a/src/coreclr/src/inc/blobfetcher.h b/src/coreclr/inc/blobfetcher.h similarity index 100% rename from src/coreclr/src/inc/blobfetcher.h rename to src/coreclr/inc/blobfetcher.h diff --git a/src/coreclr/src/inc/bundle.h b/src/coreclr/inc/bundle.h similarity index 100% rename from src/coreclr/src/inc/bundle.h rename to src/coreclr/inc/bundle.h diff --git a/src/coreclr/src/inc/cahlpr.h b/src/coreclr/inc/cahlpr.h similarity index 100% rename from src/coreclr/src/inc/cahlpr.h rename to src/coreclr/inc/cahlpr.h diff --git a/src/coreclr/src/inc/caparser.h b/src/coreclr/inc/caparser.h similarity index 100% rename from src/coreclr/src/inc/caparser.h rename to src/coreclr/inc/caparser.h diff --git a/src/coreclr/src/inc/ceefilegenwriter.h b/src/coreclr/inc/ceefilegenwriter.h similarity index 100% rename from src/coreclr/src/inc/ceefilegenwriter.h rename to src/coreclr/inc/ceefilegenwriter.h diff --git a/src/coreclr/src/inc/ceegen.h b/src/coreclr/inc/ceegen.h similarity index 100% rename from src/coreclr/src/inc/ceegen.h rename to src/coreclr/inc/ceegen.h diff --git a/src/coreclr/src/inc/ceegentokenmapper.h b/src/coreclr/inc/ceegentokenmapper.h similarity index 100% rename from src/coreclr/src/inc/ceegentokenmapper.h rename to src/coreclr/inc/ceegentokenmapper.h diff --git a/src/coreclr/src/inc/ceesectionstring.h b/src/coreclr/inc/ceesectionstring.h similarity index 100% rename from src/coreclr/src/inc/ceesectionstring.h rename to src/coreclr/inc/ceesectionstring.h diff --git a/src/coreclr/src/inc/cfi.h b/src/coreclr/inc/cfi.h similarity index 100% rename from src/coreclr/src/inc/cfi.h rename to src/coreclr/inc/cfi.h diff --git a/src/coreclr/src/inc/check.h b/src/coreclr/inc/check.h similarity index 100% rename from src/coreclr/src/inc/check.h rename to src/coreclr/inc/check.h diff --git a/src/coreclr/src/inc/check.inl b/src/coreclr/inc/check.inl similarity index 100% rename from src/coreclr/src/inc/check.inl rename to src/coreclr/inc/check.inl diff --git a/src/coreclr/src/inc/clr/fs.h b/src/coreclr/inc/clr/fs.h similarity index 100% rename from src/coreclr/src/inc/clr/fs.h rename to src/coreclr/inc/clr/fs.h diff --git a/src/coreclr/src/inc/clr/fs/path.h b/src/coreclr/inc/clr/fs/path.h similarity index 100% rename from src/coreclr/src/inc/clr/fs/path.h rename to src/coreclr/inc/clr/fs/path.h diff --git a/src/coreclr/src/inc/clr/stack.h b/src/coreclr/inc/clr/stack.h similarity index 100% rename from src/coreclr/src/inc/clr/stack.h rename to src/coreclr/inc/clr/stack.h diff --git a/src/coreclr/src/inc/clr/str.h b/src/coreclr/inc/clr/str.h similarity index 100% rename from src/coreclr/src/inc/clr/str.h rename to src/coreclr/inc/clr/str.h diff --git a/src/coreclr/src/inc/clr/win32.h b/src/coreclr/inc/clr/win32.h similarity index 100% rename from src/coreclr/src/inc/clr/win32.h rename to src/coreclr/inc/clr/win32.h diff --git a/src/coreclr/src/inc/clr_std/algorithm b/src/coreclr/inc/clr_std/algorithm similarity index 100% rename from src/coreclr/src/inc/clr_std/algorithm rename to src/coreclr/inc/clr_std/algorithm diff --git a/src/coreclr/src/inc/clr_std/string b/src/coreclr/inc/clr_std/string similarity index 100% rename from src/coreclr/src/inc/clr_std/string rename to src/coreclr/inc/clr_std/string diff --git a/src/coreclr/src/inc/clr_std/type_traits b/src/coreclr/inc/clr_std/type_traits similarity index 100% rename from src/coreclr/src/inc/clr_std/type_traits rename to src/coreclr/inc/clr_std/type_traits diff --git a/src/coreclr/src/inc/clr_std/utility b/src/coreclr/inc/clr_std/utility similarity index 100% rename from src/coreclr/src/inc/clr_std/utility rename to src/coreclr/inc/clr_std/utility diff --git a/src/coreclr/src/inc/clr_std/vector b/src/coreclr/inc/clr_std/vector similarity index 100% rename from src/coreclr/src/inc/clr_std/vector rename to src/coreclr/inc/clr_std/vector diff --git a/src/coreclr/src/inc/clrconfig.h b/src/coreclr/inc/clrconfig.h similarity index 100% rename from src/coreclr/src/inc/clrconfig.h rename to src/coreclr/inc/clrconfig.h diff --git a/src/coreclr/src/inc/clrconfigvalues.h b/src/coreclr/inc/clrconfigvalues.h similarity index 100% rename from src/coreclr/src/inc/clrconfigvalues.h rename to src/coreclr/inc/clrconfigvalues.h diff --git a/src/coreclr/src/inc/clrdata.idl b/src/coreclr/inc/clrdata.idl similarity index 100% rename from src/coreclr/src/inc/clrdata.idl rename to src/coreclr/inc/clrdata.idl diff --git a/src/coreclr/src/inc/clrhost.h b/src/coreclr/inc/clrhost.h similarity index 100% rename from src/coreclr/src/inc/clrhost.h rename to src/coreclr/inc/clrhost.h diff --git a/src/coreclr/src/inc/clrinternal.idl b/src/coreclr/inc/clrinternal.idl similarity index 100% rename from src/coreclr/src/inc/clrinternal.idl rename to src/coreclr/inc/clrinternal.idl diff --git a/src/coreclr/src/inc/clrnt.h b/src/coreclr/inc/clrnt.h similarity index 100% rename from src/coreclr/src/inc/clrnt.h rename to src/coreclr/inc/clrnt.h diff --git a/src/coreclr/src/inc/clrprivbinderutil.h b/src/coreclr/inc/clrprivbinderutil.h similarity index 100% rename from src/coreclr/src/inc/clrprivbinderutil.h rename to src/coreclr/inc/clrprivbinderutil.h diff --git a/src/coreclr/src/inc/clrprivbinding.idl b/src/coreclr/inc/clrprivbinding.idl similarity index 100% rename from src/coreclr/src/inc/clrprivbinding.idl rename to src/coreclr/inc/clrprivbinding.idl diff --git a/src/coreclr/src/inc/clrtypes.h b/src/coreclr/inc/clrtypes.h similarity index 100% rename from src/coreclr/src/inc/clrtypes.h rename to src/coreclr/inc/clrtypes.h diff --git a/src/coreclr/src/inc/clrversion.h b/src/coreclr/inc/clrversion.h similarity index 100% rename from src/coreclr/src/inc/clrversion.h rename to src/coreclr/inc/clrversion.h diff --git a/src/coreclr/src/inc/complex.h b/src/coreclr/inc/complex.h similarity index 100% rename from src/coreclr/src/inc/complex.h rename to src/coreclr/inc/complex.h diff --git a/src/coreclr/src/inc/configuration.h b/src/coreclr/inc/configuration.h similarity index 100% rename from src/coreclr/src/inc/configuration.h rename to src/coreclr/inc/configuration.h diff --git a/src/coreclr/src/inc/contract.h b/src/coreclr/inc/contract.h similarity index 100% rename from src/coreclr/src/inc/contract.h rename to src/coreclr/inc/contract.h diff --git a/src/coreclr/src/inc/contract.inl b/src/coreclr/inc/contract.inl similarity index 100% rename from src/coreclr/src/inc/contract.inl rename to src/coreclr/inc/contract.inl diff --git a/src/coreclr/src/inc/contxt.h b/src/coreclr/inc/contxt.h similarity index 100% rename from src/coreclr/src/inc/contxt.h rename to src/coreclr/inc/contxt.h diff --git a/src/coreclr/src/inc/cor.h b/src/coreclr/inc/cor.h similarity index 100% rename from src/coreclr/src/inc/cor.h rename to src/coreclr/inc/cor.h diff --git a/src/coreclr/src/inc/corbbtprof.h b/src/coreclr/inc/corbbtprof.h similarity index 100% rename from src/coreclr/src/inc/corbbtprof.h rename to src/coreclr/inc/corbbtprof.h diff --git a/src/coreclr/src/inc/corcompile.h b/src/coreclr/inc/corcompile.h similarity index 100% rename from src/coreclr/src/inc/corcompile.h rename to src/coreclr/inc/corcompile.h diff --git a/src/coreclr/src/inc/cordbpriv.h b/src/coreclr/inc/cordbpriv.h similarity index 100% rename from src/coreclr/src/inc/cordbpriv.h rename to src/coreclr/inc/cordbpriv.h diff --git a/src/coreclr/src/inc/cordebug.idl b/src/coreclr/inc/cordebug.idl similarity index 100% rename from src/coreclr/src/inc/cordebug.idl rename to src/coreclr/inc/cordebug.idl diff --git a/src/coreclr/src/inc/cordebuginfo.h b/src/coreclr/inc/cordebuginfo.h similarity index 100% rename from src/coreclr/src/inc/cordebuginfo.h rename to src/coreclr/inc/cordebuginfo.h diff --git a/src/coreclr/src/inc/coredistools.h b/src/coreclr/inc/coredistools.h similarity index 100% rename from src/coreclr/src/inc/coredistools.h rename to src/coreclr/inc/coredistools.h diff --git a/src/coreclr/src/inc/coregen.h b/src/coreclr/inc/coregen.h similarity index 100% rename from src/coreclr/src/inc/coregen.h rename to src/coreclr/inc/coregen.h diff --git a/src/coreclr/src/inc/corerror.xml b/src/coreclr/inc/corerror.xml similarity index 100% rename from src/coreclr/src/inc/corerror.xml rename to src/coreclr/inc/corerror.xml diff --git a/src/coreclr/src/inc/corexcep.h b/src/coreclr/inc/corexcep.h similarity index 100% rename from src/coreclr/src/inc/corexcep.h rename to src/coreclr/inc/corexcep.h diff --git a/src/coreclr/src/inc/corhdr.h b/src/coreclr/inc/corhdr.h similarity index 100% rename from src/coreclr/src/inc/corhdr.h rename to src/coreclr/inc/corhdr.h diff --git a/src/coreclr/src/inc/corhlpr.cpp b/src/coreclr/inc/corhlpr.cpp similarity index 100% rename from src/coreclr/src/inc/corhlpr.cpp rename to src/coreclr/inc/corhlpr.cpp diff --git a/src/coreclr/src/inc/corhlpr.h b/src/coreclr/inc/corhlpr.h similarity index 100% rename from src/coreclr/src/inc/corhlpr.h rename to src/coreclr/inc/corhlpr.h diff --git a/src/coreclr/src/inc/corhlprpriv.cpp b/src/coreclr/inc/corhlprpriv.cpp similarity index 100% rename from src/coreclr/src/inc/corhlprpriv.cpp rename to src/coreclr/inc/corhlprpriv.cpp diff --git a/src/coreclr/src/inc/corhlprpriv.h b/src/coreclr/inc/corhlprpriv.h similarity index 100% rename from src/coreclr/src/inc/corhlprpriv.h rename to src/coreclr/inc/corhlprpriv.h diff --git a/src/coreclr/src/inc/corhost.h b/src/coreclr/inc/corhost.h similarity index 100% rename from src/coreclr/src/inc/corhost.h rename to src/coreclr/inc/corhost.h diff --git a/src/coreclr/src/inc/corimage.h b/src/coreclr/inc/corimage.h similarity index 100% rename from src/coreclr/src/inc/corimage.h rename to src/coreclr/inc/corimage.h diff --git a/src/coreclr/src/inc/corinfo.h b/src/coreclr/inc/corinfo.h similarity index 100% rename from src/coreclr/src/inc/corinfo.h rename to src/coreclr/inc/corinfo.h diff --git a/src/coreclr/src/inc/corinfoinstructionset.h b/src/coreclr/inc/corinfoinstructionset.h similarity index 100% rename from src/coreclr/src/inc/corinfoinstructionset.h rename to src/coreclr/inc/corinfoinstructionset.h diff --git a/src/coreclr/src/inc/corjit.h b/src/coreclr/inc/corjit.h similarity index 100% rename from src/coreclr/src/inc/corjit.h rename to src/coreclr/inc/corjit.h diff --git a/src/coreclr/src/inc/corjitflags.h b/src/coreclr/inc/corjitflags.h similarity index 100% rename from src/coreclr/src/inc/corjitflags.h rename to src/coreclr/inc/corjitflags.h diff --git a/src/coreclr/src/inc/corjithost.h b/src/coreclr/inc/corjithost.h similarity index 100% rename from src/coreclr/src/inc/corjithost.h rename to src/coreclr/inc/corjithost.h diff --git a/src/coreclr/src/inc/corpriv.h b/src/coreclr/inc/corpriv.h similarity index 100% rename from src/coreclr/src/inc/corpriv.h rename to src/coreclr/inc/corpriv.h diff --git a/src/coreclr/src/inc/corprof.idl b/src/coreclr/inc/corprof.idl similarity index 100% rename from src/coreclr/src/inc/corprof.idl rename to src/coreclr/inc/corprof.idl diff --git a/src/coreclr/src/inc/corpub.idl b/src/coreclr/inc/corpub.idl similarity index 100% rename from src/coreclr/src/inc/corpub.idl rename to src/coreclr/inc/corpub.idl diff --git a/src/coreclr/src/inc/corsym.idl b/src/coreclr/inc/corsym.idl similarity index 100% rename from src/coreclr/src/inc/corsym.idl rename to src/coreclr/inc/corsym.idl diff --git a/src/coreclr/src/inc/cortypeinfo.h b/src/coreclr/inc/cortypeinfo.h similarity index 100% rename from src/coreclr/src/inc/cortypeinfo.h rename to src/coreclr/inc/cortypeinfo.h diff --git a/src/coreclr/src/inc/crosscomp.h b/src/coreclr/inc/crosscomp.h similarity index 100% rename from src/coreclr/src/inc/crosscomp.h rename to src/coreclr/inc/crosscomp.h diff --git a/src/coreclr/src/inc/crsttypes.h b/src/coreclr/inc/crsttypes.h similarity index 100% rename from src/coreclr/src/inc/crsttypes.h rename to src/coreclr/inc/crsttypes.h diff --git a/src/coreclr/src/inc/crtwrap.h b/src/coreclr/inc/crtwrap.h similarity index 100% rename from src/coreclr/src/inc/crtwrap.h rename to src/coreclr/inc/crtwrap.h diff --git a/src/coreclr/src/inc/cvconst.h b/src/coreclr/inc/cvconst.h similarity index 100% rename from src/coreclr/src/inc/cvconst.h rename to src/coreclr/inc/cvconst.h diff --git a/src/coreclr/src/inc/cvinfo.h b/src/coreclr/inc/cvinfo.h similarity index 100% rename from src/coreclr/src/inc/cvinfo.h rename to src/coreclr/inc/cvinfo.h diff --git a/src/coreclr/src/inc/cycletimer.h b/src/coreclr/inc/cycletimer.h similarity index 100% rename from src/coreclr/src/inc/cycletimer.h rename to src/coreclr/inc/cycletimer.h diff --git a/src/coreclr/src/inc/daccess.h b/src/coreclr/inc/daccess.h similarity index 100% rename from src/coreclr/src/inc/daccess.h rename to src/coreclr/inc/daccess.h diff --git a/src/coreclr/src/inc/dacprivate.h b/src/coreclr/inc/dacprivate.h similarity index 100% rename from src/coreclr/src/inc/dacprivate.h rename to src/coreclr/inc/dacprivate.h diff --git a/src/coreclr/src/inc/dacvars.h b/src/coreclr/inc/dacvars.h similarity index 100% rename from src/coreclr/src/inc/dacvars.h rename to src/coreclr/inc/dacvars.h diff --git a/src/coreclr/src/inc/dbgenginemetrics.h b/src/coreclr/inc/dbgenginemetrics.h similarity index 100% rename from src/coreclr/src/inc/dbgenginemetrics.h rename to src/coreclr/inc/dbgenginemetrics.h diff --git a/src/coreclr/src/inc/dbgmeta.h b/src/coreclr/inc/dbgmeta.h similarity index 100% rename from src/coreclr/src/inc/dbgmeta.h rename to src/coreclr/inc/dbgmeta.h diff --git a/src/coreclr/src/inc/dbgportable.h b/src/coreclr/inc/dbgportable.h similarity index 100% rename from src/coreclr/src/inc/dbgportable.h rename to src/coreclr/inc/dbgportable.h diff --git a/src/coreclr/src/inc/debugmacros.h b/src/coreclr/inc/debugmacros.h similarity index 100% rename from src/coreclr/src/inc/debugmacros.h rename to src/coreclr/inc/debugmacros.h diff --git a/src/coreclr/src/inc/debugmacrosext.h b/src/coreclr/inc/debugmacrosext.h similarity index 100% rename from src/coreclr/src/inc/debugmacrosext.h rename to src/coreclr/inc/debugmacrosext.h diff --git a/src/coreclr/src/inc/debugreturn.h b/src/coreclr/inc/debugreturn.h similarity index 100% rename from src/coreclr/src/inc/debugreturn.h rename to src/coreclr/inc/debugreturn.h diff --git a/src/coreclr/src/inc/defaultallocator.h b/src/coreclr/inc/defaultallocator.h similarity index 100% rename from src/coreclr/src/inc/defaultallocator.h rename to src/coreclr/inc/defaultallocator.h diff --git a/src/coreclr/src/inc/dlwrap.h b/src/coreclr/inc/dlwrap.h similarity index 100% rename from src/coreclr/src/inc/dlwrap.h rename to src/coreclr/inc/dlwrap.h diff --git a/src/coreclr/src/inc/ecmakey.h b/src/coreclr/inc/ecmakey.h similarity index 100% rename from src/coreclr/src/inc/ecmakey.h rename to src/coreclr/inc/ecmakey.h diff --git a/src/coreclr/src/inc/eetwain.h b/src/coreclr/inc/eetwain.h similarity index 100% rename from src/coreclr/src/inc/eetwain.h rename to src/coreclr/inc/eetwain.h diff --git a/src/coreclr/src/inc/eexcp.h b/src/coreclr/inc/eexcp.h similarity index 100% rename from src/coreclr/src/inc/eexcp.h rename to src/coreclr/inc/eexcp.h diff --git a/src/coreclr/src/inc/entrypoints.h b/src/coreclr/inc/entrypoints.h similarity index 100% rename from src/coreclr/src/inc/entrypoints.h rename to src/coreclr/inc/entrypoints.h diff --git a/src/coreclr/src/inc/eventtrace.h b/src/coreclr/inc/eventtrace.h similarity index 100% rename from src/coreclr/src/inc/eventtrace.h rename to src/coreclr/inc/eventtrace.h diff --git a/src/coreclr/src/inc/eventtracebase.h b/src/coreclr/inc/eventtracebase.h similarity index 100% rename from src/coreclr/src/inc/eventtracebase.h rename to src/coreclr/inc/eventtracebase.h diff --git a/src/coreclr/src/inc/ex.h b/src/coreclr/inc/ex.h similarity index 100% rename from src/coreclr/src/inc/ex.h rename to src/coreclr/inc/ex.h diff --git a/src/coreclr/src/inc/factory.h b/src/coreclr/inc/factory.h similarity index 100% rename from src/coreclr/src/inc/factory.h rename to src/coreclr/inc/factory.h diff --git a/src/coreclr/src/inc/factory.inl b/src/coreclr/inc/factory.inl similarity index 100% rename from src/coreclr/src/inc/factory.inl rename to src/coreclr/inc/factory.inl diff --git a/src/coreclr/src/inc/fixuppointer.h b/src/coreclr/inc/fixuppointer.h similarity index 100% rename from src/coreclr/src/inc/fixuppointer.h rename to src/coreclr/inc/fixuppointer.h diff --git a/src/coreclr/src/inc/formattype.cpp b/src/coreclr/inc/formattype.cpp similarity index 100% rename from src/coreclr/src/inc/formattype.cpp rename to src/coreclr/inc/formattype.cpp diff --git a/src/coreclr/src/inc/formattype.h b/src/coreclr/inc/formattype.h similarity index 100% rename from src/coreclr/src/inc/formattype.h rename to src/coreclr/inc/formattype.h diff --git a/src/coreclr/src/inc/fstream.h b/src/coreclr/inc/fstream.h similarity index 100% rename from src/coreclr/src/inc/fstream.h rename to src/coreclr/inc/fstream.h diff --git a/src/coreclr/src/inc/fstring.h b/src/coreclr/inc/fstring.h similarity index 100% rename from src/coreclr/src/inc/fstring.h rename to src/coreclr/inc/fstring.h diff --git a/src/coreclr/src/inc/fusion.idl b/src/coreclr/inc/fusion.idl similarity index 100% rename from src/coreclr/src/inc/fusion.idl rename to src/coreclr/inc/fusion.idl diff --git a/src/coreclr/src/inc/gcdecoder.cpp b/src/coreclr/inc/gcdecoder.cpp similarity index 100% rename from src/coreclr/src/inc/gcdecoder.cpp rename to src/coreclr/inc/gcdecoder.cpp diff --git a/src/coreclr/src/inc/gcdump.h b/src/coreclr/inc/gcdump.h similarity index 100% rename from src/coreclr/src/inc/gcdump.h rename to src/coreclr/inc/gcdump.h diff --git a/src/coreclr/src/inc/gcinfo.h b/src/coreclr/inc/gcinfo.h similarity index 100% rename from src/coreclr/src/inc/gcinfo.h rename to src/coreclr/inc/gcinfo.h diff --git a/src/coreclr/src/inc/gcinfoarraylist.h b/src/coreclr/inc/gcinfoarraylist.h similarity index 100% rename from src/coreclr/src/inc/gcinfoarraylist.h rename to src/coreclr/inc/gcinfoarraylist.h diff --git a/src/coreclr/src/inc/gcinfodecoder.h b/src/coreclr/inc/gcinfodecoder.h similarity index 100% rename from src/coreclr/src/inc/gcinfodecoder.h rename to src/coreclr/inc/gcinfodecoder.h diff --git a/src/coreclr/src/inc/gcinfodumper.h b/src/coreclr/inc/gcinfodumper.h similarity index 100% rename from src/coreclr/src/inc/gcinfodumper.h rename to src/coreclr/inc/gcinfodumper.h diff --git a/src/coreclr/src/inc/gcinfoencoder.h b/src/coreclr/inc/gcinfoencoder.h similarity index 100% rename from src/coreclr/src/inc/gcinfoencoder.h rename to src/coreclr/inc/gcinfoencoder.h diff --git a/src/coreclr/src/inc/gcinfotypes.h b/src/coreclr/inc/gcinfotypes.h similarity index 100% rename from src/coreclr/src/inc/gcinfotypes.h rename to src/coreclr/inc/gcinfotypes.h diff --git a/src/coreclr/src/inc/gcrefmap.h b/src/coreclr/inc/gcrefmap.h similarity index 100% rename from src/coreclr/src/inc/gcrefmap.h rename to src/coreclr/inc/gcrefmap.h diff --git a/src/coreclr/src/inc/genheaders.cs b/src/coreclr/inc/genheaders.cs similarity index 100% rename from src/coreclr/src/inc/genheaders.cs rename to src/coreclr/inc/genheaders.cs diff --git a/src/coreclr/src/inc/genrops.pl b/src/coreclr/inc/genrops.pl similarity index 100% rename from src/coreclr/src/inc/genrops.pl rename to src/coreclr/inc/genrops.pl diff --git a/src/coreclr/src/inc/getproductversionnumber.h b/src/coreclr/inc/getproductversionnumber.h similarity index 100% rename from src/coreclr/src/inc/getproductversionnumber.h rename to src/coreclr/inc/getproductversionnumber.h diff --git a/src/coreclr/src/inc/guidfromname.h b/src/coreclr/inc/guidfromname.h similarity index 100% rename from src/coreclr/src/inc/guidfromname.h rename to src/coreclr/inc/guidfromname.h diff --git a/src/coreclr/src/inc/holder.h b/src/coreclr/inc/holder.h similarity index 100% rename from src/coreclr/src/inc/holder.h rename to src/coreclr/inc/holder.h diff --git a/src/coreclr/src/inc/holderinst.h b/src/coreclr/inc/holderinst.h similarity index 100% rename from src/coreclr/src/inc/holderinst.h rename to src/coreclr/inc/holderinst.h diff --git a/src/coreclr/src/inc/iallocator.h b/src/coreclr/inc/iallocator.h similarity index 100% rename from src/coreclr/src/inc/iallocator.h rename to src/coreclr/inc/iallocator.h diff --git a/src/coreclr/src/inc/iceefilegen.h b/src/coreclr/inc/iceefilegen.h similarity index 100% rename from src/coreclr/src/inc/iceefilegen.h rename to src/coreclr/inc/iceefilegen.h diff --git a/src/coreclr/src/inc/il_kywd.h b/src/coreclr/inc/il_kywd.h similarity index 100% rename from src/coreclr/src/inc/il_kywd.h rename to src/coreclr/inc/il_kywd.h diff --git a/src/coreclr/src/inc/ildbsymlib.h b/src/coreclr/inc/ildbsymlib.h similarity index 100% rename from src/coreclr/src/inc/ildbsymlib.h rename to src/coreclr/inc/ildbsymlib.h diff --git a/src/coreclr/src/inc/ilformatter.h b/src/coreclr/inc/ilformatter.h similarity index 100% rename from src/coreclr/src/inc/ilformatter.h rename to src/coreclr/inc/ilformatter.h diff --git a/src/coreclr/src/inc/internalunknownimpl.h b/src/coreclr/inc/internalunknownimpl.h similarity index 100% rename from src/coreclr/src/inc/internalunknownimpl.h rename to src/coreclr/inc/internalunknownimpl.h diff --git a/src/coreclr/src/inc/intrinsic.h b/src/coreclr/inc/intrinsic.h similarity index 100% rename from src/coreclr/src/inc/intrinsic.h rename to src/coreclr/inc/intrinsic.h diff --git a/src/coreclr/src/inc/iterator.h b/src/coreclr/inc/iterator.h similarity index 100% rename from src/coreclr/src/inc/iterator.h rename to src/coreclr/inc/iterator.h diff --git a/src/coreclr/src/inc/jiteeversionguid.h b/src/coreclr/inc/jiteeversionguid.h similarity index 100% rename from src/coreclr/src/inc/jiteeversionguid.h rename to src/coreclr/inc/jiteeversionguid.h diff --git a/src/coreclr/src/inc/jithelpers.h b/src/coreclr/inc/jithelpers.h similarity index 100% rename from src/coreclr/src/inc/jithelpers.h rename to src/coreclr/inc/jithelpers.h diff --git a/src/coreclr/src/inc/livedatatarget.h b/src/coreclr/inc/livedatatarget.h similarity index 100% rename from src/coreclr/src/inc/livedatatarget.h rename to src/coreclr/inc/livedatatarget.h diff --git a/src/coreclr/src/inc/llvm/Dwarf.def b/src/coreclr/inc/llvm/Dwarf.def similarity index 100% rename from src/coreclr/src/inc/llvm/Dwarf.def rename to src/coreclr/inc/llvm/Dwarf.def diff --git a/src/coreclr/src/inc/llvm/Dwarf.h b/src/coreclr/inc/llvm/Dwarf.h similarity index 100% rename from src/coreclr/src/inc/llvm/Dwarf.h rename to src/coreclr/inc/llvm/Dwarf.h diff --git a/src/coreclr/src/inc/llvm/ELF.h b/src/coreclr/inc/llvm/ELF.h similarity index 100% rename from src/coreclr/src/inc/llvm/ELF.h rename to src/coreclr/inc/llvm/ELF.h diff --git a/src/coreclr/src/inc/loaderheap.h b/src/coreclr/inc/loaderheap.h similarity index 100% rename from src/coreclr/src/inc/loaderheap.h rename to src/coreclr/inc/loaderheap.h diff --git a/src/coreclr/src/inc/log.h b/src/coreclr/inc/log.h similarity index 100% rename from src/coreclr/src/inc/log.h rename to src/coreclr/inc/log.h diff --git a/src/coreclr/src/inc/loglf.h b/src/coreclr/inc/loglf.h similarity index 100% rename from src/coreclr/src/inc/loglf.h rename to src/coreclr/inc/loglf.h diff --git a/src/coreclr/src/inc/longfilepathwrappers.h b/src/coreclr/inc/longfilepathwrappers.h similarity index 100% rename from src/coreclr/src/inc/longfilepathwrappers.h rename to src/coreclr/inc/longfilepathwrappers.h diff --git a/src/coreclr/src/inc/md5.h b/src/coreclr/inc/md5.h similarity index 100% rename from src/coreclr/src/inc/md5.h rename to src/coreclr/inc/md5.h diff --git a/src/coreclr/src/inc/mdcommon.h b/src/coreclr/inc/mdcommon.h similarity index 100% rename from src/coreclr/src/inc/mdcommon.h rename to src/coreclr/inc/mdcommon.h diff --git a/src/coreclr/src/inc/mdfileformat.h b/src/coreclr/inc/mdfileformat.h similarity index 100% rename from src/coreclr/src/inc/mdfileformat.h rename to src/coreclr/inc/mdfileformat.h diff --git a/src/coreclr/src/inc/memorypool.h b/src/coreclr/inc/memorypool.h similarity index 100% rename from src/coreclr/src/inc/memorypool.h rename to src/coreclr/inc/memorypool.h diff --git a/src/coreclr/src/inc/memoryrange.h b/src/coreclr/inc/memoryrange.h similarity index 100% rename from src/coreclr/src/inc/memoryrange.h rename to src/coreclr/inc/memoryrange.h diff --git a/src/coreclr/src/inc/metadata.h b/src/coreclr/inc/metadata.h similarity index 100% rename from src/coreclr/src/inc/metadata.h rename to src/coreclr/inc/metadata.h diff --git a/src/coreclr/src/inc/metadataexports.h b/src/coreclr/inc/metadataexports.h similarity index 100% rename from src/coreclr/src/inc/metadataexports.h rename to src/coreclr/inc/metadataexports.h diff --git a/src/coreclr/src/inc/metadatatracker.h b/src/coreclr/inc/metadatatracker.h similarity index 100% rename from src/coreclr/src/inc/metadatatracker.h rename to src/coreclr/inc/metadatatracker.h diff --git a/src/coreclr/src/inc/metahost.idl b/src/coreclr/inc/metahost.idl similarity index 100% rename from src/coreclr/src/inc/metahost.idl rename to src/coreclr/inc/metahost.idl diff --git a/src/coreclr/src/inc/metamodelpub.h b/src/coreclr/inc/metamodelpub.h similarity index 100% rename from src/coreclr/src/inc/metamodelpub.h rename to src/coreclr/inc/metamodelpub.h diff --git a/src/coreclr/src/inc/mpl/type_list b/src/coreclr/inc/mpl/type_list similarity index 100% rename from src/coreclr/src/inc/mpl/type_list rename to src/coreclr/inc/mpl/type_list diff --git a/src/coreclr/src/inc/mscorsvc.idl b/src/coreclr/inc/mscorsvc.idl similarity index 100% rename from src/coreclr/src/inc/mscorsvc.idl rename to src/coreclr/inc/mscorsvc.idl diff --git a/src/coreclr/src/inc/msodw.h b/src/coreclr/inc/msodw.h similarity index 100% rename from src/coreclr/src/inc/msodw.h rename to src/coreclr/inc/msodw.h diff --git a/src/coreclr/src/inc/msodwwrap.h b/src/coreclr/inc/msodwwrap.h similarity index 100% rename from src/coreclr/src/inc/msodwwrap.h rename to src/coreclr/inc/msodwwrap.h diff --git a/src/coreclr/src/inc/nativevaraccessors.h b/src/coreclr/inc/nativevaraccessors.h similarity index 100% rename from src/coreclr/src/inc/nativevaraccessors.h rename to src/coreclr/inc/nativevaraccessors.h diff --git a/src/coreclr/src/inc/new.hpp b/src/coreclr/inc/new.hpp similarity index 100% rename from src/coreclr/src/inc/new.hpp rename to src/coreclr/inc/new.hpp diff --git a/src/coreclr/src/inc/ngen.h b/src/coreclr/inc/ngen.h similarity index 100% rename from src/coreclr/src/inc/ngen.h rename to src/coreclr/inc/ngen.h diff --git a/src/coreclr/src/inc/nibblemapmacros.h b/src/coreclr/inc/nibblemapmacros.h similarity index 100% rename from src/coreclr/src/inc/nibblemapmacros.h rename to src/coreclr/inc/nibblemapmacros.h diff --git a/src/coreclr/src/inc/nibblestream.h b/src/coreclr/inc/nibblestream.h similarity index 100% rename from src/coreclr/src/inc/nibblestream.h rename to src/coreclr/inc/nibblestream.h diff --git a/src/coreclr/src/inc/nsutilpriv.h b/src/coreclr/inc/nsutilpriv.h similarity index 100% rename from src/coreclr/src/inc/nsutilpriv.h rename to src/coreclr/inc/nsutilpriv.h diff --git a/src/coreclr/src/inc/obj/i386/dummy b/src/coreclr/inc/obj/i386/dummy similarity index 100% rename from src/coreclr/src/inc/obj/i386/dummy rename to src/coreclr/inc/obj/i386/dummy diff --git a/src/coreclr/src/inc/opcode.def b/src/coreclr/inc/opcode.def similarity index 100% rename from src/coreclr/src/inc/opcode.def rename to src/coreclr/inc/opcode.def diff --git a/src/coreclr/src/inc/openum.h b/src/coreclr/inc/openum.h similarity index 100% rename from src/coreclr/src/inc/openum.h rename to src/coreclr/inc/openum.h diff --git a/src/coreclr/src/inc/opinfo.h b/src/coreclr/inc/opinfo.h similarity index 100% rename from src/coreclr/src/inc/opinfo.h rename to src/coreclr/inc/opinfo.h diff --git a/src/coreclr/src/inc/optdefault.h b/src/coreclr/inc/optdefault.h similarity index 100% rename from src/coreclr/src/inc/optdefault.h rename to src/coreclr/inc/optdefault.h diff --git a/src/coreclr/src/inc/optsmallperfcritical.h b/src/coreclr/inc/optsmallperfcritical.h similarity index 100% rename from src/coreclr/src/inc/optsmallperfcritical.h rename to src/coreclr/inc/optsmallperfcritical.h diff --git a/src/coreclr/src/inc/ostype.h b/src/coreclr/inc/ostype.h similarity index 100% rename from src/coreclr/src/inc/ostype.h rename to src/coreclr/inc/ostype.h diff --git a/src/coreclr/src/inc/outstring.h b/src/coreclr/inc/outstring.h similarity index 100% rename from src/coreclr/src/inc/outstring.h rename to src/coreclr/inc/outstring.h diff --git a/src/coreclr/src/inc/palclr.h b/src/coreclr/inc/palclr.h similarity index 100% rename from src/coreclr/src/inc/palclr.h rename to src/coreclr/inc/palclr.h diff --git a/src/coreclr/src/inc/palclr_win.h b/src/coreclr/inc/palclr_win.h similarity index 100% rename from src/coreclr/src/inc/palclr_win.h rename to src/coreclr/inc/palclr_win.h diff --git a/src/coreclr/src/inc/patchpointinfo.h b/src/coreclr/inc/patchpointinfo.h similarity index 100% rename from src/coreclr/src/inc/patchpointinfo.h rename to src/coreclr/inc/patchpointinfo.h diff --git a/src/coreclr/src/inc/pedecoder.h b/src/coreclr/inc/pedecoder.h similarity index 100% rename from src/coreclr/src/inc/pedecoder.h rename to src/coreclr/inc/pedecoder.h diff --git a/src/coreclr/src/inc/pedecoder.inl b/src/coreclr/inc/pedecoder.inl similarity index 100% rename from src/coreclr/src/inc/pedecoder.inl rename to src/coreclr/inc/pedecoder.inl diff --git a/src/coreclr/src/inc/peinformation.h b/src/coreclr/inc/peinformation.h similarity index 100% rename from src/coreclr/src/inc/peinformation.h rename to src/coreclr/inc/peinformation.h diff --git a/src/coreclr/src/inc/pesectionman.h b/src/coreclr/inc/pesectionman.h similarity index 100% rename from src/coreclr/src/inc/pesectionman.h rename to src/coreclr/inc/pesectionman.h diff --git a/src/coreclr/src/inc/pinvokeoverride.h b/src/coreclr/inc/pinvokeoverride.h similarity index 100% rename from src/coreclr/src/inc/pinvokeoverride.h rename to src/coreclr/inc/pinvokeoverride.h diff --git a/src/coreclr/src/inc/posterror.h b/src/coreclr/inc/posterror.h similarity index 100% rename from src/coreclr/src/inc/posterror.h rename to src/coreclr/inc/posterror.h diff --git a/src/coreclr/src/inc/predeftlsslot.h b/src/coreclr/inc/predeftlsslot.h similarity index 100% rename from src/coreclr/src/inc/predeftlsslot.h rename to src/coreclr/inc/predeftlsslot.h diff --git a/src/coreclr/src/inc/prettyprintsig.h b/src/coreclr/inc/prettyprintsig.h similarity index 100% rename from src/coreclr/src/inc/prettyprintsig.h rename to src/coreclr/inc/prettyprintsig.h diff --git a/src/coreclr/src/inc/profilepriv.h b/src/coreclr/inc/profilepriv.h similarity index 100% rename from src/coreclr/src/inc/profilepriv.h rename to src/coreclr/inc/profilepriv.h diff --git a/src/coreclr/src/inc/profilepriv.inl b/src/coreclr/inc/profilepriv.inl similarity index 100% rename from src/coreclr/src/inc/profilepriv.inl rename to src/coreclr/inc/profilepriv.inl diff --git a/src/coreclr/src/inc/random.h b/src/coreclr/inc/random.h similarity index 100% rename from src/coreclr/src/inc/random.h rename to src/coreclr/inc/random.h diff --git a/src/coreclr/src/inc/readme.md b/src/coreclr/inc/readme.md similarity index 100% rename from src/coreclr/src/inc/readme.md rename to src/coreclr/inc/readme.md diff --git a/src/coreclr/src/inc/readytorun.h b/src/coreclr/inc/readytorun.h similarity index 100% rename from src/coreclr/src/inc/readytorun.h rename to src/coreclr/inc/readytorun.h diff --git a/src/coreclr/src/inc/readytorunhelpers.h b/src/coreclr/inc/readytorunhelpers.h similarity index 100% rename from src/coreclr/src/inc/readytorunhelpers.h rename to src/coreclr/inc/readytorunhelpers.h diff --git a/src/coreclr/src/inc/readytoruninstructionset.h b/src/coreclr/inc/readytoruninstructionset.h similarity index 100% rename from src/coreclr/src/inc/readytoruninstructionset.h rename to src/coreclr/inc/readytoruninstructionset.h diff --git a/src/coreclr/src/inc/regdisp.h b/src/coreclr/inc/regdisp.h similarity index 100% rename from src/coreclr/src/inc/regdisp.h rename to src/coreclr/inc/regdisp.h diff --git a/src/coreclr/src/inc/regex_base.h b/src/coreclr/inc/regex_base.h similarity index 100% rename from src/coreclr/src/inc/regex_base.h rename to src/coreclr/inc/regex_base.h diff --git a/src/coreclr/src/inc/regex_util.h b/src/coreclr/inc/regex_util.h similarity index 100% rename from src/coreclr/src/inc/regex_util.h rename to src/coreclr/inc/regex_util.h diff --git a/src/coreclr/src/inc/registrywrapper.h b/src/coreclr/inc/registrywrapper.h similarity index 100% rename from src/coreclr/src/inc/registrywrapper.h rename to src/coreclr/inc/registrywrapper.h diff --git a/src/coreclr/src/inc/releaseholder.h b/src/coreclr/inc/releaseholder.h similarity index 100% rename from src/coreclr/src/inc/releaseholder.h rename to src/coreclr/inc/releaseholder.h diff --git a/src/coreclr/src/inc/safemath.h b/src/coreclr/inc/safemath.h similarity index 100% rename from src/coreclr/src/inc/safemath.h rename to src/coreclr/inc/safemath.h diff --git a/src/coreclr/src/inc/safewrap.h b/src/coreclr/inc/safewrap.h similarity index 100% rename from src/coreclr/src/inc/safewrap.h rename to src/coreclr/inc/safewrap.h diff --git a/src/coreclr/src/inc/sarray.h b/src/coreclr/inc/sarray.h similarity index 100% rename from src/coreclr/src/inc/sarray.h rename to src/coreclr/inc/sarray.h diff --git a/src/coreclr/src/inc/sarray.inl b/src/coreclr/inc/sarray.inl similarity index 100% rename from src/coreclr/src/inc/sarray.inl rename to src/coreclr/inc/sarray.inl diff --git a/src/coreclr/src/inc/sbuffer.h b/src/coreclr/inc/sbuffer.h similarity index 100% rename from src/coreclr/src/inc/sbuffer.h rename to src/coreclr/inc/sbuffer.h diff --git a/src/coreclr/src/inc/sbuffer.inl b/src/coreclr/inc/sbuffer.inl similarity index 100% rename from src/coreclr/src/inc/sbuffer.inl rename to src/coreclr/inc/sbuffer.inl diff --git a/src/coreclr/src/inc/securityutil.h b/src/coreclr/inc/securityutil.h similarity index 100% rename from src/coreclr/src/inc/securityutil.h rename to src/coreclr/inc/securityutil.h diff --git a/src/coreclr/src/inc/securitywrapper.h b/src/coreclr/inc/securitywrapper.h similarity index 100% rename from src/coreclr/src/inc/securitywrapper.h rename to src/coreclr/inc/securitywrapper.h diff --git a/src/coreclr/src/inc/sha1.h b/src/coreclr/inc/sha1.h similarity index 100% rename from src/coreclr/src/inc/sha1.h rename to src/coreclr/inc/sha1.h diff --git a/src/coreclr/src/inc/shash.h b/src/coreclr/inc/shash.h similarity index 100% rename from src/coreclr/src/inc/shash.h rename to src/coreclr/inc/shash.h diff --git a/src/coreclr/src/inc/shash.inl b/src/coreclr/inc/shash.inl similarity index 100% rename from src/coreclr/src/inc/shash.inl rename to src/coreclr/inc/shash.inl diff --git a/src/coreclr/src/inc/shimload.h b/src/coreclr/inc/shimload.h similarity index 100% rename from src/coreclr/src/inc/shimload.h rename to src/coreclr/inc/shimload.h diff --git a/src/coreclr/src/inc/sigbuilder.h b/src/coreclr/inc/sigbuilder.h similarity index 100% rename from src/coreclr/src/inc/sigbuilder.h rename to src/coreclr/inc/sigbuilder.h diff --git a/src/coreclr/src/inc/sigparser.h b/src/coreclr/inc/sigparser.h similarity index 100% rename from src/coreclr/src/inc/sigparser.h rename to src/coreclr/inc/sigparser.h diff --git a/src/coreclr/src/inc/simplerhash.h b/src/coreclr/inc/simplerhash.h similarity index 100% rename from src/coreclr/src/inc/simplerhash.h rename to src/coreclr/inc/simplerhash.h diff --git a/src/coreclr/src/inc/simplerhash.inl b/src/coreclr/inc/simplerhash.inl similarity index 100% rename from src/coreclr/src/inc/simplerhash.inl rename to src/coreclr/inc/simplerhash.inl diff --git a/src/coreclr/src/inc/slist.h b/src/coreclr/inc/slist.h similarity index 100% rename from src/coreclr/src/inc/slist.h rename to src/coreclr/inc/slist.h diff --git a/src/coreclr/src/inc/sospriv.idl b/src/coreclr/inc/sospriv.idl similarity index 100% rename from src/coreclr/src/inc/sospriv.idl rename to src/coreclr/inc/sospriv.idl diff --git a/src/coreclr/src/inc/sstring.h b/src/coreclr/inc/sstring.h similarity index 100% rename from src/coreclr/src/inc/sstring.h rename to src/coreclr/inc/sstring.h diff --git a/src/coreclr/src/inc/sstring.inl b/src/coreclr/inc/sstring.inl similarity index 100% rename from src/coreclr/src/inc/sstring.inl rename to src/coreclr/inc/sstring.inl diff --git a/src/coreclr/src/inc/stack.h b/src/coreclr/inc/stack.h similarity index 100% rename from src/coreclr/src/inc/stack.h rename to src/coreclr/inc/stack.h diff --git a/src/coreclr/src/inc/stackframe.h b/src/coreclr/inc/stackframe.h similarity index 100% rename from src/coreclr/src/inc/stackframe.h rename to src/coreclr/inc/stackframe.h diff --git a/src/coreclr/src/inc/stacktrace.h b/src/coreclr/inc/stacktrace.h similarity index 100% rename from src/coreclr/src/inc/stacktrace.h rename to src/coreclr/inc/stacktrace.h diff --git a/src/coreclr/src/inc/static_assert.h b/src/coreclr/inc/static_assert.h similarity index 100% rename from src/coreclr/src/inc/static_assert.h rename to src/coreclr/inc/static_assert.h diff --git a/src/coreclr/src/inc/staticcontract.h b/src/coreclr/inc/staticcontract.h similarity index 100% rename from src/coreclr/src/inc/staticcontract.h rename to src/coreclr/inc/staticcontract.h diff --git a/src/coreclr/src/inc/stdmacros.h b/src/coreclr/inc/stdmacros.h similarity index 100% rename from src/coreclr/src/inc/stdmacros.h rename to src/coreclr/inc/stdmacros.h diff --git a/src/coreclr/src/inc/stgpool.h b/src/coreclr/inc/stgpool.h similarity index 100% rename from src/coreclr/src/inc/stgpool.h rename to src/coreclr/inc/stgpool.h diff --git a/src/coreclr/src/inc/stgpooli.h b/src/coreclr/inc/stgpooli.h similarity index 100% rename from src/coreclr/src/inc/stgpooli.h rename to src/coreclr/inc/stgpooli.h diff --git a/src/coreclr/src/inc/stresslog.h b/src/coreclr/inc/stresslog.h similarity index 100% rename from src/coreclr/src/inc/stresslog.h rename to src/coreclr/inc/stresslog.h diff --git a/src/coreclr/src/inc/stringarraylist.h b/src/coreclr/inc/stringarraylist.h similarity index 100% rename from src/coreclr/src/inc/stringarraylist.h rename to src/coreclr/inc/stringarraylist.h diff --git a/src/coreclr/src/inc/stringarraylist.inl b/src/coreclr/inc/stringarraylist.inl similarity index 100% rename from src/coreclr/src/inc/stringarraylist.inl rename to src/coreclr/inc/stringarraylist.inl diff --git a/src/coreclr/src/inc/strongnameholders.h b/src/coreclr/inc/strongnameholders.h similarity index 100% rename from src/coreclr/src/inc/strongnameholders.h rename to src/coreclr/inc/strongnameholders.h diff --git a/src/coreclr/src/inc/strongnameinternal.h b/src/coreclr/inc/strongnameinternal.h similarity index 100% rename from src/coreclr/src/inc/strongnameinternal.h rename to src/coreclr/inc/strongnameinternal.h diff --git a/src/coreclr/src/inc/switches.h b/src/coreclr/inc/switches.h similarity index 100% rename from src/coreclr/src/inc/switches.h rename to src/coreclr/inc/switches.h diff --git a/src/coreclr/src/inc/thekey.h b/src/coreclr/inc/thekey.h similarity index 100% rename from src/coreclr/src/inc/thekey.h rename to src/coreclr/inc/thekey.h diff --git a/src/coreclr/src/inc/tls.h b/src/coreclr/inc/tls.h similarity index 100% rename from src/coreclr/src/inc/tls.h rename to src/coreclr/inc/tls.h diff --git a/src/coreclr/src/inc/unreachable.h b/src/coreclr/inc/unreachable.h similarity index 100% rename from src/coreclr/src/inc/unreachable.h rename to src/coreclr/inc/unreachable.h diff --git a/src/coreclr/src/inc/utilcode.h b/src/coreclr/inc/utilcode.h similarity index 100% rename from src/coreclr/src/inc/utilcode.h rename to src/coreclr/inc/utilcode.h diff --git a/src/coreclr/src/inc/utsem.h b/src/coreclr/inc/utsem.h similarity index 100% rename from src/coreclr/src/inc/utsem.h rename to src/coreclr/inc/utsem.h diff --git a/src/coreclr/src/inc/volatile.h b/src/coreclr/inc/volatile.h similarity index 100% rename from src/coreclr/src/inc/volatile.h rename to src/coreclr/inc/volatile.h diff --git a/src/coreclr/src/inc/vptr_list.h b/src/coreclr/inc/vptr_list.h similarity index 100% rename from src/coreclr/src/inc/vptr_list.h rename to src/coreclr/inc/vptr_list.h diff --git a/src/coreclr/src/inc/win64unwind.h b/src/coreclr/inc/win64unwind.h similarity index 100% rename from src/coreclr/src/inc/win64unwind.h rename to src/coreclr/inc/win64unwind.h diff --git a/src/coreclr/src/inc/winwrap.h b/src/coreclr/inc/winwrap.h similarity index 100% rename from src/coreclr/src/inc/winwrap.h rename to src/coreclr/inc/winwrap.h diff --git a/src/coreclr/src/inc/xclrdata.idl b/src/coreclr/inc/xclrdata.idl similarity index 100% rename from src/coreclr/src/inc/xclrdata.idl rename to src/coreclr/inc/xclrdata.idl diff --git a/src/coreclr/src/inc/xcordebug.idl b/src/coreclr/inc/xcordebug.idl similarity index 100% rename from src/coreclr/src/inc/xcordebug.idl rename to src/coreclr/inc/xcordebug.idl diff --git a/src/coreclr/src/inc/yieldprocessornormalized.h b/src/coreclr/inc/yieldprocessornormalized.h similarity index 100% rename from src/coreclr/src/inc/yieldprocessornormalized.h rename to src/coreclr/inc/yieldprocessornormalized.h diff --git a/src/coreclr/src/inc/zapper.h b/src/coreclr/inc/zapper.h similarity index 100% rename from src/coreclr/src/inc/zapper.h rename to src/coreclr/inc/zapper.h diff --git a/src/coreclr/src/interop/CMakeLists.txt b/src/coreclr/interop/CMakeLists.txt similarity index 100% rename from src/coreclr/src/interop/CMakeLists.txt rename to src/coreclr/interop/CMakeLists.txt diff --git a/src/coreclr/src/interop/comwrappers.cpp b/src/coreclr/interop/comwrappers.cpp similarity index 100% rename from src/coreclr/src/interop/comwrappers.cpp rename to src/coreclr/interop/comwrappers.cpp diff --git a/src/coreclr/src/interop/comwrappers.hpp b/src/coreclr/interop/comwrappers.hpp similarity index 100% rename from src/coreclr/src/interop/comwrappers.hpp rename to src/coreclr/interop/comwrappers.hpp diff --git a/src/coreclr/src/interop/inc/interoplib.h b/src/coreclr/interop/inc/interoplib.h similarity index 100% rename from src/coreclr/src/interop/inc/interoplib.h rename to src/coreclr/interop/inc/interoplib.h diff --git a/src/coreclr/src/interop/inc/interoplibabi.h b/src/coreclr/interop/inc/interoplibabi.h similarity index 100% rename from src/coreclr/src/interop/inc/interoplibabi.h rename to src/coreclr/interop/inc/interoplibabi.h diff --git a/src/coreclr/src/interop/inc/interoplibimports.h b/src/coreclr/interop/inc/interoplibimports.h similarity index 100% rename from src/coreclr/src/interop/inc/interoplibimports.h rename to src/coreclr/interop/inc/interoplibimports.h diff --git a/src/coreclr/src/interop/interoplib.cpp b/src/coreclr/interop/interoplib.cpp similarity index 100% rename from src/coreclr/src/interop/interoplib.cpp rename to src/coreclr/interop/interoplib.cpp diff --git a/src/coreclr/src/interop/platform.h b/src/coreclr/interop/platform.h similarity index 100% rename from src/coreclr/src/interop/platform.h rename to src/coreclr/interop/platform.h diff --git a/src/coreclr/src/interop/referencetrackertypes.hpp b/src/coreclr/interop/referencetrackertypes.hpp similarity index 100% rename from src/coreclr/src/interop/referencetrackertypes.hpp rename to src/coreclr/interop/referencetrackertypes.hpp diff --git a/src/coreclr/src/interop/trackerobjectmanager.cpp b/src/coreclr/interop/trackerobjectmanager.cpp similarity index 100% rename from src/coreclr/src/interop/trackerobjectmanager.cpp rename to src/coreclr/interop/trackerobjectmanager.cpp diff --git a/src/coreclr/src/ToolBox/superpmi/.clang-format b/src/coreclr/jit/.clang-format similarity index 100% rename from src/coreclr/src/ToolBox/superpmi/.clang-format rename to src/coreclr/jit/.clang-format diff --git a/src/coreclr/src/jit/CMakeLists.txt b/src/coreclr/jit/CMakeLists.txt similarity index 100% rename from src/coreclr/src/jit/CMakeLists.txt rename to src/coreclr/jit/CMakeLists.txt diff --git a/src/coreclr/src/jit/ClrJit.PAL.exports b/src/coreclr/jit/ClrJit.PAL.exports similarity index 100% rename from src/coreclr/src/jit/ClrJit.PAL.exports rename to src/coreclr/jit/ClrJit.PAL.exports diff --git a/src/coreclr/src/jit/ClrJit.exports b/src/coreclr/jit/ClrJit.exports similarity index 100% rename from src/coreclr/src/jit/ClrJit.exports rename to src/coreclr/jit/ClrJit.exports diff --git a/src/coreclr/src/jit/ICorJitInfo_API_names.h b/src/coreclr/jit/ICorJitInfo_API_names.h similarity index 100% rename from src/coreclr/src/jit/ICorJitInfo_API_names.h rename to src/coreclr/jit/ICorJitInfo_API_names.h diff --git a/src/coreclr/src/jit/ICorJitInfo_API_wrapper.hpp b/src/coreclr/jit/ICorJitInfo_API_wrapper.hpp similarity index 100% rename from src/coreclr/src/jit/ICorJitInfo_API_wrapper.hpp rename to src/coreclr/jit/ICorJitInfo_API_wrapper.hpp diff --git a/src/coreclr/src/jit/Native.rc b/src/coreclr/jit/Native.rc similarity index 100% rename from src/coreclr/src/jit/Native.rc rename to src/coreclr/jit/Native.rc diff --git a/src/coreclr/src/jit/_typeinfo.h b/src/coreclr/jit/_typeinfo.h similarity index 100% rename from src/coreclr/src/jit/_typeinfo.h rename to src/coreclr/jit/_typeinfo.h diff --git a/src/coreclr/src/jit/alloc.cpp b/src/coreclr/jit/alloc.cpp similarity index 100% rename from src/coreclr/src/jit/alloc.cpp rename to src/coreclr/jit/alloc.cpp diff --git a/src/coreclr/src/jit/alloc.h b/src/coreclr/jit/alloc.h similarity index 100% rename from src/coreclr/src/jit/alloc.h rename to src/coreclr/jit/alloc.h diff --git a/src/coreclr/src/jit/arraystack.h b/src/coreclr/jit/arraystack.h similarity index 100% rename from src/coreclr/src/jit/arraystack.h rename to src/coreclr/jit/arraystack.h diff --git a/src/coreclr/src/jit/assertionprop.cpp b/src/coreclr/jit/assertionprop.cpp similarity index 100% rename from src/coreclr/src/jit/assertionprop.cpp rename to src/coreclr/jit/assertionprop.cpp diff --git a/src/coreclr/src/jit/bitset.cpp b/src/coreclr/jit/bitset.cpp similarity index 100% rename from src/coreclr/src/jit/bitset.cpp rename to src/coreclr/jit/bitset.cpp diff --git a/src/coreclr/src/jit/bitset.h b/src/coreclr/jit/bitset.h similarity index 100% rename from src/coreclr/src/jit/bitset.h rename to src/coreclr/jit/bitset.h diff --git a/src/coreclr/src/jit/bitsetasshortlong.h b/src/coreclr/jit/bitsetasshortlong.h similarity index 100% rename from src/coreclr/src/jit/bitsetasshortlong.h rename to src/coreclr/jit/bitsetasshortlong.h diff --git a/src/coreclr/src/jit/bitsetasuint64.h b/src/coreclr/jit/bitsetasuint64.h similarity index 100% rename from src/coreclr/src/jit/bitsetasuint64.h rename to src/coreclr/jit/bitsetasuint64.h diff --git a/src/coreclr/src/jit/bitsetasuint64inclass.h b/src/coreclr/jit/bitsetasuint64inclass.h similarity index 100% rename from src/coreclr/src/jit/bitsetasuint64inclass.h rename to src/coreclr/jit/bitsetasuint64inclass.h diff --git a/src/coreclr/src/jit/bitsetops.h b/src/coreclr/jit/bitsetops.h similarity index 100% rename from src/coreclr/src/jit/bitsetops.h rename to src/coreclr/jit/bitsetops.h diff --git a/src/coreclr/src/jit/bitvec.h b/src/coreclr/jit/bitvec.h similarity index 100% rename from src/coreclr/src/jit/bitvec.h rename to src/coreclr/jit/bitvec.h diff --git a/src/coreclr/src/jit/block.cpp b/src/coreclr/jit/block.cpp similarity index 100% rename from src/coreclr/src/jit/block.cpp rename to src/coreclr/jit/block.cpp diff --git a/src/coreclr/src/jit/block.h b/src/coreclr/jit/block.h similarity index 100% rename from src/coreclr/src/jit/block.h rename to src/coreclr/jit/block.h diff --git a/src/coreclr/src/jit/blockset.h b/src/coreclr/jit/blockset.h similarity index 100% rename from src/coreclr/src/jit/blockset.h rename to src/coreclr/jit/blockset.h diff --git a/src/coreclr/src/jit/codegen.h b/src/coreclr/jit/codegen.h similarity index 100% rename from src/coreclr/src/jit/codegen.h rename to src/coreclr/jit/codegen.h diff --git a/src/coreclr/src/jit/codegenarm.cpp b/src/coreclr/jit/codegenarm.cpp similarity index 100% rename from src/coreclr/src/jit/codegenarm.cpp rename to src/coreclr/jit/codegenarm.cpp diff --git a/src/coreclr/src/jit/codegenarm64.cpp b/src/coreclr/jit/codegenarm64.cpp similarity index 100% rename from src/coreclr/src/jit/codegenarm64.cpp rename to src/coreclr/jit/codegenarm64.cpp diff --git a/src/coreclr/src/jit/codegenarmarch.cpp b/src/coreclr/jit/codegenarmarch.cpp similarity index 100% rename from src/coreclr/src/jit/codegenarmarch.cpp rename to src/coreclr/jit/codegenarmarch.cpp diff --git a/src/coreclr/src/jit/codegencommon.cpp b/src/coreclr/jit/codegencommon.cpp similarity index 100% rename from src/coreclr/src/jit/codegencommon.cpp rename to src/coreclr/jit/codegencommon.cpp diff --git a/src/coreclr/src/jit/codegeninterface.h b/src/coreclr/jit/codegeninterface.h similarity index 100% rename from src/coreclr/src/jit/codegeninterface.h rename to src/coreclr/jit/codegeninterface.h diff --git a/src/coreclr/src/jit/codegenlinear.cpp b/src/coreclr/jit/codegenlinear.cpp similarity index 100% rename from src/coreclr/src/jit/codegenlinear.cpp rename to src/coreclr/jit/codegenlinear.cpp diff --git a/src/coreclr/src/jit/codegenxarch.cpp b/src/coreclr/jit/codegenxarch.cpp similarity index 100% rename from src/coreclr/src/jit/codegenxarch.cpp rename to src/coreclr/jit/codegenxarch.cpp diff --git a/src/coreclr/src/jit/compiler.cpp b/src/coreclr/jit/compiler.cpp similarity index 100% rename from src/coreclr/src/jit/compiler.cpp rename to src/coreclr/jit/compiler.cpp diff --git a/src/coreclr/src/jit/compiler.h b/src/coreclr/jit/compiler.h similarity index 100% rename from src/coreclr/src/jit/compiler.h rename to src/coreclr/jit/compiler.h diff --git a/src/coreclr/src/jit/compiler.hpp b/src/coreclr/jit/compiler.hpp similarity index 100% rename from src/coreclr/src/jit/compiler.hpp rename to src/coreclr/jit/compiler.hpp diff --git a/src/coreclr/src/jit/compilerbitsettraits.h b/src/coreclr/jit/compilerbitsettraits.h similarity index 100% rename from src/coreclr/src/jit/compilerbitsettraits.h rename to src/coreclr/jit/compilerbitsettraits.h diff --git a/src/coreclr/src/jit/compilerbitsettraits.hpp b/src/coreclr/jit/compilerbitsettraits.hpp similarity index 100% rename from src/coreclr/src/jit/compilerbitsettraits.hpp rename to src/coreclr/jit/compilerbitsettraits.hpp diff --git a/src/coreclr/src/jit/compmemkind.h b/src/coreclr/jit/compmemkind.h similarity index 100% rename from src/coreclr/src/jit/compmemkind.h rename to src/coreclr/jit/compmemkind.h diff --git a/src/coreclr/src/jit/compphases.h b/src/coreclr/jit/compphases.h similarity index 100% rename from src/coreclr/src/jit/compphases.h rename to src/coreclr/jit/compphases.h diff --git a/src/coreclr/src/jit/conventions.txt b/src/coreclr/jit/conventions.txt similarity index 100% rename from src/coreclr/src/jit/conventions.txt rename to src/coreclr/jit/conventions.txt diff --git a/src/coreclr/src/jit/copyprop.cpp b/src/coreclr/jit/copyprop.cpp similarity index 100% rename from src/coreclr/src/jit/copyprop.cpp rename to src/coreclr/jit/copyprop.cpp diff --git a/src/coreclr/src/jit/cpp.hint b/src/coreclr/jit/cpp.hint similarity index 100% rename from src/coreclr/src/jit/cpp.hint rename to src/coreclr/jit/cpp.hint diff --git a/src/coreclr/src/jit/crossgen/CMakeLists.txt b/src/coreclr/jit/crossgen/CMakeLists.txt similarity index 100% rename from src/coreclr/src/jit/crossgen/CMakeLists.txt rename to src/coreclr/jit/crossgen/CMakeLists.txt diff --git a/src/coreclr/src/jit/dataflow.h b/src/coreclr/jit/dataflow.h similarity index 100% rename from src/coreclr/src/jit/dataflow.h rename to src/coreclr/jit/dataflow.h diff --git a/src/coreclr/src/jit/decomposelongs.cpp b/src/coreclr/jit/decomposelongs.cpp similarity index 100% rename from src/coreclr/src/jit/decomposelongs.cpp rename to src/coreclr/jit/decomposelongs.cpp diff --git a/src/coreclr/src/jit/decomposelongs.h b/src/coreclr/jit/decomposelongs.h similarity index 100% rename from src/coreclr/src/jit/decomposelongs.h rename to src/coreclr/jit/decomposelongs.h diff --git a/src/coreclr/src/jit/disasm.cpp b/src/coreclr/jit/disasm.cpp similarity index 100% rename from src/coreclr/src/jit/disasm.cpp rename to src/coreclr/jit/disasm.cpp diff --git a/src/coreclr/src/jit/disasm.h b/src/coreclr/jit/disasm.h similarity index 100% rename from src/coreclr/src/jit/disasm.h rename to src/coreclr/jit/disasm.h diff --git a/src/coreclr/src/jit/dllmain.cpp b/src/coreclr/jit/dllmain.cpp similarity index 100% rename from src/coreclr/src/jit/dllmain.cpp rename to src/coreclr/jit/dllmain.cpp diff --git a/src/coreclr/src/jit/earlyprop.cpp b/src/coreclr/jit/earlyprop.cpp similarity index 100% rename from src/coreclr/src/jit/earlyprop.cpp rename to src/coreclr/jit/earlyprop.cpp diff --git a/src/coreclr/src/jit/ee_il_dll.cpp b/src/coreclr/jit/ee_il_dll.cpp similarity index 100% rename from src/coreclr/src/jit/ee_il_dll.cpp rename to src/coreclr/jit/ee_il_dll.cpp diff --git a/src/coreclr/src/jit/ee_il_dll.hpp b/src/coreclr/jit/ee_il_dll.hpp similarity index 100% rename from src/coreclr/src/jit/ee_il_dll.hpp rename to src/coreclr/jit/ee_il_dll.hpp diff --git a/src/coreclr/src/jit/eeinterface.cpp b/src/coreclr/jit/eeinterface.cpp similarity index 100% rename from src/coreclr/src/jit/eeinterface.cpp rename to src/coreclr/jit/eeinterface.cpp diff --git a/src/coreclr/src/jit/emit.cpp b/src/coreclr/jit/emit.cpp similarity index 100% rename from src/coreclr/src/jit/emit.cpp rename to src/coreclr/jit/emit.cpp diff --git a/src/coreclr/src/jit/emit.h b/src/coreclr/jit/emit.h similarity index 100% rename from src/coreclr/src/jit/emit.h rename to src/coreclr/jit/emit.h diff --git a/src/coreclr/src/jit/emitarm.cpp b/src/coreclr/jit/emitarm.cpp similarity index 100% rename from src/coreclr/src/jit/emitarm.cpp rename to src/coreclr/jit/emitarm.cpp diff --git a/src/coreclr/src/jit/emitarm.h b/src/coreclr/jit/emitarm.h similarity index 100% rename from src/coreclr/src/jit/emitarm.h rename to src/coreclr/jit/emitarm.h diff --git a/src/coreclr/src/jit/emitarm64.cpp b/src/coreclr/jit/emitarm64.cpp similarity index 100% rename from src/coreclr/src/jit/emitarm64.cpp rename to src/coreclr/jit/emitarm64.cpp diff --git a/src/coreclr/src/jit/emitarm64.h b/src/coreclr/jit/emitarm64.h similarity index 100% rename from src/coreclr/src/jit/emitarm64.h rename to src/coreclr/jit/emitarm64.h diff --git a/src/coreclr/src/jit/emitdef.h b/src/coreclr/jit/emitdef.h similarity index 100% rename from src/coreclr/src/jit/emitdef.h rename to src/coreclr/jit/emitdef.h diff --git a/src/coreclr/src/jit/emitfmts.h b/src/coreclr/jit/emitfmts.h similarity index 100% rename from src/coreclr/src/jit/emitfmts.h rename to src/coreclr/jit/emitfmts.h diff --git a/src/coreclr/src/jit/emitfmtsarm.h b/src/coreclr/jit/emitfmtsarm.h similarity index 100% rename from src/coreclr/src/jit/emitfmtsarm.h rename to src/coreclr/jit/emitfmtsarm.h diff --git a/src/coreclr/src/jit/emitfmtsarm64.h b/src/coreclr/jit/emitfmtsarm64.h similarity index 100% rename from src/coreclr/src/jit/emitfmtsarm64.h rename to src/coreclr/jit/emitfmtsarm64.h diff --git a/src/coreclr/src/jit/emitfmtsxarch.h b/src/coreclr/jit/emitfmtsxarch.h similarity index 100% rename from src/coreclr/src/jit/emitfmtsxarch.h rename to src/coreclr/jit/emitfmtsxarch.h diff --git a/src/coreclr/src/jit/emitinl.h b/src/coreclr/jit/emitinl.h similarity index 100% rename from src/coreclr/src/jit/emitinl.h rename to src/coreclr/jit/emitinl.h diff --git a/src/coreclr/src/jit/emitjmps.h b/src/coreclr/jit/emitjmps.h similarity index 100% rename from src/coreclr/src/jit/emitjmps.h rename to src/coreclr/jit/emitjmps.h diff --git a/src/coreclr/src/jit/emitpub.h b/src/coreclr/jit/emitpub.h similarity index 100% rename from src/coreclr/src/jit/emitpub.h rename to src/coreclr/jit/emitpub.h diff --git a/src/coreclr/src/jit/emitxarch.cpp b/src/coreclr/jit/emitxarch.cpp similarity index 100% rename from src/coreclr/src/jit/emitxarch.cpp rename to src/coreclr/jit/emitxarch.cpp diff --git a/src/coreclr/src/jit/emitxarch.h b/src/coreclr/jit/emitxarch.h similarity index 100% rename from src/coreclr/src/jit/emitxarch.h rename to src/coreclr/jit/emitxarch.h diff --git a/src/coreclr/src/jit/error.cpp b/src/coreclr/jit/error.cpp similarity index 100% rename from src/coreclr/src/jit/error.cpp rename to src/coreclr/jit/error.cpp diff --git a/src/coreclr/src/jit/error.h b/src/coreclr/jit/error.h similarity index 100% rename from src/coreclr/src/jit/error.h rename to src/coreclr/jit/error.h diff --git a/src/coreclr/src/jit/flowgraph.cpp b/src/coreclr/jit/flowgraph.cpp similarity index 100% rename from src/coreclr/src/jit/flowgraph.cpp rename to src/coreclr/jit/flowgraph.cpp diff --git a/src/coreclr/src/jit/gcdecode.cpp b/src/coreclr/jit/gcdecode.cpp similarity index 100% rename from src/coreclr/src/jit/gcdecode.cpp rename to src/coreclr/jit/gcdecode.cpp diff --git a/src/coreclr/src/jit/gcencode.cpp b/src/coreclr/jit/gcencode.cpp similarity index 100% rename from src/coreclr/src/jit/gcencode.cpp rename to src/coreclr/jit/gcencode.cpp diff --git a/src/coreclr/src/jit/gcinfo.cpp b/src/coreclr/jit/gcinfo.cpp similarity index 100% rename from src/coreclr/src/jit/gcinfo.cpp rename to src/coreclr/jit/gcinfo.cpp diff --git a/src/coreclr/src/jit/gentree.cpp b/src/coreclr/jit/gentree.cpp similarity index 100% rename from src/coreclr/src/jit/gentree.cpp rename to src/coreclr/jit/gentree.cpp diff --git a/src/coreclr/src/jit/gentree.h b/src/coreclr/jit/gentree.h similarity index 100% rename from src/coreclr/src/jit/gentree.h rename to src/coreclr/jit/gentree.h diff --git a/src/coreclr/src/jit/gschecks.cpp b/src/coreclr/jit/gschecks.cpp similarity index 100% rename from src/coreclr/src/jit/gschecks.cpp rename to src/coreclr/jit/gschecks.cpp diff --git a/src/coreclr/src/jit/gtlist.h b/src/coreclr/jit/gtlist.h similarity index 100% rename from src/coreclr/src/jit/gtlist.h rename to src/coreclr/jit/gtlist.h diff --git a/src/coreclr/src/jit/gtstructs.h b/src/coreclr/jit/gtstructs.h similarity index 100% rename from src/coreclr/src/jit/gtstructs.h rename to src/coreclr/jit/gtstructs.h diff --git a/src/coreclr/src/jit/hashbv.cpp b/src/coreclr/jit/hashbv.cpp similarity index 100% rename from src/coreclr/src/jit/hashbv.cpp rename to src/coreclr/jit/hashbv.cpp diff --git a/src/coreclr/src/jit/hashbv.h b/src/coreclr/jit/hashbv.h similarity index 100% rename from src/coreclr/src/jit/hashbv.h rename to src/coreclr/jit/hashbv.h diff --git a/src/coreclr/src/jit/host.h b/src/coreclr/jit/host.h similarity index 100% rename from src/coreclr/src/jit/host.h rename to src/coreclr/jit/host.h diff --git a/src/coreclr/src/jit/hostallocator.cpp b/src/coreclr/jit/hostallocator.cpp similarity index 100% rename from src/coreclr/src/jit/hostallocator.cpp rename to src/coreclr/jit/hostallocator.cpp diff --git a/src/coreclr/src/jit/hostallocator.h b/src/coreclr/jit/hostallocator.h similarity index 100% rename from src/coreclr/src/jit/hostallocator.h rename to src/coreclr/jit/hostallocator.h diff --git a/src/coreclr/src/jit/hwintrinsic.cpp b/src/coreclr/jit/hwintrinsic.cpp similarity index 100% rename from src/coreclr/src/jit/hwintrinsic.cpp rename to src/coreclr/jit/hwintrinsic.cpp diff --git a/src/coreclr/src/jit/hwintrinsic.h b/src/coreclr/jit/hwintrinsic.h similarity index 100% rename from src/coreclr/src/jit/hwintrinsic.h rename to src/coreclr/jit/hwintrinsic.h diff --git a/src/coreclr/src/jit/hwintrinsicarm64.cpp b/src/coreclr/jit/hwintrinsicarm64.cpp similarity index 100% rename from src/coreclr/src/jit/hwintrinsicarm64.cpp rename to src/coreclr/jit/hwintrinsicarm64.cpp diff --git a/src/coreclr/src/jit/hwintrinsiccodegenarm64.cpp b/src/coreclr/jit/hwintrinsiccodegenarm64.cpp similarity index 100% rename from src/coreclr/src/jit/hwintrinsiccodegenarm64.cpp rename to src/coreclr/jit/hwintrinsiccodegenarm64.cpp diff --git a/src/coreclr/src/jit/hwintrinsiccodegenxarch.cpp b/src/coreclr/jit/hwintrinsiccodegenxarch.cpp similarity index 100% rename from src/coreclr/src/jit/hwintrinsiccodegenxarch.cpp rename to src/coreclr/jit/hwintrinsiccodegenxarch.cpp diff --git a/src/coreclr/src/jit/hwintrinsiclistarm64.h b/src/coreclr/jit/hwintrinsiclistarm64.h similarity index 100% rename from src/coreclr/src/jit/hwintrinsiclistarm64.h rename to src/coreclr/jit/hwintrinsiclistarm64.h diff --git a/src/coreclr/src/jit/hwintrinsiclistxarch.h b/src/coreclr/jit/hwintrinsiclistxarch.h similarity index 100% rename from src/coreclr/src/jit/hwintrinsiclistxarch.h rename to src/coreclr/jit/hwintrinsiclistxarch.h diff --git a/src/coreclr/src/jit/hwintrinsicxarch.cpp b/src/coreclr/jit/hwintrinsicxarch.cpp similarity index 100% rename from src/coreclr/src/jit/hwintrinsicxarch.cpp rename to src/coreclr/jit/hwintrinsicxarch.cpp diff --git a/src/coreclr/src/jit/importer.cpp b/src/coreclr/jit/importer.cpp similarity index 100% rename from src/coreclr/src/jit/importer.cpp rename to src/coreclr/jit/importer.cpp diff --git a/src/coreclr/src/jit/indirectcalltransformer.cpp b/src/coreclr/jit/indirectcalltransformer.cpp similarity index 100% rename from src/coreclr/src/jit/indirectcalltransformer.cpp rename to src/coreclr/jit/indirectcalltransformer.cpp diff --git a/src/coreclr/src/jit/inline.cpp b/src/coreclr/jit/inline.cpp similarity index 100% rename from src/coreclr/src/jit/inline.cpp rename to src/coreclr/jit/inline.cpp diff --git a/src/coreclr/src/jit/inline.def b/src/coreclr/jit/inline.def similarity index 100% rename from src/coreclr/src/jit/inline.def rename to src/coreclr/jit/inline.def diff --git a/src/coreclr/src/jit/inline.h b/src/coreclr/jit/inline.h similarity index 100% rename from src/coreclr/src/jit/inline.h rename to src/coreclr/jit/inline.h diff --git a/src/coreclr/src/jit/inlinepolicy.cpp b/src/coreclr/jit/inlinepolicy.cpp similarity index 100% rename from src/coreclr/src/jit/inlinepolicy.cpp rename to src/coreclr/jit/inlinepolicy.cpp diff --git a/src/coreclr/src/jit/inlinepolicy.h b/src/coreclr/jit/inlinepolicy.h similarity index 100% rename from src/coreclr/src/jit/inlinepolicy.h rename to src/coreclr/jit/inlinepolicy.h diff --git a/src/coreclr/src/jit/instr.cpp b/src/coreclr/jit/instr.cpp similarity index 100% rename from src/coreclr/src/jit/instr.cpp rename to src/coreclr/jit/instr.cpp diff --git a/src/coreclr/src/jit/instr.h b/src/coreclr/jit/instr.h similarity index 100% rename from src/coreclr/src/jit/instr.h rename to src/coreclr/jit/instr.h diff --git a/src/coreclr/src/jit/instrs.h b/src/coreclr/jit/instrs.h similarity index 100% rename from src/coreclr/src/jit/instrs.h rename to src/coreclr/jit/instrs.h diff --git a/src/coreclr/src/jit/instrsarm.h b/src/coreclr/jit/instrsarm.h similarity index 100% rename from src/coreclr/src/jit/instrsarm.h rename to src/coreclr/jit/instrsarm.h diff --git a/src/coreclr/src/jit/instrsarm64.h b/src/coreclr/jit/instrsarm64.h similarity index 100% rename from src/coreclr/src/jit/instrsarm64.h rename to src/coreclr/jit/instrsarm64.h diff --git a/src/coreclr/src/jit/instrsxarch.h b/src/coreclr/jit/instrsxarch.h similarity index 100% rename from src/coreclr/src/jit/instrsxarch.h rename to src/coreclr/jit/instrsxarch.h diff --git a/src/coreclr/src/jit/jit.h b/src/coreclr/jit/jit.h similarity index 100% rename from src/coreclr/src/jit/jit.h rename to src/coreclr/jit/jit.h diff --git a/src/coreclr/src/jit/jitconfig.cpp b/src/coreclr/jit/jitconfig.cpp similarity index 100% rename from src/coreclr/src/jit/jitconfig.cpp rename to src/coreclr/jit/jitconfig.cpp diff --git a/src/coreclr/src/jit/jitconfig.h b/src/coreclr/jit/jitconfig.h similarity index 100% rename from src/coreclr/src/jit/jitconfig.h rename to src/coreclr/jit/jitconfig.h diff --git a/src/coreclr/src/jit/jitconfigvalues.h b/src/coreclr/jit/jitconfigvalues.h similarity index 100% rename from src/coreclr/src/jit/jitconfigvalues.h rename to src/coreclr/jit/jitconfigvalues.h diff --git a/src/coreclr/src/jit/jitee.h b/src/coreclr/jit/jitee.h similarity index 100% rename from src/coreclr/src/jit/jitee.h rename to src/coreclr/jit/jitee.h diff --git a/src/coreclr/src/jit/jiteh.cpp b/src/coreclr/jit/jiteh.cpp similarity index 100% rename from src/coreclr/src/jit/jiteh.cpp rename to src/coreclr/jit/jiteh.cpp diff --git a/src/coreclr/src/jit/jiteh.h b/src/coreclr/jit/jiteh.h similarity index 100% rename from src/coreclr/src/jit/jiteh.h rename to src/coreclr/jit/jiteh.h diff --git a/src/coreclr/src/jit/jitexpandarray.h b/src/coreclr/jit/jitexpandarray.h similarity index 100% rename from src/coreclr/src/jit/jitexpandarray.h rename to src/coreclr/jit/jitexpandarray.h diff --git a/src/coreclr/src/jit/jitgcinfo.h b/src/coreclr/jit/jitgcinfo.h similarity index 100% rename from src/coreclr/src/jit/jitgcinfo.h rename to src/coreclr/jit/jitgcinfo.h diff --git a/src/coreclr/src/jit/jithashtable.cpp b/src/coreclr/jit/jithashtable.cpp similarity index 100% rename from src/coreclr/src/jit/jithashtable.cpp rename to src/coreclr/jit/jithashtable.cpp diff --git a/src/coreclr/src/jit/jithashtable.h b/src/coreclr/jit/jithashtable.h similarity index 100% rename from src/coreclr/src/jit/jithashtable.h rename to src/coreclr/jit/jithashtable.h diff --git a/src/coreclr/src/jit/jitpch.h b/src/coreclr/jit/jitpch.h similarity index 100% rename from src/coreclr/src/jit/jitpch.h rename to src/coreclr/jit/jitpch.h diff --git a/src/coreclr/src/jit/jitstd.h b/src/coreclr/jit/jitstd.h similarity index 100% rename from src/coreclr/src/jit/jitstd.h rename to src/coreclr/jit/jitstd.h diff --git a/src/coreclr/src/jit/jitstd/algorithm.h b/src/coreclr/jit/jitstd/algorithm.h similarity index 100% rename from src/coreclr/src/jit/jitstd/algorithm.h rename to src/coreclr/jit/jitstd/algorithm.h diff --git a/src/coreclr/src/jit/jitstd/allocator.h b/src/coreclr/jit/jitstd/allocator.h similarity index 100% rename from src/coreclr/src/jit/jitstd/allocator.h rename to src/coreclr/jit/jitstd/allocator.h diff --git a/src/coreclr/src/jit/jitstd/functional.h b/src/coreclr/jit/jitstd/functional.h similarity index 100% rename from src/coreclr/src/jit/jitstd/functional.h rename to src/coreclr/jit/jitstd/functional.h diff --git a/src/coreclr/src/jit/jitstd/iterator.h b/src/coreclr/jit/jitstd/iterator.h similarity index 100% rename from src/coreclr/src/jit/jitstd/iterator.h rename to src/coreclr/jit/jitstd/iterator.h diff --git a/src/coreclr/src/jit/jitstd/list.h b/src/coreclr/jit/jitstd/list.h similarity index 100% rename from src/coreclr/src/jit/jitstd/list.h rename to src/coreclr/jit/jitstd/list.h diff --git a/src/coreclr/src/jit/jitstd/new.h b/src/coreclr/jit/jitstd/new.h similarity index 100% rename from src/coreclr/src/jit/jitstd/new.h rename to src/coreclr/jit/jitstd/new.h diff --git a/src/coreclr/src/jit/jitstd/utility.h b/src/coreclr/jit/jitstd/utility.h similarity index 100% rename from src/coreclr/src/jit/jitstd/utility.h rename to src/coreclr/jit/jitstd/utility.h diff --git a/src/coreclr/src/jit/jitstd/vector.h b/src/coreclr/jit/jitstd/vector.h similarity index 100% rename from src/coreclr/src/jit/jitstd/vector.h rename to src/coreclr/jit/jitstd/vector.h diff --git a/src/coreclr/src/jit/jittelemetry.cpp b/src/coreclr/jit/jittelemetry.cpp similarity index 100% rename from src/coreclr/src/jit/jittelemetry.cpp rename to src/coreclr/jit/jittelemetry.cpp diff --git a/src/coreclr/src/jit/jittelemetry.h b/src/coreclr/jit/jittelemetry.h similarity index 100% rename from src/coreclr/src/jit/jittelemetry.h rename to src/coreclr/jit/jittelemetry.h diff --git a/src/coreclr/src/jit/layout.cpp b/src/coreclr/jit/layout.cpp similarity index 100% rename from src/coreclr/src/jit/layout.cpp rename to src/coreclr/jit/layout.cpp diff --git a/src/coreclr/src/jit/layout.h b/src/coreclr/jit/layout.h similarity index 100% rename from src/coreclr/src/jit/layout.h rename to src/coreclr/jit/layout.h diff --git a/src/coreclr/src/jit/lclmorph.cpp b/src/coreclr/jit/lclmorph.cpp similarity index 100% rename from src/coreclr/src/jit/lclmorph.cpp rename to src/coreclr/jit/lclmorph.cpp diff --git a/src/coreclr/src/jit/lclvars.cpp b/src/coreclr/jit/lclvars.cpp similarity index 100% rename from src/coreclr/src/jit/lclvars.cpp rename to src/coreclr/jit/lclvars.cpp diff --git a/src/coreclr/src/jit/lir.cpp b/src/coreclr/jit/lir.cpp similarity index 100% rename from src/coreclr/src/jit/lir.cpp rename to src/coreclr/jit/lir.cpp diff --git a/src/coreclr/src/jit/lir.h b/src/coreclr/jit/lir.h similarity index 100% rename from src/coreclr/src/jit/lir.h rename to src/coreclr/jit/lir.h diff --git a/src/coreclr/src/jit/liveness.cpp b/src/coreclr/jit/liveness.cpp similarity index 100% rename from src/coreclr/src/jit/liveness.cpp rename to src/coreclr/jit/liveness.cpp diff --git a/src/coreclr/src/jit/loopcloning.cpp b/src/coreclr/jit/loopcloning.cpp similarity index 100% rename from src/coreclr/src/jit/loopcloning.cpp rename to src/coreclr/jit/loopcloning.cpp diff --git a/src/coreclr/src/jit/loopcloning.h b/src/coreclr/jit/loopcloning.h similarity index 100% rename from src/coreclr/src/jit/loopcloning.h rename to src/coreclr/jit/loopcloning.h diff --git a/src/coreclr/src/jit/loopcloningopts.h b/src/coreclr/jit/loopcloningopts.h similarity index 100% rename from src/coreclr/src/jit/loopcloningopts.h rename to src/coreclr/jit/loopcloningopts.h diff --git a/src/coreclr/src/jit/lower.cpp b/src/coreclr/jit/lower.cpp similarity index 100% rename from src/coreclr/src/jit/lower.cpp rename to src/coreclr/jit/lower.cpp diff --git a/src/coreclr/src/jit/lower.h b/src/coreclr/jit/lower.h similarity index 100% rename from src/coreclr/src/jit/lower.h rename to src/coreclr/jit/lower.h diff --git a/src/coreclr/src/jit/lowerarmarch.cpp b/src/coreclr/jit/lowerarmarch.cpp similarity index 100% rename from src/coreclr/src/jit/lowerarmarch.cpp rename to src/coreclr/jit/lowerarmarch.cpp diff --git a/src/coreclr/src/jit/lowerxarch.cpp b/src/coreclr/jit/lowerxarch.cpp similarity index 100% rename from src/coreclr/src/jit/lowerxarch.cpp rename to src/coreclr/jit/lowerxarch.cpp diff --git a/src/coreclr/src/jit/lsra.cpp b/src/coreclr/jit/lsra.cpp similarity index 100% rename from src/coreclr/src/jit/lsra.cpp rename to src/coreclr/jit/lsra.cpp diff --git a/src/coreclr/src/jit/lsra.h b/src/coreclr/jit/lsra.h similarity index 100% rename from src/coreclr/src/jit/lsra.h rename to src/coreclr/jit/lsra.h diff --git a/src/coreclr/src/jit/lsra_reftypes.h b/src/coreclr/jit/lsra_reftypes.h similarity index 100% rename from src/coreclr/src/jit/lsra_reftypes.h rename to src/coreclr/jit/lsra_reftypes.h diff --git a/src/coreclr/src/jit/lsraarm.cpp b/src/coreclr/jit/lsraarm.cpp similarity index 100% rename from src/coreclr/src/jit/lsraarm.cpp rename to src/coreclr/jit/lsraarm.cpp diff --git a/src/coreclr/src/jit/lsraarm64.cpp b/src/coreclr/jit/lsraarm64.cpp similarity index 100% rename from src/coreclr/src/jit/lsraarm64.cpp rename to src/coreclr/jit/lsraarm64.cpp diff --git a/src/coreclr/src/jit/lsraarmarch.cpp b/src/coreclr/jit/lsraarmarch.cpp similarity index 100% rename from src/coreclr/src/jit/lsraarmarch.cpp rename to src/coreclr/jit/lsraarmarch.cpp diff --git a/src/coreclr/src/jit/lsrabuild.cpp b/src/coreclr/jit/lsrabuild.cpp similarity index 100% rename from src/coreclr/src/jit/lsrabuild.cpp rename to src/coreclr/jit/lsrabuild.cpp diff --git a/src/coreclr/src/jit/lsraxarch.cpp b/src/coreclr/jit/lsraxarch.cpp similarity index 100% rename from src/coreclr/src/jit/lsraxarch.cpp rename to src/coreclr/jit/lsraxarch.cpp diff --git a/src/coreclr/src/jit/morph.cpp b/src/coreclr/jit/morph.cpp similarity index 100% rename from src/coreclr/src/jit/morph.cpp rename to src/coreclr/jit/morph.cpp diff --git a/src/coreclr/src/jit/namedintrinsiclist.h b/src/coreclr/jit/namedintrinsiclist.h similarity index 100% rename from src/coreclr/src/jit/namedintrinsiclist.h rename to src/coreclr/jit/namedintrinsiclist.h diff --git a/src/coreclr/src/jit/objectalloc.cpp b/src/coreclr/jit/objectalloc.cpp similarity index 100% rename from src/coreclr/src/jit/objectalloc.cpp rename to src/coreclr/jit/objectalloc.cpp diff --git a/src/coreclr/src/jit/objectalloc.h b/src/coreclr/jit/objectalloc.h similarity index 100% rename from src/coreclr/src/jit/objectalloc.h rename to src/coreclr/jit/objectalloc.h diff --git a/src/coreclr/src/jit/opcode.h b/src/coreclr/jit/opcode.h similarity index 100% rename from src/coreclr/src/jit/opcode.h rename to src/coreclr/jit/opcode.h diff --git a/src/coreclr/src/jit/optcse.cpp b/src/coreclr/jit/optcse.cpp similarity index 100% rename from src/coreclr/src/jit/optcse.cpp rename to src/coreclr/jit/optcse.cpp diff --git a/src/coreclr/src/jit/optimizer.cpp b/src/coreclr/jit/optimizer.cpp similarity index 100% rename from src/coreclr/src/jit/optimizer.cpp rename to src/coreclr/jit/optimizer.cpp diff --git a/src/coreclr/src/jit/patchpoint.cpp b/src/coreclr/jit/patchpoint.cpp similarity index 100% rename from src/coreclr/src/jit/patchpoint.cpp rename to src/coreclr/jit/patchpoint.cpp diff --git a/src/coreclr/src/jit/phase.cpp b/src/coreclr/jit/phase.cpp similarity index 100% rename from src/coreclr/src/jit/phase.cpp rename to src/coreclr/jit/phase.cpp diff --git a/src/coreclr/src/jit/phase.h b/src/coreclr/jit/phase.h similarity index 100% rename from src/coreclr/src/jit/phase.h rename to src/coreclr/jit/phase.h diff --git a/src/coreclr/src/jit/rangecheck.cpp b/src/coreclr/jit/rangecheck.cpp similarity index 100% rename from src/coreclr/src/jit/rangecheck.cpp rename to src/coreclr/jit/rangecheck.cpp diff --git a/src/coreclr/src/jit/rangecheck.h b/src/coreclr/jit/rangecheck.h similarity index 100% rename from src/coreclr/src/jit/rangecheck.h rename to src/coreclr/jit/rangecheck.h diff --git a/src/coreclr/src/jit/rationalize.cpp b/src/coreclr/jit/rationalize.cpp similarity index 100% rename from src/coreclr/src/jit/rationalize.cpp rename to src/coreclr/jit/rationalize.cpp diff --git a/src/coreclr/src/jit/rationalize.h b/src/coreclr/jit/rationalize.h similarity index 100% rename from src/coreclr/src/jit/rationalize.h rename to src/coreclr/jit/rationalize.h diff --git a/src/coreclr/src/jit/regalloc.cpp b/src/coreclr/jit/regalloc.cpp similarity index 100% rename from src/coreclr/src/jit/regalloc.cpp rename to src/coreclr/jit/regalloc.cpp diff --git a/src/coreclr/src/jit/regalloc.h b/src/coreclr/jit/regalloc.h similarity index 100% rename from src/coreclr/src/jit/regalloc.h rename to src/coreclr/jit/regalloc.h diff --git a/src/coreclr/src/jit/register.h b/src/coreclr/jit/register.h similarity index 100% rename from src/coreclr/src/jit/register.h rename to src/coreclr/jit/register.h diff --git a/src/coreclr/src/jit/register_arg_convention.cpp b/src/coreclr/jit/register_arg_convention.cpp similarity index 100% rename from src/coreclr/src/jit/register_arg_convention.cpp rename to src/coreclr/jit/register_arg_convention.cpp diff --git a/src/coreclr/src/jit/register_arg_convention.h b/src/coreclr/jit/register_arg_convention.h similarity index 100% rename from src/coreclr/src/jit/register_arg_convention.h rename to src/coreclr/jit/register_arg_convention.h diff --git a/src/coreclr/src/jit/registerarm.h b/src/coreclr/jit/registerarm.h similarity index 100% rename from src/coreclr/src/jit/registerarm.h rename to src/coreclr/jit/registerarm.h diff --git a/src/coreclr/src/jit/registerarm64.h b/src/coreclr/jit/registerarm64.h similarity index 100% rename from src/coreclr/src/jit/registerarm64.h rename to src/coreclr/jit/registerarm64.h diff --git a/src/coreclr/src/jit/reglist.h b/src/coreclr/jit/reglist.h similarity index 100% rename from src/coreclr/src/jit/reglist.h rename to src/coreclr/jit/reglist.h diff --git a/src/coreclr/src/jit/regset.cpp b/src/coreclr/jit/regset.cpp similarity index 100% rename from src/coreclr/src/jit/regset.cpp rename to src/coreclr/jit/regset.cpp diff --git a/src/coreclr/src/jit/regset.h b/src/coreclr/jit/regset.h similarity index 100% rename from src/coreclr/src/jit/regset.h rename to src/coreclr/jit/regset.h diff --git a/src/coreclr/src/jit/scopeinfo.cpp b/src/coreclr/jit/scopeinfo.cpp similarity index 100% rename from src/coreclr/src/jit/scopeinfo.cpp rename to src/coreclr/jit/scopeinfo.cpp diff --git a/src/coreclr/src/jit/sideeffects.cpp b/src/coreclr/jit/sideeffects.cpp similarity index 100% rename from src/coreclr/src/jit/sideeffects.cpp rename to src/coreclr/jit/sideeffects.cpp diff --git a/src/coreclr/src/jit/sideeffects.h b/src/coreclr/jit/sideeffects.h similarity index 100% rename from src/coreclr/src/jit/sideeffects.h rename to src/coreclr/jit/sideeffects.h diff --git a/src/coreclr/src/jit/simd.cpp b/src/coreclr/jit/simd.cpp similarity index 100% rename from src/coreclr/src/jit/simd.cpp rename to src/coreclr/jit/simd.cpp diff --git a/src/coreclr/src/jit/simd.h b/src/coreclr/jit/simd.h similarity index 100% rename from src/coreclr/src/jit/simd.h rename to src/coreclr/jit/simd.h diff --git a/src/coreclr/src/jit/simdashwintrinsic.cpp b/src/coreclr/jit/simdashwintrinsic.cpp similarity index 100% rename from src/coreclr/src/jit/simdashwintrinsic.cpp rename to src/coreclr/jit/simdashwintrinsic.cpp diff --git a/src/coreclr/src/jit/simdashwintrinsic.h b/src/coreclr/jit/simdashwintrinsic.h similarity index 100% rename from src/coreclr/src/jit/simdashwintrinsic.h rename to src/coreclr/jit/simdashwintrinsic.h diff --git a/src/coreclr/src/jit/simdashwintrinsiclistarm64.h b/src/coreclr/jit/simdashwintrinsiclistarm64.h similarity index 100% rename from src/coreclr/src/jit/simdashwintrinsiclistarm64.h rename to src/coreclr/jit/simdashwintrinsiclistarm64.h diff --git a/src/coreclr/src/jit/simdashwintrinsiclistxarch.h b/src/coreclr/jit/simdashwintrinsiclistxarch.h similarity index 100% rename from src/coreclr/src/jit/simdashwintrinsiclistxarch.h rename to src/coreclr/jit/simdashwintrinsiclistxarch.h diff --git a/src/coreclr/src/jit/simdcodegenxarch.cpp b/src/coreclr/jit/simdcodegenxarch.cpp similarity index 100% rename from src/coreclr/src/jit/simdcodegenxarch.cpp rename to src/coreclr/jit/simdcodegenxarch.cpp diff --git a/src/coreclr/src/jit/simdintrinsiclist.h b/src/coreclr/jit/simdintrinsiclist.h similarity index 100% rename from src/coreclr/src/jit/simdintrinsiclist.h rename to src/coreclr/jit/simdintrinsiclist.h diff --git a/src/coreclr/src/jit/sm.cpp b/src/coreclr/jit/sm.cpp similarity index 100% rename from src/coreclr/src/jit/sm.cpp rename to src/coreclr/jit/sm.cpp diff --git a/src/coreclr/src/jit/sm.h b/src/coreclr/jit/sm.h similarity index 100% rename from src/coreclr/src/jit/sm.h rename to src/coreclr/jit/sm.h diff --git a/src/coreclr/src/jit/smallhash.h b/src/coreclr/jit/smallhash.h similarity index 100% rename from src/coreclr/src/jit/smallhash.h rename to src/coreclr/jit/smallhash.h diff --git a/src/coreclr/src/jit/smcommon.cpp b/src/coreclr/jit/smcommon.cpp similarity index 100% rename from src/coreclr/src/jit/smcommon.cpp rename to src/coreclr/jit/smcommon.cpp diff --git a/src/coreclr/src/jit/smcommon.h b/src/coreclr/jit/smcommon.h similarity index 100% rename from src/coreclr/src/jit/smcommon.h rename to src/coreclr/jit/smcommon.h diff --git a/src/coreclr/src/jit/smdata.cpp b/src/coreclr/jit/smdata.cpp similarity index 100% rename from src/coreclr/src/jit/smdata.cpp rename to src/coreclr/jit/smdata.cpp diff --git a/src/coreclr/src/jit/smopcode.def b/src/coreclr/jit/smopcode.def similarity index 100% rename from src/coreclr/src/jit/smopcode.def rename to src/coreclr/jit/smopcode.def diff --git a/src/coreclr/src/jit/smopcodemap.def b/src/coreclr/jit/smopcodemap.def similarity index 100% rename from src/coreclr/src/jit/smopcodemap.def rename to src/coreclr/jit/smopcodemap.def diff --git a/src/coreclr/src/jit/smopenum.h b/src/coreclr/jit/smopenum.h similarity index 100% rename from src/coreclr/src/jit/smopenum.h rename to src/coreclr/jit/smopenum.h diff --git a/src/coreclr/src/jit/smweights.cpp b/src/coreclr/jit/smweights.cpp similarity index 100% rename from src/coreclr/src/jit/smweights.cpp rename to src/coreclr/jit/smweights.cpp diff --git a/src/coreclr/src/jit/ssabuilder.cpp b/src/coreclr/jit/ssabuilder.cpp similarity index 100% rename from src/coreclr/src/jit/ssabuilder.cpp rename to src/coreclr/jit/ssabuilder.cpp diff --git a/src/coreclr/src/jit/ssabuilder.h b/src/coreclr/jit/ssabuilder.h similarity index 100% rename from src/coreclr/src/jit/ssabuilder.h rename to src/coreclr/jit/ssabuilder.h diff --git a/src/coreclr/src/jit/ssaconfig.h b/src/coreclr/jit/ssaconfig.h similarity index 100% rename from src/coreclr/src/jit/ssaconfig.h rename to src/coreclr/jit/ssaconfig.h diff --git a/src/coreclr/src/jit/ssarenamestate.cpp b/src/coreclr/jit/ssarenamestate.cpp similarity index 100% rename from src/coreclr/src/jit/ssarenamestate.cpp rename to src/coreclr/jit/ssarenamestate.cpp diff --git a/src/coreclr/src/jit/ssarenamestate.h b/src/coreclr/jit/ssarenamestate.h similarity index 100% rename from src/coreclr/src/jit/ssarenamestate.h rename to src/coreclr/jit/ssarenamestate.h diff --git a/src/coreclr/src/jit/stacklevelsetter.cpp b/src/coreclr/jit/stacklevelsetter.cpp similarity index 100% rename from src/coreclr/src/jit/stacklevelsetter.cpp rename to src/coreclr/jit/stacklevelsetter.cpp diff --git a/src/coreclr/src/jit/stacklevelsetter.h b/src/coreclr/jit/stacklevelsetter.h similarity index 100% rename from src/coreclr/src/jit/stacklevelsetter.h rename to src/coreclr/jit/stacklevelsetter.h diff --git a/src/coreclr/src/jit/static/CMakeLists.txt b/src/coreclr/jit/static/CMakeLists.txt similarity index 100% rename from src/coreclr/src/jit/static/CMakeLists.txt rename to src/coreclr/jit/static/CMakeLists.txt diff --git a/src/coreclr/src/jit/static/clrjit.def b/src/coreclr/jit/static/clrjit.def similarity index 100% rename from src/coreclr/src/jit/static/clrjit.def rename to src/coreclr/jit/static/clrjit.def diff --git a/src/coreclr/src/jit/target.h b/src/coreclr/jit/target.h similarity index 100% rename from src/coreclr/src/jit/target.h rename to src/coreclr/jit/target.h diff --git a/src/coreclr/src/jit/targetamd64.cpp b/src/coreclr/jit/targetamd64.cpp similarity index 100% rename from src/coreclr/src/jit/targetamd64.cpp rename to src/coreclr/jit/targetamd64.cpp diff --git a/src/coreclr/src/jit/targetarm.cpp b/src/coreclr/jit/targetarm.cpp similarity index 100% rename from src/coreclr/src/jit/targetarm.cpp rename to src/coreclr/jit/targetarm.cpp diff --git a/src/coreclr/src/jit/targetarm64.cpp b/src/coreclr/jit/targetarm64.cpp similarity index 100% rename from src/coreclr/src/jit/targetarm64.cpp rename to src/coreclr/jit/targetarm64.cpp diff --git a/src/coreclr/src/jit/targetx86.cpp b/src/coreclr/jit/targetx86.cpp similarity index 100% rename from src/coreclr/src/jit/targetx86.cpp rename to src/coreclr/jit/targetx86.cpp diff --git a/src/coreclr/src/jit/tinyarray.h b/src/coreclr/jit/tinyarray.h similarity index 100% rename from src/coreclr/src/jit/tinyarray.h rename to src/coreclr/jit/tinyarray.h diff --git a/src/coreclr/src/jit/titypes.h b/src/coreclr/jit/titypes.h similarity index 100% rename from src/coreclr/src/jit/titypes.h rename to src/coreclr/jit/titypes.h diff --git a/src/coreclr/src/jit/treelifeupdater.cpp b/src/coreclr/jit/treelifeupdater.cpp similarity index 100% rename from src/coreclr/src/jit/treelifeupdater.cpp rename to src/coreclr/jit/treelifeupdater.cpp diff --git a/src/coreclr/src/jit/treelifeupdater.h b/src/coreclr/jit/treelifeupdater.h similarity index 100% rename from src/coreclr/src/jit/treelifeupdater.h rename to src/coreclr/jit/treelifeupdater.h diff --git a/src/coreclr/src/jit/typeinfo.cpp b/src/coreclr/jit/typeinfo.cpp similarity index 100% rename from src/coreclr/src/jit/typeinfo.cpp rename to src/coreclr/jit/typeinfo.cpp diff --git a/src/coreclr/src/jit/typelist.h b/src/coreclr/jit/typelist.h similarity index 100% rename from src/coreclr/src/jit/typelist.h rename to src/coreclr/jit/typelist.h diff --git a/src/coreclr/src/jit/unwind.cpp b/src/coreclr/jit/unwind.cpp similarity index 100% rename from src/coreclr/src/jit/unwind.cpp rename to src/coreclr/jit/unwind.cpp diff --git a/src/coreclr/src/jit/unwind.h b/src/coreclr/jit/unwind.h similarity index 100% rename from src/coreclr/src/jit/unwind.h rename to src/coreclr/jit/unwind.h diff --git a/src/coreclr/src/jit/unwindamd64.cpp b/src/coreclr/jit/unwindamd64.cpp similarity index 100% rename from src/coreclr/src/jit/unwindamd64.cpp rename to src/coreclr/jit/unwindamd64.cpp diff --git a/src/coreclr/src/jit/unwindarm.cpp b/src/coreclr/jit/unwindarm.cpp similarity index 100% rename from src/coreclr/src/jit/unwindarm.cpp rename to src/coreclr/jit/unwindarm.cpp diff --git a/src/coreclr/src/jit/unwindarm64.cpp b/src/coreclr/jit/unwindarm64.cpp similarity index 100% rename from src/coreclr/src/jit/unwindarm64.cpp rename to src/coreclr/jit/unwindarm64.cpp diff --git a/src/coreclr/src/jit/unwindx86.cpp b/src/coreclr/jit/unwindx86.cpp similarity index 100% rename from src/coreclr/src/jit/unwindx86.cpp rename to src/coreclr/jit/unwindx86.cpp diff --git a/src/coreclr/src/jit/utils.cpp b/src/coreclr/jit/utils.cpp similarity index 100% rename from src/coreclr/src/jit/utils.cpp rename to src/coreclr/jit/utils.cpp diff --git a/src/coreclr/src/jit/utils.h b/src/coreclr/jit/utils.h similarity index 100% rename from src/coreclr/src/jit/utils.h rename to src/coreclr/jit/utils.h diff --git a/src/coreclr/src/jit/valuenum.cpp b/src/coreclr/jit/valuenum.cpp similarity index 100% rename from src/coreclr/src/jit/valuenum.cpp rename to src/coreclr/jit/valuenum.cpp diff --git a/src/coreclr/src/jit/valuenum.h b/src/coreclr/jit/valuenum.h similarity index 100% rename from src/coreclr/src/jit/valuenum.h rename to src/coreclr/jit/valuenum.h diff --git a/src/coreclr/src/jit/valuenumfuncs.h b/src/coreclr/jit/valuenumfuncs.h similarity index 100% rename from src/coreclr/src/jit/valuenumfuncs.h rename to src/coreclr/jit/valuenumfuncs.h diff --git a/src/coreclr/src/jit/valuenumtype.h b/src/coreclr/jit/valuenumtype.h similarity index 100% rename from src/coreclr/src/jit/valuenumtype.h rename to src/coreclr/jit/valuenumtype.h diff --git a/src/coreclr/src/jit/varset.h b/src/coreclr/jit/varset.h similarity index 100% rename from src/coreclr/src/jit/varset.h rename to src/coreclr/jit/varset.h diff --git a/src/coreclr/src/jit/vartype.h b/src/coreclr/jit/vartype.h similarity index 100% rename from src/coreclr/src/jit/vartype.h rename to src/coreclr/jit/vartype.h diff --git a/src/coreclr/src/md/CMakeLists.txt b/src/coreclr/md/CMakeLists.txt similarity index 100% rename from src/coreclr/src/md/CMakeLists.txt rename to src/coreclr/md/CMakeLists.txt diff --git a/src/coreclr/src/md/ceefilegen/CMakeLists.txt b/src/coreclr/md/ceefilegen/CMakeLists.txt similarity index 100% rename from src/coreclr/src/md/ceefilegen/CMakeLists.txt rename to src/coreclr/md/ceefilegen/CMakeLists.txt diff --git a/src/coreclr/src/md/ceefilegen/blobfetcher.cpp b/src/coreclr/md/ceefilegen/blobfetcher.cpp similarity index 100% rename from src/coreclr/src/md/ceefilegen/blobfetcher.cpp rename to src/coreclr/md/ceefilegen/blobfetcher.cpp diff --git a/src/coreclr/src/md/ceefilegen/cceegen.cpp b/src/coreclr/md/ceefilegen/cceegen.cpp similarity index 100% rename from src/coreclr/src/md/ceefilegen/cceegen.cpp rename to src/coreclr/md/ceefilegen/cceegen.cpp diff --git a/src/coreclr/src/md/ceefilegen/ceegentokenmapper.cpp b/src/coreclr/md/ceefilegen/ceegentokenmapper.cpp similarity index 100% rename from src/coreclr/src/md/ceefilegen/ceegentokenmapper.cpp rename to src/coreclr/md/ceefilegen/ceegentokenmapper.cpp diff --git a/src/coreclr/src/md/ceefilegen/ceesectionstring.cpp b/src/coreclr/md/ceefilegen/ceesectionstring.cpp similarity index 100% rename from src/coreclr/src/md/ceefilegen/ceesectionstring.cpp rename to src/coreclr/md/ceefilegen/ceesectionstring.cpp diff --git a/src/coreclr/src/md/ceefilegen/pesectionman.cpp b/src/coreclr/md/ceefilegen/pesectionman.cpp similarity index 100% rename from src/coreclr/src/md/ceefilegen/pesectionman.cpp rename to src/coreclr/md/ceefilegen/pesectionman.cpp diff --git a/src/coreclr/src/md/ceefilegen/stdafx.h b/src/coreclr/md/ceefilegen/stdafx.h similarity index 100% rename from src/coreclr/src/md/ceefilegen/stdafx.h rename to src/coreclr/md/ceefilegen/stdafx.h diff --git a/src/coreclr/src/md/compiler/CMakeLists.txt b/src/coreclr/md/compiler/CMakeLists.txt similarity index 100% rename from src/coreclr/src/md/compiler/CMakeLists.txt rename to src/coreclr/md/compiler/CMakeLists.txt diff --git a/src/coreclr/src/md/compiler/assemblymd.cpp b/src/coreclr/md/compiler/assemblymd.cpp similarity index 100% rename from src/coreclr/src/md/compiler/assemblymd.cpp rename to src/coreclr/md/compiler/assemblymd.cpp diff --git a/src/coreclr/src/md/compiler/assemblymd_emit.cpp b/src/coreclr/md/compiler/assemblymd_emit.cpp similarity index 100% rename from src/coreclr/src/md/compiler/assemblymd_emit.cpp rename to src/coreclr/md/compiler/assemblymd_emit.cpp diff --git a/src/coreclr/src/md/compiler/classfactory.cpp b/src/coreclr/md/compiler/classfactory.cpp similarity index 100% rename from src/coreclr/src/md/compiler/classfactory.cpp rename to src/coreclr/md/compiler/classfactory.cpp diff --git a/src/coreclr/src/md/compiler/classfactory.h b/src/coreclr/md/compiler/classfactory.h similarity index 100% rename from src/coreclr/src/md/compiler/classfactory.h rename to src/coreclr/md/compiler/classfactory.h diff --git a/src/coreclr/src/md/compiler/custattr.h b/src/coreclr/md/compiler/custattr.h similarity index 100% rename from src/coreclr/src/md/compiler/custattr.h rename to src/coreclr/md/compiler/custattr.h diff --git a/src/coreclr/src/md/compiler/custattr_emit.cpp b/src/coreclr/md/compiler/custattr_emit.cpp similarity index 100% rename from src/coreclr/src/md/compiler/custattr_emit.cpp rename to src/coreclr/md/compiler/custattr_emit.cpp diff --git a/src/coreclr/src/md/compiler/custattr_import.cpp b/src/coreclr/md/compiler/custattr_import.cpp similarity index 100% rename from src/coreclr/src/md/compiler/custattr_import.cpp rename to src/coreclr/md/compiler/custattr_import.cpp diff --git a/src/coreclr/src/md/compiler/disp.cpp b/src/coreclr/md/compiler/disp.cpp similarity index 100% rename from src/coreclr/src/md/compiler/disp.cpp rename to src/coreclr/md/compiler/disp.cpp diff --git a/src/coreclr/src/md/compiler/disp.h b/src/coreclr/md/compiler/disp.h similarity index 100% rename from src/coreclr/src/md/compiler/disp.h rename to src/coreclr/md/compiler/disp.h diff --git a/src/coreclr/src/md/compiler/emit.cpp b/src/coreclr/md/compiler/emit.cpp similarity index 100% rename from src/coreclr/src/md/compiler/emit.cpp rename to src/coreclr/md/compiler/emit.cpp diff --git a/src/coreclr/src/md/compiler/filtermanager.cpp b/src/coreclr/md/compiler/filtermanager.cpp similarity index 100% rename from src/coreclr/src/md/compiler/filtermanager.cpp rename to src/coreclr/md/compiler/filtermanager.cpp diff --git a/src/coreclr/src/md/compiler/filtermanager.h b/src/coreclr/md/compiler/filtermanager.h similarity index 100% rename from src/coreclr/src/md/compiler/filtermanager.h rename to src/coreclr/md/compiler/filtermanager.h diff --git a/src/coreclr/src/md/compiler/helper.cpp b/src/coreclr/md/compiler/helper.cpp similarity index 100% rename from src/coreclr/src/md/compiler/helper.cpp rename to src/coreclr/md/compiler/helper.cpp diff --git a/src/coreclr/src/md/compiler/import.cpp b/src/coreclr/md/compiler/import.cpp similarity index 100% rename from src/coreclr/src/md/compiler/import.cpp rename to src/coreclr/md/compiler/import.cpp diff --git a/src/coreclr/src/md/compiler/importhelper.cpp b/src/coreclr/md/compiler/importhelper.cpp similarity index 100% rename from src/coreclr/src/md/compiler/importhelper.cpp rename to src/coreclr/md/compiler/importhelper.cpp diff --git a/src/coreclr/src/md/compiler/importhelper.h b/src/coreclr/md/compiler/importhelper.h similarity index 100% rename from src/coreclr/src/md/compiler/importhelper.h rename to src/coreclr/md/compiler/importhelper.h diff --git a/src/coreclr/src/md/compiler/mdperf.cpp b/src/coreclr/md/compiler/mdperf.cpp similarity index 100% rename from src/coreclr/src/md/compiler/mdperf.cpp rename to src/coreclr/md/compiler/mdperf.cpp diff --git a/src/coreclr/src/md/compiler/mdperf.h b/src/coreclr/md/compiler/mdperf.h similarity index 100% rename from src/coreclr/src/md/compiler/mdperf.h rename to src/coreclr/md/compiler/mdperf.h diff --git a/src/coreclr/src/md/compiler/mdutil.cpp b/src/coreclr/md/compiler/mdutil.cpp similarity index 100% rename from src/coreclr/src/md/compiler/mdutil.cpp rename to src/coreclr/md/compiler/mdutil.cpp diff --git a/src/coreclr/src/md/compiler/mdutil.h b/src/coreclr/md/compiler/mdutil.h similarity index 100% rename from src/coreclr/src/md/compiler/mdutil.h rename to src/coreclr/md/compiler/mdutil.h diff --git a/src/coreclr/src/md/compiler/regmeta.cpp b/src/coreclr/md/compiler/regmeta.cpp similarity index 100% rename from src/coreclr/src/md/compiler/regmeta.cpp rename to src/coreclr/md/compiler/regmeta.cpp diff --git a/src/coreclr/src/md/compiler/regmeta.h b/src/coreclr/md/compiler/regmeta.h similarity index 100% rename from src/coreclr/src/md/compiler/regmeta.h rename to src/coreclr/md/compiler/regmeta.h diff --git a/src/coreclr/src/md/compiler/regmeta_compilersupport.cpp b/src/coreclr/md/compiler/regmeta_compilersupport.cpp similarity index 100% rename from src/coreclr/src/md/compiler/regmeta_compilersupport.cpp rename to src/coreclr/md/compiler/regmeta_compilersupport.cpp diff --git a/src/coreclr/src/md/compiler/regmeta_emit.cpp b/src/coreclr/md/compiler/regmeta_emit.cpp similarity index 100% rename from src/coreclr/src/md/compiler/regmeta_emit.cpp rename to src/coreclr/md/compiler/regmeta_emit.cpp diff --git a/src/coreclr/src/md/compiler/regmeta_imetadatatables.cpp b/src/coreclr/md/compiler/regmeta_imetadatatables.cpp similarity index 100% rename from src/coreclr/src/md/compiler/regmeta_imetadatatables.cpp rename to src/coreclr/md/compiler/regmeta_imetadatatables.cpp diff --git a/src/coreclr/src/md/compiler/regmeta_import.cpp b/src/coreclr/md/compiler/regmeta_import.cpp similarity index 100% rename from src/coreclr/src/md/compiler/regmeta_import.cpp rename to src/coreclr/md/compiler/regmeta_import.cpp diff --git a/src/coreclr/src/md/compiler/regmeta_vm.cpp b/src/coreclr/md/compiler/regmeta_vm.cpp similarity index 100% rename from src/coreclr/src/md/compiler/regmeta_vm.cpp rename to src/coreclr/md/compiler/regmeta_vm.cpp diff --git a/src/coreclr/src/md/compiler/stdafx.h b/src/coreclr/md/compiler/stdafx.h similarity index 100% rename from src/coreclr/src/md/compiler/stdafx.h rename to src/coreclr/md/compiler/stdafx.h diff --git a/src/coreclr/src/md/compiler/verifylayouts.cpp b/src/coreclr/md/compiler/verifylayouts.cpp similarity index 100% rename from src/coreclr/src/md/compiler/verifylayouts.cpp rename to src/coreclr/md/compiler/verifylayouts.cpp diff --git a/src/coreclr/src/md/compressedinteger.h b/src/coreclr/md/compressedinteger.h similarity index 100% rename from src/coreclr/src/md/compressedinteger.h rename to src/coreclr/md/compressedinteger.h diff --git a/src/coreclr/src/md/compressedinteger.inl b/src/coreclr/md/compressedinteger.inl similarity index 100% rename from src/coreclr/src/md/compressedinteger.inl rename to src/coreclr/md/compressedinteger.inl diff --git a/src/coreclr/src/md/datablob.h b/src/coreclr/md/datablob.h similarity index 100% rename from src/coreclr/src/md/datablob.h rename to src/coreclr/md/datablob.h diff --git a/src/coreclr/src/md/datablob.inl b/src/coreclr/md/datablob.inl similarity index 100% rename from src/coreclr/src/md/datablob.inl rename to src/coreclr/md/datablob.inl diff --git a/src/coreclr/src/md/databuffer.h b/src/coreclr/md/databuffer.h similarity index 100% rename from src/coreclr/src/md/databuffer.h rename to src/coreclr/md/databuffer.h diff --git a/src/coreclr/src/md/databuffer.inl b/src/coreclr/md/databuffer.inl similarity index 100% rename from src/coreclr/src/md/databuffer.inl rename to src/coreclr/md/databuffer.inl diff --git a/src/coreclr/src/md/datasource/CMakeLists.txt b/src/coreclr/md/datasource/CMakeLists.txt similarity index 100% rename from src/coreclr/src/md/datasource/CMakeLists.txt rename to src/coreclr/md/datasource/CMakeLists.txt diff --git a/src/coreclr/src/md/datasource/api.cpp b/src/coreclr/md/datasource/api.cpp similarity index 100% rename from src/coreclr/src/md/datasource/api.cpp rename to src/coreclr/md/datasource/api.cpp diff --git a/src/coreclr/src/md/datasource/datatargetreader.cpp b/src/coreclr/md/datasource/datatargetreader.cpp similarity index 100% rename from src/coreclr/src/md/datasource/datatargetreader.cpp rename to src/coreclr/md/datasource/datatargetreader.cpp diff --git a/src/coreclr/src/md/datasource/datatargetreader.h b/src/coreclr/md/datasource/datatargetreader.h similarity index 100% rename from src/coreclr/src/md/datasource/datatargetreader.h rename to src/coreclr/md/datasource/datatargetreader.h diff --git a/src/coreclr/src/md/datasource/remotemdinternalrwsource.cpp b/src/coreclr/md/datasource/remotemdinternalrwsource.cpp similarity index 100% rename from src/coreclr/src/md/datasource/remotemdinternalrwsource.cpp rename to src/coreclr/md/datasource/remotemdinternalrwsource.cpp diff --git a/src/coreclr/src/md/datasource/remotemdinternalrwsource.h b/src/coreclr/md/datasource/remotemdinternalrwsource.h similarity index 100% rename from src/coreclr/src/md/datasource/remotemdinternalrwsource.h rename to src/coreclr/md/datasource/remotemdinternalrwsource.h diff --git a/src/coreclr/src/md/datasource/stdafx.h b/src/coreclr/md/datasource/stdafx.h similarity index 100% rename from src/coreclr/src/md/datasource/stdafx.h rename to src/coreclr/md/datasource/stdafx.h diff --git a/src/coreclr/src/md/datasource/targettypes.cpp b/src/coreclr/md/datasource/targettypes.cpp similarity index 100% rename from src/coreclr/src/md/datasource/targettypes.cpp rename to src/coreclr/md/datasource/targettypes.cpp diff --git a/src/coreclr/src/md/datasource/targettypes.h b/src/coreclr/md/datasource/targettypes.h similarity index 100% rename from src/coreclr/src/md/datasource/targettypes.h rename to src/coreclr/md/datasource/targettypes.h diff --git a/src/coreclr/src/md/debug_metadata.h b/src/coreclr/md/debug_metadata.h similarity index 100% rename from src/coreclr/src/md/debug_metadata.h rename to src/coreclr/md/debug_metadata.h diff --git a/src/coreclr/src/md/enc/CMakeLists.txt b/src/coreclr/md/enc/CMakeLists.txt similarity index 100% rename from src/coreclr/src/md/enc/CMakeLists.txt rename to src/coreclr/md/enc/CMakeLists.txt diff --git a/src/coreclr/src/md/enc/liteweightstgdbrw.cpp b/src/coreclr/md/enc/liteweightstgdbrw.cpp similarity index 100% rename from src/coreclr/src/md/enc/liteweightstgdbrw.cpp rename to src/coreclr/md/enc/liteweightstgdbrw.cpp diff --git a/src/coreclr/src/md/enc/mdinternalrw.cpp b/src/coreclr/md/enc/mdinternalrw.cpp similarity index 100% rename from src/coreclr/src/md/enc/mdinternalrw.cpp rename to src/coreclr/md/enc/mdinternalrw.cpp diff --git a/src/coreclr/src/md/enc/metamodelenc.cpp b/src/coreclr/md/enc/metamodelenc.cpp similarity index 100% rename from src/coreclr/src/md/enc/metamodelenc.cpp rename to src/coreclr/md/enc/metamodelenc.cpp diff --git a/src/coreclr/src/md/enc/metamodelrw.cpp b/src/coreclr/md/enc/metamodelrw.cpp similarity index 100% rename from src/coreclr/src/md/enc/metamodelrw.cpp rename to src/coreclr/md/enc/metamodelrw.cpp diff --git a/src/coreclr/src/md/enc/pdbheap.cpp b/src/coreclr/md/enc/pdbheap.cpp similarity index 100% rename from src/coreclr/src/md/enc/pdbheap.cpp rename to src/coreclr/md/enc/pdbheap.cpp diff --git a/src/coreclr/src/md/enc/peparse.cpp b/src/coreclr/md/enc/peparse.cpp similarity index 100% rename from src/coreclr/src/md/enc/peparse.cpp rename to src/coreclr/md/enc/peparse.cpp diff --git a/src/coreclr/src/md/enc/rwutil.cpp b/src/coreclr/md/enc/rwutil.cpp similarity index 100% rename from src/coreclr/src/md/enc/rwutil.cpp rename to src/coreclr/md/enc/rwutil.cpp diff --git a/src/coreclr/src/md/enc/stdafx.h b/src/coreclr/md/enc/stdafx.h similarity index 100% rename from src/coreclr/src/md/enc/stdafx.h rename to src/coreclr/md/enc/stdafx.h diff --git a/src/coreclr/src/md/enc/stgio.cpp b/src/coreclr/md/enc/stgio.cpp similarity index 100% rename from src/coreclr/src/md/enc/stgio.cpp rename to src/coreclr/md/enc/stgio.cpp diff --git a/src/coreclr/src/md/enc/stgtiggerstorage.cpp b/src/coreclr/md/enc/stgtiggerstorage.cpp similarity index 100% rename from src/coreclr/src/md/enc/stgtiggerstorage.cpp rename to src/coreclr/md/enc/stgtiggerstorage.cpp diff --git a/src/coreclr/src/md/enc/stgtiggerstream.cpp b/src/coreclr/md/enc/stgtiggerstream.cpp similarity index 100% rename from src/coreclr/src/md/enc/stgtiggerstream.cpp rename to src/coreclr/md/enc/stgtiggerstream.cpp diff --git a/src/coreclr/src/md/errors_metadata.h b/src/coreclr/md/errors_metadata.h similarity index 100% rename from src/coreclr/src/md/errors_metadata.h rename to src/coreclr/md/errors_metadata.h diff --git a/src/coreclr/src/md/export.h b/src/coreclr/md/export.h similarity index 100% rename from src/coreclr/src/md/export.h rename to src/coreclr/md/export.h diff --git a/src/coreclr/src/md/external.h b/src/coreclr/md/external.h similarity index 100% rename from src/coreclr/src/md/external.h rename to src/coreclr/md/external.h diff --git a/src/coreclr/src/md/heaps/blobheap.h b/src/coreclr/md/heaps/blobheap.h similarity index 100% rename from src/coreclr/src/md/heaps/blobheap.h rename to src/coreclr/md/heaps/blobheap.h diff --git a/src/coreclr/src/md/heaps/export.h b/src/coreclr/md/heaps/export.h similarity index 100% rename from src/coreclr/src/md/heaps/export.h rename to src/coreclr/md/heaps/export.h diff --git a/src/coreclr/src/md/heaps/external.h b/src/coreclr/md/heaps/external.h similarity index 100% rename from src/coreclr/src/md/heaps/external.h rename to src/coreclr/md/heaps/external.h diff --git a/src/coreclr/src/md/heaps/guidheap.h b/src/coreclr/md/heaps/guidheap.h similarity index 100% rename from src/coreclr/src/md/heaps/guidheap.h rename to src/coreclr/md/heaps/guidheap.h diff --git a/src/coreclr/src/md/heaps/stringheap.h b/src/coreclr/md/heaps/stringheap.h similarity index 100% rename from src/coreclr/src/md/heaps/stringheap.h rename to src/coreclr/md/heaps/stringheap.h diff --git a/src/coreclr/src/md/hotdata/CMakeLists.txt b/src/coreclr/md/hotdata/CMakeLists.txt similarity index 100% rename from src/coreclr/src/md/hotdata/CMakeLists.txt rename to src/coreclr/md/hotdata/CMakeLists.txt diff --git a/src/coreclr/src/md/hotdata/export.h b/src/coreclr/md/hotdata/export.h similarity index 100% rename from src/coreclr/src/md/hotdata/export.h rename to src/coreclr/md/hotdata/export.h diff --git a/src/coreclr/src/md/hotdata/external.h b/src/coreclr/md/hotdata/external.h similarity index 100% rename from src/coreclr/src/md/hotdata/external.h rename to src/coreclr/md/hotdata/external.h diff --git a/src/coreclr/src/md/hotdata/heapindex.h b/src/coreclr/md/hotdata/heapindex.h similarity index 100% rename from src/coreclr/src/md/hotdata/heapindex.h rename to src/coreclr/md/hotdata/heapindex.h diff --git a/src/coreclr/src/md/hotdata/hotdataformat.h b/src/coreclr/md/hotdata/hotdataformat.h similarity index 100% rename from src/coreclr/src/md/hotdata/hotdataformat.h rename to src/coreclr/md/hotdata/hotdataformat.h diff --git a/src/coreclr/src/md/hotdata/hotheap.cpp b/src/coreclr/md/hotdata/hotheap.cpp similarity index 100% rename from src/coreclr/src/md/hotdata/hotheap.cpp rename to src/coreclr/md/hotdata/hotheap.cpp diff --git a/src/coreclr/src/md/hotdata/hotheap.h b/src/coreclr/md/hotdata/hotheap.h similarity index 100% rename from src/coreclr/src/md/hotdata/hotheap.h rename to src/coreclr/md/hotdata/hotheap.h diff --git a/src/coreclr/src/md/hotdata/hotheapsdirectoryiterator.cpp b/src/coreclr/md/hotdata/hotheapsdirectoryiterator.cpp similarity index 100% rename from src/coreclr/src/md/hotdata/hotheapsdirectoryiterator.cpp rename to src/coreclr/md/hotdata/hotheapsdirectoryiterator.cpp diff --git a/src/coreclr/src/md/hotdata/hotheapsdirectoryiterator.h b/src/coreclr/md/hotdata/hotheapsdirectoryiterator.h similarity index 100% rename from src/coreclr/src/md/hotdata/hotheapsdirectoryiterator.h rename to src/coreclr/md/hotdata/hotheapsdirectoryiterator.h diff --git a/src/coreclr/src/md/hotdata/hotheapwriter.cpp b/src/coreclr/md/hotdata/hotheapwriter.cpp similarity index 100% rename from src/coreclr/src/md/hotdata/hotheapwriter.cpp rename to src/coreclr/md/hotdata/hotheapwriter.cpp diff --git a/src/coreclr/src/md/hotdata/hotheapwriter.h b/src/coreclr/md/hotdata/hotheapwriter.h similarity index 100% rename from src/coreclr/src/md/hotdata/hotheapwriter.h rename to src/coreclr/md/hotdata/hotheapwriter.h diff --git a/src/coreclr/src/md/hotdata/hotmetadata.cpp b/src/coreclr/md/hotdata/hotmetadata.cpp similarity index 100% rename from src/coreclr/src/md/hotdata/hotmetadata.cpp rename to src/coreclr/md/hotdata/hotmetadata.cpp diff --git a/src/coreclr/src/md/hotdata/hotmetadata.h b/src/coreclr/md/hotdata/hotmetadata.h similarity index 100% rename from src/coreclr/src/md/hotdata/hotmetadata.h rename to src/coreclr/md/hotdata/hotmetadata.h diff --git a/src/coreclr/src/md/hotdata/hottable.cpp b/src/coreclr/md/hotdata/hottable.cpp similarity index 100% rename from src/coreclr/src/md/hotdata/hottable.cpp rename to src/coreclr/md/hotdata/hottable.cpp diff --git a/src/coreclr/src/md/hotdata/hottable.h b/src/coreclr/md/hotdata/hottable.h similarity index 100% rename from src/coreclr/src/md/hotdata/hottable.h rename to src/coreclr/md/hotdata/hottable.h diff --git a/src/coreclr/src/md/inc/VerifyLayouts.inc b/src/coreclr/md/inc/VerifyLayouts.inc similarity index 100% rename from src/coreclr/src/md/inc/VerifyLayouts.inc rename to src/coreclr/md/inc/VerifyLayouts.inc diff --git a/src/coreclr/src/md/inc/assemblymdinternaldisp.h b/src/coreclr/md/inc/assemblymdinternaldisp.h similarity index 100% rename from src/coreclr/src/md/inc/assemblymdinternaldisp.h rename to src/coreclr/md/inc/assemblymdinternaldisp.h diff --git a/src/coreclr/src/md/inc/cahlprinternal.h b/src/coreclr/md/inc/cahlprinternal.h similarity index 100% rename from src/coreclr/src/md/inc/cahlprinternal.h rename to src/coreclr/md/inc/cahlprinternal.h diff --git a/src/coreclr/src/md/inc/liteweightstgdb.h b/src/coreclr/md/inc/liteweightstgdb.h similarity index 100% rename from src/coreclr/src/md/inc/liteweightstgdb.h rename to src/coreclr/md/inc/liteweightstgdb.h diff --git a/src/coreclr/src/md/inc/mdcolumndescriptors.h b/src/coreclr/md/inc/mdcolumndescriptors.h similarity index 100% rename from src/coreclr/src/md/inc/mdcolumndescriptors.h rename to src/coreclr/md/inc/mdcolumndescriptors.h diff --git a/src/coreclr/src/md/inc/mdinternalrw.h b/src/coreclr/md/inc/mdinternalrw.h similarity index 100% rename from src/coreclr/src/md/inc/mdinternalrw.h rename to src/coreclr/md/inc/mdinternalrw.h diff --git a/src/coreclr/src/md/inc/mdlog.h b/src/coreclr/md/inc/mdlog.h similarity index 100% rename from src/coreclr/src/md/inc/mdlog.h rename to src/coreclr/md/inc/mdlog.h diff --git a/src/coreclr/src/md/inc/metadatahash.h b/src/coreclr/md/inc/metadatahash.h similarity index 100% rename from src/coreclr/src/md/inc/metadatahash.h rename to src/coreclr/md/inc/metadatahash.h diff --git a/src/coreclr/src/md/inc/metamodel.h b/src/coreclr/md/inc/metamodel.h similarity index 100% rename from src/coreclr/src/md/inc/metamodel.h rename to src/coreclr/md/inc/metamodel.h diff --git a/src/coreclr/src/md/inc/metamodelro.h b/src/coreclr/md/inc/metamodelro.h similarity index 100% rename from src/coreclr/src/md/inc/metamodelro.h rename to src/coreclr/md/inc/metamodelro.h diff --git a/src/coreclr/src/md/inc/metamodelrw.h b/src/coreclr/md/inc/metamodelrw.h similarity index 100% rename from src/coreclr/src/md/inc/metamodelrw.h rename to src/coreclr/md/inc/metamodelrw.h diff --git a/src/coreclr/src/md/inc/pdbheap.h b/src/coreclr/md/inc/pdbheap.h similarity index 100% rename from src/coreclr/src/md/inc/pdbheap.h rename to src/coreclr/md/inc/pdbheap.h diff --git a/src/coreclr/src/md/inc/portablepdbmdds.h b/src/coreclr/md/inc/portablepdbmdds.h similarity index 100% rename from src/coreclr/src/md/inc/portablepdbmdds.h rename to src/coreclr/md/inc/portablepdbmdds.h diff --git a/src/coreclr/src/md/inc/portablepdbmdi.h b/src/coreclr/md/inc/portablepdbmdi.h similarity index 100% rename from src/coreclr/src/md/inc/portablepdbmdi.h rename to src/coreclr/md/inc/portablepdbmdi.h diff --git a/src/coreclr/src/md/inc/recordpool.h b/src/coreclr/md/inc/recordpool.h similarity index 100% rename from src/coreclr/src/md/inc/recordpool.h rename to src/coreclr/md/inc/recordpool.h diff --git a/src/coreclr/src/md/inc/rwutil.h b/src/coreclr/md/inc/rwutil.h similarity index 100% rename from src/coreclr/src/md/inc/rwutil.h rename to src/coreclr/md/inc/rwutil.h diff --git a/src/coreclr/src/md/inc/stgio.h b/src/coreclr/md/inc/stgio.h similarity index 100% rename from src/coreclr/src/md/inc/stgio.h rename to src/coreclr/md/inc/stgio.h diff --git a/src/coreclr/src/md/inc/stgtiggerstorage.h b/src/coreclr/md/inc/stgtiggerstorage.h similarity index 100% rename from src/coreclr/src/md/inc/stgtiggerstorage.h rename to src/coreclr/md/inc/stgtiggerstorage.h diff --git a/src/coreclr/src/md/inc/stgtiggerstream.h b/src/coreclr/md/inc/stgtiggerstream.h similarity index 100% rename from src/coreclr/src/md/inc/stgtiggerstream.h rename to src/coreclr/md/inc/stgtiggerstream.h diff --git a/src/coreclr/src/md/inc/streamutil.h b/src/coreclr/md/inc/streamutil.h similarity index 100% rename from src/coreclr/src/md/inc/streamutil.h rename to src/coreclr/md/inc/streamutil.h diff --git a/src/coreclr/src/md/inc/verifylayouts.h b/src/coreclr/md/inc/verifylayouts.h similarity index 100% rename from src/coreclr/src/md/inc/verifylayouts.h rename to src/coreclr/md/inc/verifylayouts.h diff --git a/src/coreclr/src/md/runtime/CMakeLists.txt b/src/coreclr/md/runtime/CMakeLists.txt similarity index 100% rename from src/coreclr/src/md/runtime/CMakeLists.txt rename to src/coreclr/md/runtime/CMakeLists.txt diff --git a/src/coreclr/src/md/runtime/liteweightstgdb.cpp b/src/coreclr/md/runtime/liteweightstgdb.cpp similarity index 100% rename from src/coreclr/src/md/runtime/liteweightstgdb.cpp rename to src/coreclr/md/runtime/liteweightstgdb.cpp diff --git a/src/coreclr/src/md/runtime/mdcolumndescriptors.cpp b/src/coreclr/md/runtime/mdcolumndescriptors.cpp similarity index 100% rename from src/coreclr/src/md/runtime/mdcolumndescriptors.cpp rename to src/coreclr/md/runtime/mdcolumndescriptors.cpp diff --git a/src/coreclr/src/md/runtime/mdfileformat.cpp b/src/coreclr/md/runtime/mdfileformat.cpp similarity index 100% rename from src/coreclr/src/md/runtime/mdfileformat.cpp rename to src/coreclr/md/runtime/mdfileformat.cpp diff --git a/src/coreclr/src/md/runtime/mdinternaldisp.cpp b/src/coreclr/md/runtime/mdinternaldisp.cpp similarity index 100% rename from src/coreclr/src/md/runtime/mdinternaldisp.cpp rename to src/coreclr/md/runtime/mdinternaldisp.cpp diff --git a/src/coreclr/src/md/runtime/mdinternaldisp.h b/src/coreclr/md/runtime/mdinternaldisp.h similarity index 100% rename from src/coreclr/src/md/runtime/mdinternaldisp.h rename to src/coreclr/md/runtime/mdinternaldisp.h diff --git a/src/coreclr/src/md/runtime/mdinternalro.cpp b/src/coreclr/md/runtime/mdinternalro.cpp similarity index 100% rename from src/coreclr/src/md/runtime/mdinternalro.cpp rename to src/coreclr/md/runtime/mdinternalro.cpp diff --git a/src/coreclr/src/md/runtime/mdinternalro.h b/src/coreclr/md/runtime/mdinternalro.h similarity index 100% rename from src/coreclr/src/md/runtime/mdinternalro.h rename to src/coreclr/md/runtime/mdinternalro.h diff --git a/src/coreclr/src/md/runtime/metamodel.cpp b/src/coreclr/md/runtime/metamodel.cpp similarity index 100% rename from src/coreclr/src/md/runtime/metamodel.cpp rename to src/coreclr/md/runtime/metamodel.cpp diff --git a/src/coreclr/src/md/runtime/metamodelcolumndefs.h b/src/coreclr/md/runtime/metamodelcolumndefs.h similarity index 100% rename from src/coreclr/src/md/runtime/metamodelcolumndefs.h rename to src/coreclr/md/runtime/metamodelcolumndefs.h diff --git a/src/coreclr/src/md/runtime/metamodelro.cpp b/src/coreclr/md/runtime/metamodelro.cpp similarity index 100% rename from src/coreclr/src/md/runtime/metamodelro.cpp rename to src/coreclr/md/runtime/metamodelro.cpp diff --git a/src/coreclr/src/md/runtime/recordpool.cpp b/src/coreclr/md/runtime/recordpool.cpp similarity index 100% rename from src/coreclr/src/md/runtime/recordpool.cpp rename to src/coreclr/md/runtime/recordpool.cpp diff --git a/src/coreclr/src/md/runtime/stdafx.h b/src/coreclr/md/runtime/stdafx.h similarity index 100% rename from src/coreclr/src/md/runtime/stdafx.h rename to src/coreclr/md/runtime/stdafx.h diff --git a/src/coreclr/src/md/runtime/strongnameinternal.cpp b/src/coreclr/md/runtime/strongnameinternal.cpp similarity index 100% rename from src/coreclr/src/md/runtime/strongnameinternal.cpp rename to src/coreclr/md/runtime/strongnameinternal.cpp diff --git a/src/coreclr/src/md/staticmd/CMakeLists.txt b/src/coreclr/md/staticmd/CMakeLists.txt similarity index 100% rename from src/coreclr/src/md/staticmd/CMakeLists.txt rename to src/coreclr/md/staticmd/CMakeLists.txt diff --git a/src/coreclr/src/md/staticmd/apis.cpp b/src/coreclr/md/staticmd/apis.cpp similarity index 100% rename from src/coreclr/src/md/staticmd/apis.cpp rename to src/coreclr/md/staticmd/apis.cpp diff --git a/src/coreclr/src/md/staticmd/stdafx.h b/src/coreclr/md/staticmd/stdafx.h similarity index 100% rename from src/coreclr/src/md/staticmd/stdafx.h rename to src/coreclr/md/staticmd/stdafx.h diff --git a/src/coreclr/src/md/tables/export.h b/src/coreclr/md/tables/export.h similarity index 100% rename from src/coreclr/src/md/tables/export.h rename to src/coreclr/md/tables/export.h diff --git a/src/coreclr/src/md/tables/external.h b/src/coreclr/md/tables/external.h similarity index 100% rename from src/coreclr/src/md/tables/external.h rename to src/coreclr/md/tables/external.h diff --git a/src/coreclr/src/md/tables/table.h b/src/coreclr/md/tables/table.h similarity index 100% rename from src/coreclr/src/md/tables/table.h rename to src/coreclr/md/tables/table.h diff --git a/src/coreclr/src/nativeresources/CMakeLists.txt b/src/coreclr/nativeresources/CMakeLists.txt similarity index 100% rename from src/coreclr/src/nativeresources/CMakeLists.txt rename to src/coreclr/nativeresources/CMakeLists.txt diff --git a/src/coreclr/src/nativeresources/processrc.awk b/src/coreclr/nativeresources/processrc.awk similarity index 100% rename from src/coreclr/src/nativeresources/processrc.awk rename to src/coreclr/nativeresources/processrc.awk diff --git a/src/coreclr/src/nativeresources/rctocpp.awk b/src/coreclr/nativeresources/rctocpp.awk similarity index 100% rename from src/coreclr/src/nativeresources/rctocpp.awk rename to src/coreclr/nativeresources/rctocpp.awk diff --git a/src/coreclr/src/nativeresources/rctopo.awk b/src/coreclr/nativeresources/rctopo.awk similarity index 100% rename from src/coreclr/src/nativeresources/rctopo.awk rename to src/coreclr/nativeresources/rctopo.awk diff --git a/src/coreclr/src/nativeresources/resourcestring.cpp b/src/coreclr/nativeresources/resourcestring.cpp similarity index 100% rename from src/coreclr/src/nativeresources/resourcestring.cpp rename to src/coreclr/nativeresources/resourcestring.cpp diff --git a/src/coreclr/src/nativeresources/resourcestring.h b/src/coreclr/nativeresources/resourcestring.h similarity index 100% rename from src/coreclr/src/nativeresources/resourcestring.h rename to src/coreclr/nativeresources/resourcestring.h diff --git a/src/coreclr/src/pal/CMakeLists.txt b/src/coreclr/pal/CMakeLists.txt similarity index 90% rename from src/coreclr/src/pal/CMakeLists.txt rename to src/coreclr/pal/CMakeLists.txt index ca4a35d..dec1191 100644 --- a/src/coreclr/src/pal/CMakeLists.txt +++ b/src/coreclr/pal/CMakeLists.txt @@ -1,6 +1,6 @@ project(COREPAL) -include(../../clrfeatures.cmake) +include(../clrfeatures.cmake) include_directories(${COREPAL_SOURCE_DIR}/inc) include_directories(${COREPAL_SOURCE_DIR}/src) diff --git a/src/coreclr/src/pal/inc/mbusafecrt.h b/src/coreclr/pal/inc/mbusafecrt.h similarity index 100% rename from src/coreclr/src/pal/inc/mbusafecrt.h rename to src/coreclr/pal/inc/mbusafecrt.h diff --git a/src/coreclr/src/pal/inc/pal.h b/src/coreclr/pal/inc/pal.h similarity index 100% rename from src/coreclr/src/pal/inc/pal.h rename to src/coreclr/pal/inc/pal.h diff --git a/src/coreclr/src/pal/inc/pal_assert.h b/src/coreclr/pal/inc/pal_assert.h similarity index 100% rename from src/coreclr/src/pal/inc/pal_assert.h rename to src/coreclr/pal/inc/pal_assert.h diff --git a/src/coreclr/src/pal/inc/pal_endian.h b/src/coreclr/pal/inc/pal_endian.h similarity index 100% rename from src/coreclr/src/pal/inc/pal_endian.h rename to src/coreclr/pal/inc/pal_endian.h diff --git a/src/coreclr/src/pal/inc/pal_error.h b/src/coreclr/pal/inc/pal_error.h similarity index 100% rename from src/coreclr/src/pal/inc/pal_error.h rename to src/coreclr/pal/inc/pal_error.h diff --git a/src/coreclr/src/pal/inc/pal_mstypes.h b/src/coreclr/pal/inc/pal_mstypes.h similarity index 100% rename from src/coreclr/src/pal/inc/pal_mstypes.h rename to src/coreclr/pal/inc/pal_mstypes.h diff --git a/src/coreclr/src/pal/inc/palprivate.h b/src/coreclr/pal/inc/palprivate.h similarity index 100% rename from src/coreclr/src/pal/inc/palprivate.h rename to src/coreclr/pal/inc/palprivate.h diff --git a/src/coreclr/src/pal/inc/rt/aclapi.h b/src/coreclr/pal/inc/rt/aclapi.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/aclapi.h rename to src/coreclr/pal/inc/rt/aclapi.h diff --git a/src/coreclr/src/pal/inc/rt/commctrl.h b/src/coreclr/pal/inc/rt/commctrl.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/commctrl.h rename to src/coreclr/pal/inc/rt/commctrl.h diff --git a/src/coreclr/src/pal/inc/rt/commdlg.h b/src/coreclr/pal/inc/rt/commdlg.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/commdlg.h rename to src/coreclr/pal/inc/rt/commdlg.h diff --git a/src/coreclr/src/pal/inc/rt/conio.h b/src/coreclr/pal/inc/rt/conio.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/conio.h rename to src/coreclr/pal/inc/rt/conio.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/assert.h b/src/coreclr/pal/inc/rt/cpp/assert.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/assert.h rename to src/coreclr/pal/inc/rt/cpp/assert.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/cstdlib b/src/coreclr/pal/inc/rt/cpp/cstdlib similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/cstdlib rename to src/coreclr/pal/inc/rt/cpp/cstdlib diff --git a/src/coreclr/src/pal/inc/rt/cpp/ctype.h b/src/coreclr/pal/inc/rt/cpp/ctype.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/ctype.h rename to src/coreclr/pal/inc/rt/cpp/ctype.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/emmintrin.h b/src/coreclr/pal/inc/rt/cpp/emmintrin.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/emmintrin.h rename to src/coreclr/pal/inc/rt/cpp/emmintrin.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/fcntl.h b/src/coreclr/pal/inc/rt/cpp/fcntl.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/fcntl.h rename to src/coreclr/pal/inc/rt/cpp/fcntl.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/float.h b/src/coreclr/pal/inc/rt/cpp/float.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/float.h rename to src/coreclr/pal/inc/rt/cpp/float.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/io.h b/src/coreclr/pal/inc/rt/cpp/io.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/io.h rename to src/coreclr/pal/inc/rt/cpp/io.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/limits.h b/src/coreclr/pal/inc/rt/cpp/limits.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/limits.h rename to src/coreclr/pal/inc/rt/cpp/limits.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/malloc.h b/src/coreclr/pal/inc/rt/cpp/malloc.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/malloc.h rename to src/coreclr/pal/inc/rt/cpp/malloc.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/math.h b/src/coreclr/pal/inc/rt/cpp/math.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/math.h rename to src/coreclr/pal/inc/rt/cpp/math.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/memory.h b/src/coreclr/pal/inc/rt/cpp/memory.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/memory.h rename to src/coreclr/pal/inc/rt/cpp/memory.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/stdarg.h b/src/coreclr/pal/inc/rt/cpp/stdarg.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/stdarg.h rename to src/coreclr/pal/inc/rt/cpp/stdarg.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/stddef.h b/src/coreclr/pal/inc/rt/cpp/stddef.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/stddef.h rename to src/coreclr/pal/inc/rt/cpp/stddef.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/stdint.h b/src/coreclr/pal/inc/rt/cpp/stdint.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/stdint.h rename to src/coreclr/pal/inc/rt/cpp/stdint.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/stdio.h b/src/coreclr/pal/inc/rt/cpp/stdio.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/stdio.h rename to src/coreclr/pal/inc/rt/cpp/stdio.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/stdlib.h b/src/coreclr/pal/inc/rt/cpp/stdlib.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/stdlib.h rename to src/coreclr/pal/inc/rt/cpp/stdlib.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/string.h b/src/coreclr/pal/inc/rt/cpp/string.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/string.h rename to src/coreclr/pal/inc/rt/cpp/string.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/time.h b/src/coreclr/pal/inc/rt/cpp/time.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/time.h rename to src/coreclr/pal/inc/rt/cpp/time.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/wchar.h b/src/coreclr/pal/inc/rt/cpp/wchar.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/wchar.h rename to src/coreclr/pal/inc/rt/cpp/wchar.h diff --git a/src/coreclr/src/pal/inc/rt/cpp/xmmintrin.h b/src/coreclr/pal/inc/rt/cpp/xmmintrin.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/cpp/xmmintrin.h rename to src/coreclr/pal/inc/rt/cpp/xmmintrin.h diff --git a/src/coreclr/src/pal/inc/rt/crtdbg.h b/src/coreclr/pal/inc/rt/crtdbg.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/crtdbg.h rename to src/coreclr/pal/inc/rt/crtdbg.h diff --git a/src/coreclr/src/pal/inc/rt/dbghelp.h b/src/coreclr/pal/inc/rt/dbghelp.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/dbghelp.h rename to src/coreclr/pal/inc/rt/dbghelp.h diff --git a/src/coreclr/src/pal/inc/rt/eh.h b/src/coreclr/pal/inc/rt/eh.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/eh.h rename to src/coreclr/pal/inc/rt/eh.h diff --git a/src/coreclr/src/pal/inc/rt/errorrep.h b/src/coreclr/pal/inc/rt/errorrep.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/errorrep.h rename to src/coreclr/pal/inc/rt/errorrep.h diff --git a/src/coreclr/src/pal/inc/rt/guiddef.h b/src/coreclr/pal/inc/rt/guiddef.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/guiddef.h rename to src/coreclr/pal/inc/rt/guiddef.h diff --git a/src/coreclr/src/pal/inc/rt/htmlhelp.h b/src/coreclr/pal/inc/rt/htmlhelp.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/htmlhelp.h rename to src/coreclr/pal/inc/rt/htmlhelp.h diff --git a/src/coreclr/src/pal/inc/rt/imagehlp.h b/src/coreclr/pal/inc/rt/imagehlp.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/imagehlp.h rename to src/coreclr/pal/inc/rt/imagehlp.h diff --git a/src/coreclr/src/pal/inc/rt/intrin.h b/src/coreclr/pal/inc/rt/intrin.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/intrin.h rename to src/coreclr/pal/inc/rt/intrin.h diff --git a/src/coreclr/src/pal/inc/rt/intsafe.h b/src/coreclr/pal/inc/rt/intsafe.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/intsafe.h rename to src/coreclr/pal/inc/rt/intsafe.h diff --git a/src/coreclr/src/pal/inc/rt/new.h b/src/coreclr/pal/inc/rt/new.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/new.h rename to src/coreclr/pal/inc/rt/new.h diff --git a/src/coreclr/src/pal/inc/rt/no_sal2.h b/src/coreclr/pal/inc/rt/no_sal2.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/no_sal2.h rename to src/coreclr/pal/inc/rt/no_sal2.h diff --git a/src/coreclr/src/pal/inc/rt/ntimage.h b/src/coreclr/pal/inc/rt/ntimage.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/ntimage.h rename to src/coreclr/pal/inc/rt/ntimage.h diff --git a/src/coreclr/src/pal/inc/rt/oaidl.h b/src/coreclr/pal/inc/rt/oaidl.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/oaidl.h rename to src/coreclr/pal/inc/rt/oaidl.h diff --git a/src/coreclr/src/pal/inc/rt/objbase.h b/src/coreclr/pal/inc/rt/objbase.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/objbase.h rename to src/coreclr/pal/inc/rt/objbase.h diff --git a/src/coreclr/src/pal/inc/rt/objidl.h b/src/coreclr/pal/inc/rt/objidl.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/objidl.h rename to src/coreclr/pal/inc/rt/objidl.h diff --git a/src/coreclr/src/pal/inc/rt/ocidl.h b/src/coreclr/pal/inc/rt/ocidl.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/ocidl.h rename to src/coreclr/pal/inc/rt/ocidl.h diff --git a/src/coreclr/src/pal/inc/rt/ole2.h b/src/coreclr/pal/inc/rt/ole2.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/ole2.h rename to src/coreclr/pal/inc/rt/ole2.h diff --git a/src/coreclr/src/pal/inc/rt/oleauto.h b/src/coreclr/pal/inc/rt/oleauto.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/oleauto.h rename to src/coreclr/pal/inc/rt/oleauto.h diff --git a/src/coreclr/src/pal/inc/rt/olectl.h b/src/coreclr/pal/inc/rt/olectl.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/olectl.h rename to src/coreclr/pal/inc/rt/olectl.h diff --git a/src/coreclr/src/pal/inc/rt/palrt.h b/src/coreclr/pal/inc/rt/palrt.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/palrt.h rename to src/coreclr/pal/inc/rt/palrt.h diff --git a/src/coreclr/src/pal/inc/rt/poppack.h b/src/coreclr/pal/inc/rt/poppack.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/poppack.h rename to src/coreclr/pal/inc/rt/poppack.h diff --git a/src/coreclr/src/pal/inc/rt/process.h b/src/coreclr/pal/inc/rt/process.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/process.h rename to src/coreclr/pal/inc/rt/process.h diff --git a/src/coreclr/src/pal/inc/rt/psapi.h b/src/coreclr/pal/inc/rt/psapi.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/psapi.h rename to src/coreclr/pal/inc/rt/psapi.h diff --git a/src/coreclr/src/pal/inc/rt/pshpack1.h b/src/coreclr/pal/inc/rt/pshpack1.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/pshpack1.h rename to src/coreclr/pal/inc/rt/pshpack1.h diff --git a/src/coreclr/src/pal/inc/rt/pshpack2.h b/src/coreclr/pal/inc/rt/pshpack2.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/pshpack2.h rename to src/coreclr/pal/inc/rt/pshpack2.h diff --git a/src/coreclr/src/pal/inc/rt/pshpack4.h b/src/coreclr/pal/inc/rt/pshpack4.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/pshpack4.h rename to src/coreclr/pal/inc/rt/pshpack4.h diff --git a/src/coreclr/src/pal/inc/rt/pshpack8.h b/src/coreclr/pal/inc/rt/pshpack8.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/pshpack8.h rename to src/coreclr/pal/inc/rt/pshpack8.h diff --git a/src/coreclr/src/pal/inc/rt/richedit.h b/src/coreclr/pal/inc/rt/richedit.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/richedit.h rename to src/coreclr/pal/inc/rt/richedit.h diff --git a/src/coreclr/src/pal/inc/rt/rpc.h b/src/coreclr/pal/inc/rt/rpc.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/rpc.h rename to src/coreclr/pal/inc/rt/rpc.h diff --git a/src/coreclr/src/pal/inc/rt/rpcndr.h b/src/coreclr/pal/inc/rt/rpcndr.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/rpcndr.h rename to src/coreclr/pal/inc/rt/rpcndr.h diff --git a/src/coreclr/src/pal/inc/rt/safecrt.h b/src/coreclr/pal/inc/rt/safecrt.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/safecrt.h rename to src/coreclr/pal/inc/rt/safecrt.h diff --git a/src/coreclr/src/pal/inc/rt/sal.h b/src/coreclr/pal/inc/rt/sal.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/sal.h rename to src/coreclr/pal/inc/rt/sal.h diff --git a/src/coreclr/src/pal/inc/rt/servprov.h b/src/coreclr/pal/inc/rt/servprov.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/servprov.h rename to src/coreclr/pal/inc/rt/servprov.h diff --git a/src/coreclr/src/pal/inc/rt/share.h b/src/coreclr/pal/inc/rt/share.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/share.h rename to src/coreclr/pal/inc/rt/share.h diff --git a/src/coreclr/src/pal/inc/rt/shellapi.h b/src/coreclr/pal/inc/rt/shellapi.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/shellapi.h rename to src/coreclr/pal/inc/rt/shellapi.h diff --git a/src/coreclr/src/pal/inc/rt/shlobj.h b/src/coreclr/pal/inc/rt/shlobj.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/shlobj.h rename to src/coreclr/pal/inc/rt/shlobj.h diff --git a/src/coreclr/src/pal/inc/rt/shlwapi.h b/src/coreclr/pal/inc/rt/shlwapi.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/shlwapi.h rename to src/coreclr/pal/inc/rt/shlwapi.h diff --git a/src/coreclr/src/pal/inc/rt/specstrings.h b/src/coreclr/pal/inc/rt/specstrings.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/specstrings.h rename to src/coreclr/pal/inc/rt/specstrings.h diff --git a/src/coreclr/src/pal/inc/rt/specstrings_strict.h b/src/coreclr/pal/inc/rt/specstrings_strict.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/specstrings_strict.h rename to src/coreclr/pal/inc/rt/specstrings_strict.h diff --git a/src/coreclr/src/pal/inc/rt/specstrings_undef.h b/src/coreclr/pal/inc/rt/specstrings_undef.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/specstrings_undef.h rename to src/coreclr/pal/inc/rt/specstrings_undef.h diff --git a/src/coreclr/src/pal/inc/rt/tchar.h b/src/coreclr/pal/inc/rt/tchar.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/tchar.h rename to src/coreclr/pal/inc/rt/tchar.h diff --git a/src/coreclr/src/pal/inc/rt/tlhelp32.h b/src/coreclr/pal/inc/rt/tlhelp32.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/tlhelp32.h rename to src/coreclr/pal/inc/rt/tlhelp32.h diff --git a/src/coreclr/src/pal/inc/rt/unknwn.h b/src/coreclr/pal/inc/rt/unknwn.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/unknwn.h rename to src/coreclr/pal/inc/rt/unknwn.h diff --git a/src/coreclr/src/pal/inc/rt/urlmon.h b/src/coreclr/pal/inc/rt/urlmon.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/urlmon.h rename to src/coreclr/pal/inc/rt/urlmon.h diff --git a/src/coreclr/src/pal/inc/rt/verrsrc.h b/src/coreclr/pal/inc/rt/verrsrc.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/verrsrc.h rename to src/coreclr/pal/inc/rt/verrsrc.h diff --git a/src/coreclr/src/pal/inc/rt/winapifamily.h b/src/coreclr/pal/inc/rt/winapifamily.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winapifamily.h rename to src/coreclr/pal/inc/rt/winapifamily.h diff --git a/src/coreclr/src/pal/inc/rt/winbase.h b/src/coreclr/pal/inc/rt/winbase.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winbase.h rename to src/coreclr/pal/inc/rt/winbase.h diff --git a/src/coreclr/src/pal/inc/rt/wincrypt.h b/src/coreclr/pal/inc/rt/wincrypt.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/wincrypt.h rename to src/coreclr/pal/inc/rt/wincrypt.h diff --git a/src/coreclr/src/pal/inc/rt/windef.h b/src/coreclr/pal/inc/rt/windef.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/windef.h rename to src/coreclr/pal/inc/rt/windef.h diff --git a/src/coreclr/src/pal/inc/rt/windows.h b/src/coreclr/pal/inc/rt/windows.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/windows.h rename to src/coreclr/pal/inc/rt/windows.h diff --git a/src/coreclr/src/pal/inc/rt/winerror.h b/src/coreclr/pal/inc/rt/winerror.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winerror.h rename to src/coreclr/pal/inc/rt/winerror.h diff --git a/src/coreclr/src/pal/inc/rt/wininet.h b/src/coreclr/pal/inc/rt/wininet.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/wininet.h rename to src/coreclr/pal/inc/rt/wininet.h diff --git a/src/coreclr/src/pal/inc/rt/winnls.h b/src/coreclr/pal/inc/rt/winnls.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winnls.h rename to src/coreclr/pal/inc/rt/winnls.h diff --git a/src/coreclr/src/pal/inc/rt/winnt.h b/src/coreclr/pal/inc/rt/winnt.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winnt.h rename to src/coreclr/pal/inc/rt/winnt.h diff --git a/src/coreclr/src/pal/inc/rt/winresrc.h b/src/coreclr/pal/inc/rt/winresrc.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winresrc.h rename to src/coreclr/pal/inc/rt/winresrc.h diff --git a/src/coreclr/src/pal/inc/rt/winternl.h b/src/coreclr/pal/inc/rt/winternl.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winternl.h rename to src/coreclr/pal/inc/rt/winternl.h diff --git a/src/coreclr/src/pal/inc/rt/winuser.h b/src/coreclr/pal/inc/rt/winuser.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winuser.h rename to src/coreclr/pal/inc/rt/winuser.h diff --git a/src/coreclr/src/pal/inc/rt/winver.h b/src/coreclr/pal/inc/rt/winver.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/winver.h rename to src/coreclr/pal/inc/rt/winver.h diff --git a/src/coreclr/src/pal/inc/rt/wtsapi32.h b/src/coreclr/pal/inc/rt/wtsapi32.h similarity index 100% rename from src/coreclr/src/pal/inc/rt/wtsapi32.h rename to src/coreclr/pal/inc/rt/wtsapi32.h diff --git a/src/coreclr/src/pal/inc/strsafe.h b/src/coreclr/pal/inc/strsafe.h similarity index 100% rename from src/coreclr/src/pal/inc/strsafe.h rename to src/coreclr/pal/inc/strsafe.h diff --git a/src/coreclr/src/pal/inc/unixasmmacros.inc b/src/coreclr/pal/inc/unixasmmacros.inc similarity index 100% rename from src/coreclr/src/pal/inc/unixasmmacros.inc rename to src/coreclr/pal/inc/unixasmmacros.inc diff --git a/src/coreclr/src/pal/inc/unixasmmacrosamd64.inc b/src/coreclr/pal/inc/unixasmmacrosamd64.inc similarity index 100% rename from src/coreclr/src/pal/inc/unixasmmacrosamd64.inc rename to src/coreclr/pal/inc/unixasmmacrosamd64.inc diff --git a/src/coreclr/src/pal/inc/unixasmmacrosarm.inc b/src/coreclr/pal/inc/unixasmmacrosarm.inc similarity index 100% rename from src/coreclr/src/pal/inc/unixasmmacrosarm.inc rename to src/coreclr/pal/inc/unixasmmacrosarm.inc diff --git a/src/coreclr/src/pal/inc/unixasmmacrosarm64.inc b/src/coreclr/pal/inc/unixasmmacrosarm64.inc similarity index 100% rename from src/coreclr/src/pal/inc/unixasmmacrosarm64.inc rename to src/coreclr/pal/inc/unixasmmacrosarm64.inc diff --git a/src/coreclr/src/pal/inc/unixasmmacrosx86.inc b/src/coreclr/pal/inc/unixasmmacrosx86.inc similarity index 100% rename from src/coreclr/src/pal/inc/unixasmmacrosx86.inc rename to src/coreclr/pal/inc/unixasmmacrosx86.inc diff --git a/src/coreclr/src/pal/prebuilt/corerror/makecorerror.bat b/src/coreclr/pal/prebuilt/corerror/makecorerror.bat similarity index 100% rename from src/coreclr/src/pal/prebuilt/corerror/makecorerror.bat rename to src/coreclr/pal/prebuilt/corerror/makecorerror.bat diff --git a/src/coreclr/src/pal/prebuilt/corerror/mscorurt.rc b/src/coreclr/pal/prebuilt/corerror/mscorurt.rc similarity index 100% rename from src/coreclr/src/pal/prebuilt/corerror/mscorurt.rc rename to src/coreclr/pal/prebuilt/corerror/mscorurt.rc diff --git a/src/coreclr/src/pal/prebuilt/corerror/readme.txt b/src/coreclr/pal/prebuilt/corerror/readme.txt similarity index 100% rename from src/coreclr/src/pal/prebuilt/corerror/readme.txt rename to src/coreclr/pal/prebuilt/corerror/readme.txt diff --git a/src/coreclr/src/pal/prebuilt/idl/clrdata_i.cpp b/src/coreclr/pal/prebuilt/idl/clrdata_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/clrdata_i.cpp rename to src/coreclr/pal/prebuilt/idl/clrdata_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/clrinternal_i.cpp b/src/coreclr/pal/prebuilt/idl/clrinternal_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/clrinternal_i.cpp rename to src/coreclr/pal/prebuilt/idl/clrinternal_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/clrprivappxhosting_i.cpp b/src/coreclr/pal/prebuilt/idl/clrprivappxhosting_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/clrprivappxhosting_i.cpp rename to src/coreclr/pal/prebuilt/idl/clrprivappxhosting_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/clrprivbinding_i.cpp b/src/coreclr/pal/prebuilt/idl/clrprivbinding_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/clrprivbinding_i.cpp rename to src/coreclr/pal/prebuilt/idl/clrprivbinding_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/cordebug_i.cpp b/src/coreclr/pal/prebuilt/idl/cordebug_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/cordebug_i.cpp rename to src/coreclr/pal/prebuilt/idl/cordebug_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/corprof_i.cpp b/src/coreclr/pal/prebuilt/idl/corprof_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/corprof_i.cpp rename to src/coreclr/pal/prebuilt/idl/corprof_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/corpub_i.cpp b/src/coreclr/pal/prebuilt/idl/corpub_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/corpub_i.cpp rename to src/coreclr/pal/prebuilt/idl/corpub_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/corsym_i.cpp b/src/coreclr/pal/prebuilt/idl/corsym_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/corsym_i.cpp rename to src/coreclr/pal/prebuilt/idl/corsym_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/mscorsvc_i.cpp b/src/coreclr/pal/prebuilt/idl/mscorsvc_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/mscorsvc_i.cpp rename to src/coreclr/pal/prebuilt/idl/mscorsvc_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/sospriv_i.cpp b/src/coreclr/pal/prebuilt/idl/sospriv_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/sospriv_i.cpp rename to src/coreclr/pal/prebuilt/idl/sospriv_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/xclrdata_i.cpp b/src/coreclr/pal/prebuilt/idl/xclrdata_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/xclrdata_i.cpp rename to src/coreclr/pal/prebuilt/idl/xclrdata_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/idl/xcordebug_i.cpp b/src/coreclr/pal/prebuilt/idl/xcordebug_i.cpp similarity index 100% rename from src/coreclr/src/pal/prebuilt/idl/xcordebug_i.cpp rename to src/coreclr/pal/prebuilt/idl/xcordebug_i.cpp diff --git a/src/coreclr/src/pal/prebuilt/inc/CMakeLists.txt b/src/coreclr/pal/prebuilt/inc/CMakeLists.txt similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/CMakeLists.txt rename to src/coreclr/pal/prebuilt/inc/CMakeLists.txt diff --git a/src/coreclr/src/pal/prebuilt/inc/clrdata.h b/src/coreclr/pal/prebuilt/inc/clrdata.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/clrdata.h rename to src/coreclr/pal/prebuilt/inc/clrdata.h diff --git a/src/coreclr/src/pal/prebuilt/inc/clrinternal.h b/src/coreclr/pal/prebuilt/inc/clrinternal.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/clrinternal.h rename to src/coreclr/pal/prebuilt/inc/clrinternal.h diff --git a/src/coreclr/src/pal/prebuilt/inc/clrprivbinding.h b/src/coreclr/pal/prebuilt/inc/clrprivbinding.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/clrprivbinding.h rename to src/coreclr/pal/prebuilt/inc/clrprivbinding.h diff --git a/src/coreclr/src/pal/prebuilt/inc/cordebug.h b/src/coreclr/pal/prebuilt/inc/cordebug.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/cordebug.h rename to src/coreclr/pal/prebuilt/inc/cordebug.h diff --git a/src/coreclr/src/pal/prebuilt/inc/corerror.h b/src/coreclr/pal/prebuilt/inc/corerror.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/corerror.h rename to src/coreclr/pal/prebuilt/inc/corerror.h diff --git a/src/coreclr/src/pal/prebuilt/inc/corprof.h b/src/coreclr/pal/prebuilt/inc/corprof.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/corprof.h rename to src/coreclr/pal/prebuilt/inc/corprof.h diff --git a/src/coreclr/src/pal/prebuilt/inc/corpub.h b/src/coreclr/pal/prebuilt/inc/corpub.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/corpub.h rename to src/coreclr/pal/prebuilt/inc/corpub.h diff --git a/src/coreclr/src/pal/prebuilt/inc/corsym.h b/src/coreclr/pal/prebuilt/inc/corsym.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/corsym.h rename to src/coreclr/pal/prebuilt/inc/corsym.h diff --git a/src/coreclr/src/pal/prebuilt/inc/fusion.h b/src/coreclr/pal/prebuilt/inc/fusion.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/fusion.h rename to src/coreclr/pal/prebuilt/inc/fusion.h diff --git a/src/coreclr/src/pal/prebuilt/inc/fxver.h b/src/coreclr/pal/prebuilt/inc/fxver.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/fxver.h rename to src/coreclr/pal/prebuilt/inc/fxver.h diff --git a/src/coreclr/src/pal/prebuilt/inc/fxver.rc b/src/coreclr/pal/prebuilt/inc/fxver.rc similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/fxver.rc rename to src/coreclr/pal/prebuilt/inc/fxver.rc diff --git a/src/coreclr/src/pal/prebuilt/inc/metahost.h b/src/coreclr/pal/prebuilt/inc/metahost.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/metahost.h rename to src/coreclr/pal/prebuilt/inc/metahost.h diff --git a/src/coreclr/src/pal/prebuilt/inc/mscoree.h b/src/coreclr/pal/prebuilt/inc/mscoree.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/mscoree.h rename to src/coreclr/pal/prebuilt/inc/mscoree.h diff --git a/src/coreclr/src/pal/prebuilt/inc/mscorsvc.h b/src/coreclr/pal/prebuilt/inc/mscorsvc.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/mscorsvc.h rename to src/coreclr/pal/prebuilt/inc/mscorsvc.h diff --git a/src/coreclr/src/pal/prebuilt/inc/readme.txt b/src/coreclr/pal/prebuilt/inc/readme.txt similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/readme.txt rename to src/coreclr/pal/prebuilt/inc/readme.txt diff --git a/src/coreclr/src/pal/prebuilt/inc/sospriv.h b/src/coreclr/pal/prebuilt/inc/sospriv.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/sospriv.h rename to src/coreclr/pal/prebuilt/inc/sospriv.h diff --git a/src/coreclr/src/pal/prebuilt/inc/xclrdata.h b/src/coreclr/pal/prebuilt/inc/xclrdata.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/xclrdata.h rename to src/coreclr/pal/prebuilt/inc/xclrdata.h diff --git a/src/coreclr/src/pal/prebuilt/inc/xcordebug.h b/src/coreclr/pal/prebuilt/inc/xcordebug.h similarity index 100% rename from src/coreclr/src/pal/prebuilt/inc/xcordebug.h rename to src/coreclr/pal/prebuilt/inc/xcordebug.h diff --git a/src/coreclr/src/pal/src/.tpattributes b/src/coreclr/pal/src/.tpattributes similarity index 100% rename from src/coreclr/src/pal/src/.tpattributes rename to src/coreclr/pal/src/.tpattributes diff --git a/src/coreclr/src/pal/src/CMakeLists.txt b/src/coreclr/pal/src/CMakeLists.txt similarity index 100% rename from src/coreclr/src/pal/src/CMakeLists.txt rename to src/coreclr/pal/src/CMakeLists.txt diff --git a/src/coreclr/src/pal/src/arch/amd64/activationhandlerwrapper.S b/src/coreclr/pal/src/arch/amd64/activationhandlerwrapper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/activationhandlerwrapper.S rename to src/coreclr/pal/src/arch/amd64/activationhandlerwrapper.S diff --git a/src/coreclr/src/pal/src/arch/amd64/asmconstants.h b/src/coreclr/pal/src/arch/amd64/asmconstants.h similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/asmconstants.h rename to src/coreclr/pal/src/arch/amd64/asmconstants.h diff --git a/src/coreclr/src/pal/src/arch/amd64/callsignalhandlerwrapper.S b/src/coreclr/pal/src/arch/amd64/callsignalhandlerwrapper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/callsignalhandlerwrapper.S rename to src/coreclr/pal/src/arch/amd64/callsignalhandlerwrapper.S diff --git a/src/coreclr/src/pal/src/arch/amd64/context.S b/src/coreclr/pal/src/arch/amd64/context.S similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/context.S rename to src/coreclr/pal/src/arch/amd64/context.S diff --git a/src/coreclr/src/pal/src/arch/amd64/context2.S b/src/coreclr/pal/src/arch/amd64/context2.S similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/context2.S rename to src/coreclr/pal/src/arch/amd64/context2.S diff --git a/src/coreclr/src/pal/src/arch/i386/debugbreak.S b/src/coreclr/pal/src/arch/amd64/debugbreak.S similarity index 100% rename from src/coreclr/src/pal/src/arch/i386/debugbreak.S rename to src/coreclr/pal/src/arch/amd64/debugbreak.S diff --git a/src/coreclr/src/pal/src/arch/amd64/dispatchexceptionwrapper.S b/src/coreclr/pal/src/arch/amd64/dispatchexceptionwrapper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/dispatchexceptionwrapper.S rename to src/coreclr/pal/src/arch/amd64/dispatchexceptionwrapper.S diff --git a/src/coreclr/src/pal/src/arch/amd64/exceptionhelper.S b/src/coreclr/pal/src/arch/amd64/exceptionhelper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/exceptionhelper.S rename to src/coreclr/pal/src/arch/amd64/exceptionhelper.S diff --git a/src/coreclr/src/pal/src/arch/amd64/processor.cpp b/src/coreclr/pal/src/arch/amd64/processor.cpp similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/processor.cpp rename to src/coreclr/pal/src/arch/amd64/processor.cpp diff --git a/src/coreclr/src/pal/src/arch/amd64/signalhandlerhelper.cpp b/src/coreclr/pal/src/arch/amd64/signalhandlerhelper.cpp similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/signalhandlerhelper.cpp rename to src/coreclr/pal/src/arch/amd64/signalhandlerhelper.cpp diff --git a/src/coreclr/src/pal/src/arch/arm/asmconstants.h b/src/coreclr/pal/src/arch/arm/asmconstants.h similarity index 100% rename from src/coreclr/src/pal/src/arch/arm/asmconstants.h rename to src/coreclr/pal/src/arch/arm/asmconstants.h diff --git a/src/coreclr/src/pal/src/arch/arm/callsignalhandlerwrapper.S b/src/coreclr/pal/src/arch/arm/callsignalhandlerwrapper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm/callsignalhandlerwrapper.S rename to src/coreclr/pal/src/arch/arm/callsignalhandlerwrapper.S diff --git a/src/coreclr/src/pal/src/arch/arm/context2.S b/src/coreclr/pal/src/arch/arm/context2.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm/context2.S rename to src/coreclr/pal/src/arch/arm/context2.S diff --git a/src/coreclr/src/pal/src/arch/arm/debugbreak.S b/src/coreclr/pal/src/arch/arm/debugbreak.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm/debugbreak.S rename to src/coreclr/pal/src/arch/arm/debugbreak.S diff --git a/src/coreclr/src/pal/src/arch/arm/exceptionhelper.S b/src/coreclr/pal/src/arch/arm/exceptionhelper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm/exceptionhelper.S rename to src/coreclr/pal/src/arch/arm/exceptionhelper.S diff --git a/src/coreclr/src/pal/src/arch/arm/processor.cpp b/src/coreclr/pal/src/arch/arm/processor.cpp similarity index 100% rename from src/coreclr/src/pal/src/arch/arm/processor.cpp rename to src/coreclr/pal/src/arch/arm/processor.cpp diff --git a/src/coreclr/src/pal/src/arch/arm/signalhandlerhelper.cpp b/src/coreclr/pal/src/arch/arm/signalhandlerhelper.cpp similarity index 100% rename from src/coreclr/src/pal/src/arch/arm/signalhandlerhelper.cpp rename to src/coreclr/pal/src/arch/arm/signalhandlerhelper.cpp diff --git a/src/coreclr/src/pal/src/arch/arm64/activationhandlerwrapper.S b/src/coreclr/pal/src/arch/arm64/activationhandlerwrapper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/activationhandlerwrapper.S rename to src/coreclr/pal/src/arch/arm64/activationhandlerwrapper.S diff --git a/src/coreclr/src/pal/src/arch/arm64/asmconstants.h b/src/coreclr/pal/src/arch/arm64/asmconstants.h similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/asmconstants.h rename to src/coreclr/pal/src/arch/arm64/asmconstants.h diff --git a/src/coreclr/src/pal/src/arch/arm64/callsignalhandlerwrapper.S b/src/coreclr/pal/src/arch/arm64/callsignalhandlerwrapper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/callsignalhandlerwrapper.S rename to src/coreclr/pal/src/arch/arm64/callsignalhandlerwrapper.S diff --git a/src/coreclr/src/pal/src/arch/arm64/context.S b/src/coreclr/pal/src/arch/arm64/context.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/context.S rename to src/coreclr/pal/src/arch/arm64/context.S diff --git a/src/coreclr/src/pal/src/arch/arm64/context2.S b/src/coreclr/pal/src/arch/arm64/context2.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/context2.S rename to src/coreclr/pal/src/arch/arm64/context2.S diff --git a/src/coreclr/src/pal/src/arch/arm64/debugbreak.S b/src/coreclr/pal/src/arch/arm64/debugbreak.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/debugbreak.S rename to src/coreclr/pal/src/arch/arm64/debugbreak.S diff --git a/src/coreclr/src/pal/src/arch/arm64/dispatchexceptionwrapper.S b/src/coreclr/pal/src/arch/arm64/dispatchexceptionwrapper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/dispatchexceptionwrapper.S rename to src/coreclr/pal/src/arch/arm64/dispatchexceptionwrapper.S diff --git a/src/coreclr/src/pal/src/arch/arm64/exceptionhelper.S b/src/coreclr/pal/src/arch/arm64/exceptionhelper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/exceptionhelper.S rename to src/coreclr/pal/src/arch/arm64/exceptionhelper.S diff --git a/src/coreclr/src/pal/src/arch/arm64/processor.cpp b/src/coreclr/pal/src/arch/arm64/processor.cpp similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/processor.cpp rename to src/coreclr/pal/src/arch/arm64/processor.cpp diff --git a/src/coreclr/src/pal/src/arch/arm64/signalhandlerhelper.cpp b/src/coreclr/pal/src/arch/arm64/signalhandlerhelper.cpp similarity index 100% rename from src/coreclr/src/pal/src/arch/arm64/signalhandlerhelper.cpp rename to src/coreclr/pal/src/arch/arm64/signalhandlerhelper.cpp diff --git a/src/coreclr/src/pal/src/arch/i386/asmconstants.h b/src/coreclr/pal/src/arch/i386/asmconstants.h similarity index 100% rename from src/coreclr/src/pal/src/arch/i386/asmconstants.h rename to src/coreclr/pal/src/arch/i386/asmconstants.h diff --git a/src/coreclr/src/pal/src/arch/i386/callsignalhandlerwrapper.S b/src/coreclr/pal/src/arch/i386/callsignalhandlerwrapper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/i386/callsignalhandlerwrapper.S rename to src/coreclr/pal/src/arch/i386/callsignalhandlerwrapper.S diff --git a/src/coreclr/src/pal/src/arch/i386/context2.S b/src/coreclr/pal/src/arch/i386/context2.S similarity index 100% rename from src/coreclr/src/pal/src/arch/i386/context2.S rename to src/coreclr/pal/src/arch/i386/context2.S diff --git a/src/coreclr/src/pal/src/arch/amd64/debugbreak.S b/src/coreclr/pal/src/arch/i386/debugbreak.S similarity index 100% rename from src/coreclr/src/pal/src/arch/amd64/debugbreak.S rename to src/coreclr/pal/src/arch/i386/debugbreak.S diff --git a/src/coreclr/src/pal/src/arch/i386/exceptionhelper.S b/src/coreclr/pal/src/arch/i386/exceptionhelper.S similarity index 100% rename from src/coreclr/src/pal/src/arch/i386/exceptionhelper.S rename to src/coreclr/pal/src/arch/i386/exceptionhelper.S diff --git a/src/coreclr/src/pal/src/arch/i386/processor.cpp b/src/coreclr/pal/src/arch/i386/processor.cpp similarity index 100% rename from src/coreclr/src/pal/src/arch/i386/processor.cpp rename to src/coreclr/pal/src/arch/i386/processor.cpp diff --git a/src/coreclr/src/pal/src/arch/i386/signalhandlerhelper.cpp b/src/coreclr/pal/src/arch/i386/signalhandlerhelper.cpp similarity index 100% rename from src/coreclr/src/pal/src/arch/i386/signalhandlerhelper.cpp rename to src/coreclr/pal/src/arch/i386/signalhandlerhelper.cpp diff --git a/src/coreclr/src/pal/src/build_tools/mdtool_dummy b/src/coreclr/pal/src/build_tools/mdtool_dummy similarity index 100% rename from src/coreclr/src/pal/src/build_tools/mdtool_dummy rename to src/coreclr/pal/src/build_tools/mdtool_dummy diff --git a/src/coreclr/src/pal/src/build_tools/mdtool_gcc.in b/src/coreclr/pal/src/build_tools/mdtool_gcc.in similarity index 100% rename from src/coreclr/src/pal/src/build_tools/mdtool_gcc.in rename to src/coreclr/pal/src/build_tools/mdtool_gcc.in diff --git a/src/coreclr/src/pal/src/config.h.in b/src/coreclr/pal/src/config.h.in similarity index 100% rename from src/coreclr/src/pal/src/config.h.in rename to src/coreclr/pal/src/config.h.in diff --git a/src/coreclr/src/pal/src/configure.cmake b/src/coreclr/pal/src/configure.cmake similarity index 100% rename from src/coreclr/src/pal/src/configure.cmake rename to src/coreclr/pal/src/configure.cmake diff --git a/src/coreclr/src/pal/src/cruntime/file.cpp b/src/coreclr/pal/src/cruntime/file.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/file.cpp rename to src/coreclr/pal/src/cruntime/file.cpp diff --git a/src/coreclr/src/pal/src/cruntime/filecrt.cpp b/src/coreclr/pal/src/cruntime/filecrt.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/filecrt.cpp rename to src/coreclr/pal/src/cruntime/filecrt.cpp diff --git a/src/coreclr/src/pal/src/cruntime/malloc.cpp b/src/coreclr/pal/src/cruntime/malloc.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/malloc.cpp rename to src/coreclr/pal/src/cruntime/malloc.cpp diff --git a/src/coreclr/src/pal/src/cruntime/math.cpp b/src/coreclr/pal/src/cruntime/math.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/math.cpp rename to src/coreclr/pal/src/cruntime/math.cpp diff --git a/src/coreclr/src/pal/src/cruntime/misc.cpp b/src/coreclr/pal/src/cruntime/misc.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/misc.cpp rename to src/coreclr/pal/src/cruntime/misc.cpp diff --git a/src/coreclr/src/pal/src/cruntime/path.cpp b/src/coreclr/pal/src/cruntime/path.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/path.cpp rename to src/coreclr/pal/src/cruntime/path.cpp diff --git a/src/coreclr/src/pal/src/cruntime/printf.cpp b/src/coreclr/pal/src/cruntime/printf.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/printf.cpp rename to src/coreclr/pal/src/cruntime/printf.cpp diff --git a/src/coreclr/src/pal/src/cruntime/printfcpp.cpp b/src/coreclr/pal/src/cruntime/printfcpp.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/printfcpp.cpp rename to src/coreclr/pal/src/cruntime/printfcpp.cpp diff --git a/src/coreclr/src/pal/src/cruntime/silent_printf.cpp b/src/coreclr/pal/src/cruntime/silent_printf.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/silent_printf.cpp rename to src/coreclr/pal/src/cruntime/silent_printf.cpp diff --git a/src/coreclr/src/pal/src/cruntime/string.cpp b/src/coreclr/pal/src/cruntime/string.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/string.cpp rename to src/coreclr/pal/src/cruntime/string.cpp diff --git a/src/coreclr/src/pal/src/cruntime/stringtls.cpp b/src/coreclr/pal/src/cruntime/stringtls.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/stringtls.cpp rename to src/coreclr/pal/src/cruntime/stringtls.cpp diff --git a/src/coreclr/src/pal/src/cruntime/thread.cpp b/src/coreclr/pal/src/cruntime/thread.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/thread.cpp rename to src/coreclr/pal/src/cruntime/thread.cpp diff --git a/src/coreclr/src/pal/src/cruntime/wchar.cpp b/src/coreclr/pal/src/cruntime/wchar.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/wchar.cpp rename to src/coreclr/pal/src/cruntime/wchar.cpp diff --git a/src/coreclr/src/pal/src/cruntime/wchartls.cpp b/src/coreclr/pal/src/cruntime/wchartls.cpp similarity index 100% rename from src/coreclr/src/pal/src/cruntime/wchartls.cpp rename to src/coreclr/pal/src/cruntime/wchartls.cpp diff --git a/src/coreclr/src/pal/src/debug/debug.cpp b/src/coreclr/pal/src/debug/debug.cpp similarity index 100% rename from src/coreclr/src/pal/src/debug/debug.cpp rename to src/coreclr/pal/src/debug/debug.cpp diff --git a/src/coreclr/src/pal/src/eventprovider/CMakeLists.txt b/src/coreclr/pal/src/eventprovider/CMakeLists.txt similarity index 100% rename from src/coreclr/src/pal/src/eventprovider/CMakeLists.txt rename to src/coreclr/pal/src/eventprovider/CMakeLists.txt diff --git a/src/coreclr/src/pal/src/eventprovider/dummyprovider/CMakeLists.txt b/src/coreclr/pal/src/eventprovider/dummyprovider/CMakeLists.txt similarity index 95% rename from src/coreclr/src/pal/src/eventprovider/dummyprovider/CMakeLists.txt rename to src/coreclr/pal/src/eventprovider/dummyprovider/CMakeLists.txt index 8e6968c..2beab63 100644 --- a/src/coreclr/src/pal/src/eventprovider/dummyprovider/CMakeLists.txt +++ b/src/coreclr/pal/src/eventprovider/dummyprovider/CMakeLists.txt @@ -1,6 +1,6 @@ include(FindPythonInterp) -set (GENERATE_SCRIPT ${CLR_DIR}/src/scripts/genDummyProvider.py) +set (GENERATE_SCRIPT ${CLR_DIR}/scripts/genDummyProvider.py) set(GENERATE_COMMAND ${PYTHON_EXECUTABLE} ${GENERATE_SCRIPT} --man ${EVENT_MANIFEST} --intermediate ${CMAKE_CURRENT_BINARY_DIR}) diff --git a/src/coreclr/src/pal/src/eventprovider/lttngprovider/CMakeLists.txt b/src/coreclr/pal/src/eventprovider/lttngprovider/CMakeLists.txt similarity index 97% rename from src/coreclr/src/pal/src/eventprovider/lttngprovider/CMakeLists.txt rename to src/coreclr/pal/src/eventprovider/lttngprovider/CMakeLists.txt index cd67719..5c3a04d 100644 --- a/src/coreclr/src/pal/src/eventprovider/lttngprovider/CMakeLists.txt +++ b/src/coreclr/pal/src/eventprovider/lttngprovider/CMakeLists.txt @@ -1,5 +1,5 @@ include(FindPythonInterp) -set (GENERATE_SCRIPT ${CLR_DIR}/src/scripts/genLttngProvider.py) +set (GENERATE_SCRIPT ${CLR_DIR}/scripts/genLttngProvider.py) set(GENERATE_COMMAND ${PYTHON_EXECUTABLE} ${GENERATE_SCRIPT} --man ${EVENT_MANIFEST} --intermediate ${CMAKE_CURRENT_BINARY_DIR}) diff --git a/src/coreclr/src/pal/src/eventprovider/lttngprovider/eventproviderhelpers.cpp b/src/coreclr/pal/src/eventprovider/lttngprovider/eventproviderhelpers.cpp similarity index 100% rename from src/coreclr/src/pal/src/eventprovider/lttngprovider/eventproviderhelpers.cpp rename to src/coreclr/pal/src/eventprovider/lttngprovider/eventproviderhelpers.cpp diff --git a/src/coreclr/src/pal/src/exception/compact_unwind_encoding.h b/src/coreclr/pal/src/exception/compact_unwind_encoding.h similarity index 100% rename from src/coreclr/src/pal/src/exception/compact_unwind_encoding.h rename to src/coreclr/pal/src/exception/compact_unwind_encoding.h diff --git a/src/coreclr/src/pal/src/exception/machexception.cpp b/src/coreclr/pal/src/exception/machexception.cpp similarity index 100% rename from src/coreclr/src/pal/src/exception/machexception.cpp rename to src/coreclr/pal/src/exception/machexception.cpp diff --git a/src/coreclr/src/pal/src/exception/machexception.h b/src/coreclr/pal/src/exception/machexception.h similarity index 100% rename from src/coreclr/src/pal/src/exception/machexception.h rename to src/coreclr/pal/src/exception/machexception.h diff --git a/src/coreclr/src/pal/src/exception/machmessage.cpp b/src/coreclr/pal/src/exception/machmessage.cpp similarity index 100% rename from src/coreclr/src/pal/src/exception/machmessage.cpp rename to src/coreclr/pal/src/exception/machmessage.cpp diff --git a/src/coreclr/src/pal/src/exception/machmessage.h b/src/coreclr/pal/src/exception/machmessage.h similarity index 100% rename from src/coreclr/src/pal/src/exception/machmessage.h rename to src/coreclr/pal/src/exception/machmessage.h diff --git a/src/coreclr/src/pal/src/exception/remote-unwind.cpp b/src/coreclr/pal/src/exception/remote-unwind.cpp similarity index 100% rename from src/coreclr/src/pal/src/exception/remote-unwind.cpp rename to src/coreclr/pal/src/exception/remote-unwind.cpp diff --git a/src/coreclr/src/pal/src/exception/seh-unwind.cpp b/src/coreclr/pal/src/exception/seh-unwind.cpp similarity index 100% rename from src/coreclr/src/pal/src/exception/seh-unwind.cpp rename to src/coreclr/pal/src/exception/seh-unwind.cpp diff --git a/src/coreclr/src/pal/src/exception/seh.cpp b/src/coreclr/pal/src/exception/seh.cpp similarity index 100% rename from src/coreclr/src/pal/src/exception/seh.cpp rename to src/coreclr/pal/src/exception/seh.cpp diff --git a/src/coreclr/src/pal/src/exception/signal.cpp b/src/coreclr/pal/src/exception/signal.cpp similarity index 100% rename from src/coreclr/src/pal/src/exception/signal.cpp rename to src/coreclr/pal/src/exception/signal.cpp diff --git a/src/coreclr/src/pal/src/file/directory.cpp b/src/coreclr/pal/src/file/directory.cpp similarity index 100% rename from src/coreclr/src/pal/src/file/directory.cpp rename to src/coreclr/pal/src/file/directory.cpp diff --git a/src/coreclr/src/pal/src/file/file.cpp b/src/coreclr/pal/src/file/file.cpp similarity index 100% rename from src/coreclr/src/pal/src/file/file.cpp rename to src/coreclr/pal/src/file/file.cpp diff --git a/src/coreclr/src/pal/src/file/filetime.cpp b/src/coreclr/pal/src/file/filetime.cpp similarity index 100% rename from src/coreclr/src/pal/src/file/filetime.cpp rename to src/coreclr/pal/src/file/filetime.cpp diff --git a/src/coreclr/src/pal/src/file/find.cpp b/src/coreclr/pal/src/file/find.cpp similarity index 100% rename from src/coreclr/src/pal/src/file/find.cpp rename to src/coreclr/pal/src/file/find.cpp diff --git a/src/coreclr/src/pal/src/file/path.cpp b/src/coreclr/pal/src/file/path.cpp similarity index 100% rename from src/coreclr/src/pal/src/file/path.cpp rename to src/coreclr/pal/src/file/path.cpp diff --git a/src/coreclr/src/pal/src/handlemgr/handleapi.cpp b/src/coreclr/pal/src/handlemgr/handleapi.cpp similarity index 100% rename from src/coreclr/src/pal/src/handlemgr/handleapi.cpp rename to src/coreclr/pal/src/handlemgr/handleapi.cpp diff --git a/src/coreclr/src/pal/src/handlemgr/handlemgr.cpp b/src/coreclr/pal/src/handlemgr/handlemgr.cpp similarity index 100% rename from src/coreclr/src/pal/src/handlemgr/handlemgr.cpp rename to src/coreclr/pal/src/handlemgr/handlemgr.cpp diff --git a/src/coreclr/src/pal/src/include/pal/cgroup.h b/src/coreclr/pal/src/include/pal/cgroup.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/cgroup.h rename to src/coreclr/pal/src/include/pal/cgroup.h diff --git a/src/coreclr/src/pal/src/include/pal/context.h b/src/coreclr/pal/src/include/pal/context.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/context.h rename to src/coreclr/pal/src/include/pal/context.h diff --git a/src/coreclr/src/pal/src/include/pal/corunix.hpp b/src/coreclr/pal/src/include/pal/corunix.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/corunix.hpp rename to src/coreclr/pal/src/include/pal/corunix.hpp diff --git a/src/coreclr/src/pal/src/include/pal/corunix.inl b/src/coreclr/pal/src/include/pal/corunix.inl similarity index 100% rename from src/coreclr/src/pal/src/include/pal/corunix.inl rename to src/coreclr/pal/src/include/pal/corunix.inl diff --git a/src/coreclr/src/pal/src/include/pal/critsect.h b/src/coreclr/pal/src/include/pal/critsect.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/critsect.h rename to src/coreclr/pal/src/include/pal/critsect.h diff --git a/src/coreclr/src/pal/src/include/pal/cruntime.h b/src/coreclr/pal/src/include/pal/cruntime.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/cruntime.h rename to src/coreclr/pal/src/include/pal/cruntime.h diff --git a/src/coreclr/src/pal/src/include/pal/cs.hpp b/src/coreclr/pal/src/include/pal/cs.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/cs.hpp rename to src/coreclr/pal/src/include/pal/cs.hpp diff --git a/src/coreclr/src/pal/src/include/pal/dbgmsg.h b/src/coreclr/pal/src/include/pal/dbgmsg.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/dbgmsg.h rename to src/coreclr/pal/src/include/pal/dbgmsg.h diff --git a/src/coreclr/src/pal/src/include/pal/debug.h b/src/coreclr/pal/src/include/pal/debug.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/debug.h rename to src/coreclr/pal/src/include/pal/debug.h diff --git a/src/coreclr/src/pal/src/include/pal/environ.h b/src/coreclr/pal/src/include/pal/environ.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/environ.h rename to src/coreclr/pal/src/include/pal/environ.h diff --git a/src/coreclr/src/pal/src/include/pal/event.hpp b/src/coreclr/pal/src/include/pal/event.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/event.hpp rename to src/coreclr/pal/src/include/pal/event.hpp diff --git a/src/coreclr/src/pal/src/include/pal/fakepoll.h b/src/coreclr/pal/src/include/pal/fakepoll.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/fakepoll.h rename to src/coreclr/pal/src/include/pal/fakepoll.h diff --git a/src/coreclr/src/pal/src/include/pal/file.h b/src/coreclr/pal/src/include/pal/file.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/file.h rename to src/coreclr/pal/src/include/pal/file.h diff --git a/src/coreclr/src/pal/src/include/pal/file.hpp b/src/coreclr/pal/src/include/pal/file.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/file.hpp rename to src/coreclr/pal/src/include/pal/file.hpp diff --git a/src/coreclr/src/pal/src/include/pal/filetime.h b/src/coreclr/pal/src/include/pal/filetime.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/filetime.h rename to src/coreclr/pal/src/include/pal/filetime.h diff --git a/src/coreclr/src/pal/src/include/pal/handleapi.hpp b/src/coreclr/pal/src/include/pal/handleapi.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/handleapi.hpp rename to src/coreclr/pal/src/include/pal/handleapi.hpp diff --git a/src/coreclr/src/pal/src/include/pal/handlemgr.hpp b/src/coreclr/pal/src/include/pal/handlemgr.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/handlemgr.hpp rename to src/coreclr/pal/src/include/pal/handlemgr.hpp diff --git a/src/coreclr/src/pal/src/include/pal/init.h b/src/coreclr/pal/src/include/pal/init.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/init.h rename to src/coreclr/pal/src/include/pal/init.h diff --git a/src/coreclr/src/pal/src/include/pal/list.h b/src/coreclr/pal/src/include/pal/list.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/list.h rename to src/coreclr/pal/src/include/pal/list.h diff --git a/src/coreclr/src/pal/src/include/pal/malloc.hpp b/src/coreclr/pal/src/include/pal/malloc.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/malloc.hpp rename to src/coreclr/pal/src/include/pal/malloc.hpp diff --git a/src/coreclr/src/pal/src/include/pal/map.h b/src/coreclr/pal/src/include/pal/map.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/map.h rename to src/coreclr/pal/src/include/pal/map.h diff --git a/src/coreclr/src/pal/src/include/pal/map.hpp b/src/coreclr/pal/src/include/pal/map.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/map.hpp rename to src/coreclr/pal/src/include/pal/map.hpp diff --git a/src/coreclr/src/pal/src/include/pal/misc.h b/src/coreclr/pal/src/include/pal/misc.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/misc.h rename to src/coreclr/pal/src/include/pal/misc.h diff --git a/src/coreclr/src/pal/src/include/pal/module.h b/src/coreclr/pal/src/include/pal/module.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/module.h rename to src/coreclr/pal/src/include/pal/module.h diff --git a/src/coreclr/src/pal/src/include/pal/modulename.h b/src/coreclr/pal/src/include/pal/modulename.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/modulename.h rename to src/coreclr/pal/src/include/pal/modulename.h diff --git a/src/coreclr/src/pal/src/include/pal/mutex.hpp b/src/coreclr/pal/src/include/pal/mutex.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/mutex.hpp rename to src/coreclr/pal/src/include/pal/mutex.hpp diff --git a/src/coreclr/src/pal/src/include/pal/numa.h b/src/coreclr/pal/src/include/pal/numa.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/numa.h rename to src/coreclr/pal/src/include/pal/numa.h diff --git a/src/coreclr/src/pal/src/include/pal/palinternal.h b/src/coreclr/pal/src/include/pal/palinternal.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/palinternal.h rename to src/coreclr/pal/src/include/pal/palinternal.h diff --git a/src/coreclr/src/pal/src/include/pal/perftrace.h b/src/coreclr/pal/src/include/pal/perftrace.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/perftrace.h rename to src/coreclr/pal/src/include/pal/perftrace.h diff --git a/src/coreclr/src/pal/src/include/pal/printfcpp.hpp b/src/coreclr/pal/src/include/pal/printfcpp.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/printfcpp.hpp rename to src/coreclr/pal/src/include/pal/printfcpp.hpp diff --git a/src/coreclr/src/pal/src/include/pal/process.h b/src/coreclr/pal/src/include/pal/process.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/process.h rename to src/coreclr/pal/src/include/pal/process.h diff --git a/src/coreclr/src/pal/src/include/pal/procobj.hpp b/src/coreclr/pal/src/include/pal/procobj.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/procobj.hpp rename to src/coreclr/pal/src/include/pal/procobj.hpp diff --git a/src/coreclr/src/pal/src/include/pal/seh.hpp b/src/coreclr/pal/src/include/pal/seh.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/seh.hpp rename to src/coreclr/pal/src/include/pal/seh.hpp diff --git a/src/coreclr/src/pal/src/include/pal/semaphore.hpp b/src/coreclr/pal/src/include/pal/semaphore.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/semaphore.hpp rename to src/coreclr/pal/src/include/pal/semaphore.hpp diff --git a/src/coreclr/src/pal/src/include/pal/sharedmemory.h b/src/coreclr/pal/src/include/pal/sharedmemory.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/sharedmemory.h rename to src/coreclr/pal/src/include/pal/sharedmemory.h diff --git a/src/coreclr/src/pal/src/include/pal/sharedmemory.inl b/src/coreclr/pal/src/include/pal/sharedmemory.inl similarity index 100% rename from src/coreclr/src/pal/src/include/pal/sharedmemory.inl rename to src/coreclr/pal/src/include/pal/sharedmemory.inl diff --git a/src/coreclr/src/pal/src/include/pal/shm.hpp b/src/coreclr/pal/src/include/pal/shm.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/shm.hpp rename to src/coreclr/pal/src/include/pal/shm.hpp diff --git a/src/coreclr/src/pal/src/include/pal/shmemory.h b/src/coreclr/pal/src/include/pal/shmemory.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/shmemory.h rename to src/coreclr/pal/src/include/pal/shmemory.h diff --git a/src/coreclr/src/pal/src/include/pal/signal.hpp b/src/coreclr/pal/src/include/pal/signal.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/signal.hpp rename to src/coreclr/pal/src/include/pal/signal.hpp diff --git a/src/coreclr/src/pal/src/include/pal/stackstring.hpp b/src/coreclr/pal/src/include/pal/stackstring.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/stackstring.hpp rename to src/coreclr/pal/src/include/pal/stackstring.hpp diff --git a/src/coreclr/src/pal/src/include/pal/synchcache.hpp b/src/coreclr/pal/src/include/pal/synchcache.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/synchcache.hpp rename to src/coreclr/pal/src/include/pal/synchcache.hpp diff --git a/src/coreclr/src/pal/src/include/pal/synchobjects.hpp b/src/coreclr/pal/src/include/pal/synchobjects.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/synchobjects.hpp rename to src/coreclr/pal/src/include/pal/synchobjects.hpp diff --git a/src/coreclr/src/pal/src/include/pal/thread.hpp b/src/coreclr/pal/src/include/pal/thread.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/thread.hpp rename to src/coreclr/pal/src/include/pal/thread.hpp diff --git a/src/coreclr/src/pal/src/include/pal/threadinfo.hpp b/src/coreclr/pal/src/include/pal/threadinfo.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/threadinfo.hpp rename to src/coreclr/pal/src/include/pal/threadinfo.hpp diff --git a/src/coreclr/src/pal/src/include/pal/threadsusp.hpp b/src/coreclr/pal/src/include/pal/threadsusp.hpp similarity index 100% rename from src/coreclr/src/pal/src/include/pal/threadsusp.hpp rename to src/coreclr/pal/src/include/pal/threadsusp.hpp diff --git a/src/coreclr/src/pal/src/include/pal/unicodedata.h b/src/coreclr/pal/src/include/pal/unicodedata.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/unicodedata.h rename to src/coreclr/pal/src/include/pal/unicodedata.h diff --git a/src/coreclr/src/pal/src/include/pal/utf8.h b/src/coreclr/pal/src/include/pal/utf8.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/utf8.h rename to src/coreclr/pal/src/include/pal/utf8.h diff --git a/src/coreclr/src/pal/src/include/pal/utils.h b/src/coreclr/pal/src/include/pal/utils.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/utils.h rename to src/coreclr/pal/src/include/pal/utils.h diff --git a/src/coreclr/src/pal/src/include/pal/virtual.h b/src/coreclr/pal/src/include/pal/virtual.h similarity index 100% rename from src/coreclr/src/pal/src/include/pal/virtual.h rename to src/coreclr/pal/src/include/pal/virtual.h diff --git a/src/coreclr/src/pal/src/init/pal.cpp b/src/coreclr/pal/src/init/pal.cpp similarity index 100% rename from src/coreclr/src/pal/src/init/pal.cpp rename to src/coreclr/pal/src/init/pal.cpp diff --git a/src/coreclr/src/pal/src/init/sxs.cpp b/src/coreclr/pal/src/init/sxs.cpp similarity index 100% rename from src/coreclr/src/pal/src/init/sxs.cpp rename to src/coreclr/pal/src/init/sxs.cpp diff --git a/src/coreclr/src/pal/src/libunwind/.gitignore b/src/coreclr/pal/src/libunwind/.gitignore similarity index 100% rename from src/coreclr/src/pal/src/libunwind/.gitignore rename to src/coreclr/pal/src/libunwind/.gitignore diff --git a/src/coreclr/src/pal/src/libunwind/.travis.yml b/src/coreclr/pal/src/libunwind/.travis.yml similarity index 100% rename from src/coreclr/src/pal/src/libunwind/.travis.yml rename to src/coreclr/pal/src/libunwind/.travis.yml diff --git a/src/coreclr/src/pal/src/libunwind/AUTHORS b/src/coreclr/pal/src/libunwind/AUTHORS similarity index 100% rename from src/coreclr/src/pal/src/libunwind/AUTHORS rename to src/coreclr/pal/src/libunwind/AUTHORS diff --git a/src/coreclr/src/pal/src/libunwind/CMakeLists.txt b/src/coreclr/pal/src/libunwind/CMakeLists.txt similarity index 100% rename from src/coreclr/src/pal/src/libunwind/CMakeLists.txt rename to src/coreclr/pal/src/libunwind/CMakeLists.txt diff --git a/src/coreclr/src/pal/src/libunwind/COPYING b/src/coreclr/pal/src/libunwind/COPYING similarity index 100% rename from src/coreclr/src/pal/src/libunwind/COPYING rename to src/coreclr/pal/src/libunwind/COPYING diff --git a/src/coreclr/src/pal/src/libunwind/ChangeLog b/src/coreclr/pal/src/libunwind/ChangeLog similarity index 100% rename from src/coreclr/src/pal/src/libunwind/ChangeLog rename to src/coreclr/pal/src/libunwind/ChangeLog diff --git a/src/coreclr/src/pal/src/libunwind/LICENSE b/src/coreclr/pal/src/libunwind/LICENSE similarity index 100% rename from src/coreclr/src/pal/src/libunwind/LICENSE rename to src/coreclr/pal/src/libunwind/LICENSE diff --git a/src/coreclr/src/pal/src/libunwind/Makefile.am b/src/coreclr/pal/src/libunwind/Makefile.am similarity index 100% rename from src/coreclr/src/pal/src/libunwind/Makefile.am rename to src/coreclr/pal/src/libunwind/Makefile.am diff --git a/src/coreclr/src/pal/src/libunwind/NEWS b/src/coreclr/pal/src/libunwind/NEWS similarity index 100% rename from src/coreclr/src/pal/src/libunwind/NEWS rename to src/coreclr/pal/src/libunwind/NEWS diff --git a/src/coreclr/src/pal/src/libunwind/README b/src/coreclr/pal/src/libunwind/README similarity index 100% rename from src/coreclr/src/pal/src/libunwind/README rename to src/coreclr/pal/src/libunwind/README diff --git a/src/coreclr/src/pal/src/libunwind/README.md b/src/coreclr/pal/src/libunwind/README.md similarity index 100% rename from src/coreclr/src/pal/src/libunwind/README.md rename to src/coreclr/pal/src/libunwind/README.md diff --git a/src/coreclr/src/pal/src/libunwind/TODO b/src/coreclr/pal/src/libunwind/TODO similarity index 100% rename from src/coreclr/src/pal/src/libunwind/TODO rename to src/coreclr/pal/src/libunwind/TODO diff --git a/src/coreclr/src/pal/src/libunwind/acinclude.m4 b/src/coreclr/pal/src/libunwind/acinclude.m4 similarity index 100% rename from src/coreclr/src/pal/src/libunwind/acinclude.m4 rename to src/coreclr/pal/src/libunwind/acinclude.m4 diff --git a/src/coreclr/src/pal/src/libunwind/autogen.sh b/src/coreclr/pal/src/libunwind/autogen.sh similarity index 100% rename from src/coreclr/src/pal/src/libunwind/autogen.sh rename to src/coreclr/pal/src/libunwind/autogen.sh diff --git a/src/coreclr/src/pal/src/libunwind/config.h.in b/src/coreclr/pal/src/libunwind/config.h.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/config.h.in rename to src/coreclr/pal/src/libunwind/config.h.in diff --git a/src/coreclr/src/pal/src/libunwind/configure.ac b/src/coreclr/pal/src/libunwind/configure.ac similarity index 100% rename from src/coreclr/src/pal/src/libunwind/configure.ac rename to src/coreclr/pal/src/libunwind/configure.ac diff --git a/src/coreclr/src/pal/src/libunwind/configure.cmake b/src/coreclr/pal/src/libunwind/configure.cmake similarity index 100% rename from src/coreclr/src/pal/src/libunwind/configure.cmake rename to src/coreclr/pal/src/libunwind/configure.cmake diff --git a/src/coreclr/src/pal/src/libunwind/doc/Makefile.am b/src/coreclr/pal/src/libunwind/doc/Makefile.am similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/Makefile.am rename to src/coreclr/pal/src/libunwind/doc/Makefile.am diff --git a/src/coreclr/src/pal/src/libunwind/doc/NOTES b/src/coreclr/pal/src/libunwind/doc/NOTES similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/NOTES rename to src/coreclr/pal/src/libunwind/doc/NOTES diff --git a/src/coreclr/src/pal/src/libunwind/doc/_U_dyn_cancel.man b/src/coreclr/pal/src/libunwind/doc/_U_dyn_cancel.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/_U_dyn_cancel.man rename to src/coreclr/pal/src/libunwind/doc/_U_dyn_cancel.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/_U_dyn_cancel.tex b/src/coreclr/pal/src/libunwind/doc/_U_dyn_cancel.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/_U_dyn_cancel.tex rename to src/coreclr/pal/src/libunwind/doc/_U_dyn_cancel.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/_U_dyn_register.man b/src/coreclr/pal/src/libunwind/doc/_U_dyn_register.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/_U_dyn_register.man rename to src/coreclr/pal/src/libunwind/doc/_U_dyn_register.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/_U_dyn_register.tex b/src/coreclr/pal/src/libunwind/doc/_U_dyn_register.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/_U_dyn_register.tex rename to src/coreclr/pal/src/libunwind/doc/_U_dyn_register.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/common.tex.in b/src/coreclr/pal/src/libunwind/doc/common.tex.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/common.tex.in rename to src/coreclr/pal/src/libunwind/doc/common.tex.in diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind-dynamic.man b/src/coreclr/pal/src/libunwind/doc/libunwind-dynamic.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind-dynamic.man rename to src/coreclr/pal/src/libunwind/doc/libunwind-dynamic.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind-dynamic.tex b/src/coreclr/pal/src/libunwind/doc/libunwind-dynamic.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind-dynamic.tex rename to src/coreclr/pal/src/libunwind/doc/libunwind-dynamic.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind-ia64.man b/src/coreclr/pal/src/libunwind/doc/libunwind-ia64.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind-ia64.man rename to src/coreclr/pal/src/libunwind/doc/libunwind-ia64.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind-ia64.tex b/src/coreclr/pal/src/libunwind/doc/libunwind-ia64.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind-ia64.tex rename to src/coreclr/pal/src/libunwind/doc/libunwind-ia64.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind-ptrace.man b/src/coreclr/pal/src/libunwind/doc/libunwind-ptrace.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind-ptrace.man rename to src/coreclr/pal/src/libunwind/doc/libunwind-ptrace.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind-ptrace.tex b/src/coreclr/pal/src/libunwind/doc/libunwind-ptrace.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind-ptrace.tex rename to src/coreclr/pal/src/libunwind/doc/libunwind-ptrace.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind-setjmp.man b/src/coreclr/pal/src/libunwind/doc/libunwind-setjmp.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind-setjmp.man rename to src/coreclr/pal/src/libunwind/doc/libunwind-setjmp.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind-setjmp.tex b/src/coreclr/pal/src/libunwind/doc/libunwind-setjmp.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind-setjmp.tex rename to src/coreclr/pal/src/libunwind/doc/libunwind-setjmp.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind.man b/src/coreclr/pal/src/libunwind/doc/libunwind.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind.man rename to src/coreclr/pal/src/libunwind/doc/libunwind.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind.tex b/src/coreclr/pal/src/libunwind/doc/libunwind.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind.tex rename to src/coreclr/pal/src/libunwind/doc/libunwind.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/libunwind.trans b/src/coreclr/pal/src/libunwind/doc/libunwind.trans similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/libunwind.trans rename to src/coreclr/pal/src/libunwind/doc/libunwind.trans diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_apply_reg_state.man b/src/coreclr/pal/src/libunwind/doc/unw_apply_reg_state.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_apply_reg_state.man rename to src/coreclr/pal/src/libunwind/doc/unw_apply_reg_state.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_apply_reg_state.tex b/src/coreclr/pal/src/libunwind/doc/unw_apply_reg_state.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_apply_reg_state.tex rename to src/coreclr/pal/src/libunwind/doc/unw_apply_reg_state.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_backtrace.man b/src/coreclr/pal/src/libunwind/doc/unw_backtrace.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_backtrace.man rename to src/coreclr/pal/src/libunwind/doc/unw_backtrace.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_backtrace.tex b/src/coreclr/pal/src/libunwind/doc/unw_backtrace.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_backtrace.tex rename to src/coreclr/pal/src/libunwind/doc/unw_backtrace.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_create_addr_space.man b/src/coreclr/pal/src/libunwind/doc/unw_create_addr_space.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_create_addr_space.man rename to src/coreclr/pal/src/libunwind/doc/unw_create_addr_space.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_create_addr_space.tex b/src/coreclr/pal/src/libunwind/doc/unw_create_addr_space.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_create_addr_space.tex rename to src/coreclr/pal/src/libunwind/doc/unw_create_addr_space.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_destroy_addr_space.man b/src/coreclr/pal/src/libunwind/doc/unw_destroy_addr_space.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_destroy_addr_space.man rename to src/coreclr/pal/src/libunwind/doc/unw_destroy_addr_space.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_destroy_addr_space.tex b/src/coreclr/pal/src/libunwind/doc/unw_destroy_addr_space.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_destroy_addr_space.tex rename to src/coreclr/pal/src/libunwind/doc/unw_destroy_addr_space.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_flush_cache.man b/src/coreclr/pal/src/libunwind/doc/unw_flush_cache.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_flush_cache.man rename to src/coreclr/pal/src/libunwind/doc/unw_flush_cache.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_flush_cache.tex b/src/coreclr/pal/src/libunwind/doc/unw_flush_cache.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_flush_cache.tex rename to src/coreclr/pal/src/libunwind/doc/unw_flush_cache.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_accessors.man b/src/coreclr/pal/src/libunwind/doc/unw_get_accessors.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_accessors.man rename to src/coreclr/pal/src/libunwind/doc/unw_get_accessors.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_accessors.tex b/src/coreclr/pal/src/libunwind/doc/unw_get_accessors.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_accessors.tex rename to src/coreclr/pal/src/libunwind/doc/unw_get_accessors.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_fpreg.man b/src/coreclr/pal/src/libunwind/doc/unw_get_fpreg.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_fpreg.man rename to src/coreclr/pal/src/libunwind/doc/unw_get_fpreg.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_fpreg.tex b/src/coreclr/pal/src/libunwind/doc/unw_get_fpreg.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_fpreg.tex rename to src/coreclr/pal/src/libunwind/doc/unw_get_fpreg.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_info.man b/src/coreclr/pal/src/libunwind/doc/unw_get_proc_info.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_info.man rename to src/coreclr/pal/src/libunwind/doc/unw_get_proc_info.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_info.tex b/src/coreclr/pal/src/libunwind/doc/unw_get_proc_info.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_info.tex rename to src/coreclr/pal/src/libunwind/doc/unw_get_proc_info.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_info_by_ip.man b/src/coreclr/pal/src/libunwind/doc/unw_get_proc_info_by_ip.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_info_by_ip.man rename to src/coreclr/pal/src/libunwind/doc/unw_get_proc_info_by_ip.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_info_by_ip.tex b/src/coreclr/pal/src/libunwind/doc/unw_get_proc_info_by_ip.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_info_by_ip.tex rename to src/coreclr/pal/src/libunwind/doc/unw_get_proc_info_by_ip.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_name.man b/src/coreclr/pal/src/libunwind/doc/unw_get_proc_name.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_name.man rename to src/coreclr/pal/src/libunwind/doc/unw_get_proc_name.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_name.tex b/src/coreclr/pal/src/libunwind/doc/unw_get_proc_name.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_proc_name.tex rename to src/coreclr/pal/src/libunwind/doc/unw_get_proc_name.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_reg.man b/src/coreclr/pal/src/libunwind/doc/unw_get_reg.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_reg.man rename to src/coreclr/pal/src/libunwind/doc/unw_get_reg.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_get_reg.tex b/src/coreclr/pal/src/libunwind/doc/unw_get_reg.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_get_reg.tex rename to src/coreclr/pal/src/libunwind/doc/unw_get_reg.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_getcontext.man b/src/coreclr/pal/src/libunwind/doc/unw_getcontext.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_getcontext.man rename to src/coreclr/pal/src/libunwind/doc/unw_getcontext.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_getcontext.tex b/src/coreclr/pal/src/libunwind/doc/unw_getcontext.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_getcontext.tex rename to src/coreclr/pal/src/libunwind/doc/unw_getcontext.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_init_local.man b/src/coreclr/pal/src/libunwind/doc/unw_init_local.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_init_local.man rename to src/coreclr/pal/src/libunwind/doc/unw_init_local.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_init_local.tex b/src/coreclr/pal/src/libunwind/doc/unw_init_local.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_init_local.tex rename to src/coreclr/pal/src/libunwind/doc/unw_init_local.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_init_local2.man b/src/coreclr/pal/src/libunwind/doc/unw_init_local2.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_init_local2.man rename to src/coreclr/pal/src/libunwind/doc/unw_init_local2.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_init_remote.man b/src/coreclr/pal/src/libunwind/doc/unw_init_remote.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_init_remote.man rename to src/coreclr/pal/src/libunwind/doc/unw_init_remote.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_init_remote.tex b/src/coreclr/pal/src/libunwind/doc/unw_init_remote.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_init_remote.tex rename to src/coreclr/pal/src/libunwind/doc/unw_init_remote.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_is_fpreg.man b/src/coreclr/pal/src/libunwind/doc/unw_is_fpreg.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_is_fpreg.man rename to src/coreclr/pal/src/libunwind/doc/unw_is_fpreg.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_is_fpreg.tex b/src/coreclr/pal/src/libunwind/doc/unw_is_fpreg.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_is_fpreg.tex rename to src/coreclr/pal/src/libunwind/doc/unw_is_fpreg.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_is_signal_frame.man b/src/coreclr/pal/src/libunwind/doc/unw_is_signal_frame.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_is_signal_frame.man rename to src/coreclr/pal/src/libunwind/doc/unw_is_signal_frame.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_is_signal_frame.tex b/src/coreclr/pal/src/libunwind/doc/unw_is_signal_frame.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_is_signal_frame.tex rename to src/coreclr/pal/src/libunwind/doc/unw_is_signal_frame.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_reg_states_iterate.man b/src/coreclr/pal/src/libunwind/doc/unw_reg_states_iterate.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_reg_states_iterate.man rename to src/coreclr/pal/src/libunwind/doc/unw_reg_states_iterate.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_reg_states_iterate.tex b/src/coreclr/pal/src/libunwind/doc/unw_reg_states_iterate.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_reg_states_iterate.tex rename to src/coreclr/pal/src/libunwind/doc/unw_reg_states_iterate.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_regname.man b/src/coreclr/pal/src/libunwind/doc/unw_regname.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_regname.man rename to src/coreclr/pal/src/libunwind/doc/unw_regname.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_regname.tex b/src/coreclr/pal/src/libunwind/doc/unw_regname.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_regname.tex rename to src/coreclr/pal/src/libunwind/doc/unw_regname.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_resume.man b/src/coreclr/pal/src/libunwind/doc/unw_resume.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_resume.man rename to src/coreclr/pal/src/libunwind/doc/unw_resume.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_resume.tex b/src/coreclr/pal/src/libunwind/doc/unw_resume.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_resume.tex rename to src/coreclr/pal/src/libunwind/doc/unw_resume.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_set_cache_size.man b/src/coreclr/pal/src/libunwind/doc/unw_set_cache_size.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_set_cache_size.man rename to src/coreclr/pal/src/libunwind/doc/unw_set_cache_size.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_set_cache_size.tex b/src/coreclr/pal/src/libunwind/doc/unw_set_cache_size.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_set_cache_size.tex rename to src/coreclr/pal/src/libunwind/doc/unw_set_cache_size.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_set_caching_policy.man b/src/coreclr/pal/src/libunwind/doc/unw_set_caching_policy.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_set_caching_policy.man rename to src/coreclr/pal/src/libunwind/doc/unw_set_caching_policy.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_set_caching_policy.tex b/src/coreclr/pal/src/libunwind/doc/unw_set_caching_policy.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_set_caching_policy.tex rename to src/coreclr/pal/src/libunwind/doc/unw_set_caching_policy.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_set_fpreg.man b/src/coreclr/pal/src/libunwind/doc/unw_set_fpreg.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_set_fpreg.man rename to src/coreclr/pal/src/libunwind/doc/unw_set_fpreg.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_set_fpreg.tex b/src/coreclr/pal/src/libunwind/doc/unw_set_fpreg.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_set_fpreg.tex rename to src/coreclr/pal/src/libunwind/doc/unw_set_fpreg.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_set_reg.man b/src/coreclr/pal/src/libunwind/doc/unw_set_reg.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_set_reg.man rename to src/coreclr/pal/src/libunwind/doc/unw_set_reg.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_set_reg.tex b/src/coreclr/pal/src/libunwind/doc/unw_set_reg.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_set_reg.tex rename to src/coreclr/pal/src/libunwind/doc/unw_set_reg.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_step.man b/src/coreclr/pal/src/libunwind/doc/unw_step.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_step.man rename to src/coreclr/pal/src/libunwind/doc/unw_step.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_step.tex b/src/coreclr/pal/src/libunwind/doc/unw_step.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_step.tex rename to src/coreclr/pal/src/libunwind/doc/unw_step.tex diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_strerror.man b/src/coreclr/pal/src/libunwind/doc/unw_strerror.man similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_strerror.man rename to src/coreclr/pal/src/libunwind/doc/unw_strerror.man diff --git a/src/coreclr/src/pal/src/libunwind/doc/unw_strerror.tex b/src/coreclr/pal/src/libunwind/doc/unw_strerror.tex similarity index 100% rename from src/coreclr/src/pal/src/libunwind/doc/unw_strerror.tex rename to src/coreclr/pal/src/libunwind/doc/unw_strerror.tex diff --git a/src/coreclr/src/pal/src/libunwind/include/compiler.h b/src/coreclr/pal/src/libunwind/include/compiler.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/compiler.h rename to src/coreclr/pal/src/libunwind/include/compiler.h diff --git a/src/coreclr/src/pal/src/libunwind/include/config.h.cmake.in b/src/coreclr/pal/src/libunwind/include/config.h.cmake.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/config.h.cmake.in rename to src/coreclr/pal/src/libunwind/include/config.h.cmake.in diff --git a/src/coreclr/src/pal/src/libunwind/include/dwarf-eh.h b/src/coreclr/pal/src/libunwind/include/dwarf-eh.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/dwarf-eh.h rename to src/coreclr/pal/src/libunwind/include/dwarf-eh.h diff --git a/src/coreclr/src/pal/src/libunwind/include/dwarf.h b/src/coreclr/pal/src/libunwind/include/dwarf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/dwarf.h rename to src/coreclr/pal/src/libunwind/include/dwarf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/dwarf_i.h b/src/coreclr/pal/src/libunwind/include/dwarf_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/dwarf_i.h rename to src/coreclr/pal/src/libunwind/include/dwarf_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-aarch64.h b/src/coreclr/pal/src/libunwind/include/libunwind-aarch64.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-aarch64.h rename to src/coreclr/pal/src/libunwind/include/libunwind-aarch64.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-arm.h b/src/coreclr/pal/src/libunwind/include/libunwind-arm.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-arm.h rename to src/coreclr/pal/src/libunwind/include/libunwind-arm.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-common.h.in b/src/coreclr/pal/src/libunwind/include/libunwind-common.h.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-common.h.in rename to src/coreclr/pal/src/libunwind/include/libunwind-common.h.in diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-coredump.h b/src/coreclr/pal/src/libunwind/include/libunwind-coredump.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-coredump.h rename to src/coreclr/pal/src/libunwind/include/libunwind-coredump.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-dynamic.h b/src/coreclr/pal/src/libunwind/include/libunwind-dynamic.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-dynamic.h rename to src/coreclr/pal/src/libunwind/include/libunwind-dynamic.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-hppa.h b/src/coreclr/pal/src/libunwind/include/libunwind-hppa.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-hppa.h rename to src/coreclr/pal/src/libunwind/include/libunwind-hppa.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-ia64.h b/src/coreclr/pal/src/libunwind/include/libunwind-ia64.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-ia64.h rename to src/coreclr/pal/src/libunwind/include/libunwind-ia64.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-mips.h b/src/coreclr/pal/src/libunwind/include/libunwind-mips.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-mips.h rename to src/coreclr/pal/src/libunwind/include/libunwind-mips.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-ppc32.h b/src/coreclr/pal/src/libunwind/include/libunwind-ppc32.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-ppc32.h rename to src/coreclr/pal/src/libunwind/include/libunwind-ppc32.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-ppc64.h b/src/coreclr/pal/src/libunwind/include/libunwind-ppc64.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-ppc64.h rename to src/coreclr/pal/src/libunwind/include/libunwind-ppc64.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-ptrace.h b/src/coreclr/pal/src/libunwind/include/libunwind-ptrace.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-ptrace.h rename to src/coreclr/pal/src/libunwind/include/libunwind-ptrace.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-s390x.h b/src/coreclr/pal/src/libunwind/include/libunwind-s390x.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-s390x.h rename to src/coreclr/pal/src/libunwind/include/libunwind-s390x.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-sh.h b/src/coreclr/pal/src/libunwind/include/libunwind-sh.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-sh.h rename to src/coreclr/pal/src/libunwind/include/libunwind-sh.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-tilegx.h b/src/coreclr/pal/src/libunwind/include/libunwind-tilegx.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-tilegx.h rename to src/coreclr/pal/src/libunwind/include/libunwind-tilegx.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-x86.h b/src/coreclr/pal/src/libunwind/include/libunwind-x86.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-x86.h rename to src/coreclr/pal/src/libunwind/include/libunwind-x86.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind-x86_64.h b/src/coreclr/pal/src/libunwind/include/libunwind-x86_64.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind-x86_64.h rename to src/coreclr/pal/src/libunwind/include/libunwind-x86_64.h diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind.h.in b/src/coreclr/pal/src/libunwind/include/libunwind.h.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind.h.in rename to src/coreclr/pal/src/libunwind/include/libunwind.h.in diff --git a/src/coreclr/src/pal/src/libunwind/include/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/mempool.h b/src/coreclr/pal/src/libunwind/include/mempool.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/mempool.h rename to src/coreclr/pal/src/libunwind/include/mempool.h diff --git a/src/coreclr/src/pal/src/libunwind/include/remote.h b/src/coreclr/pal/src/libunwind/include/remote.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/remote.h rename to src/coreclr/pal/src/libunwind/include/remote.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-aarch64/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-aarch64/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-aarch64/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-aarch64/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-aarch64/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-aarch64/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-aarch64/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-aarch64/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-aarch64/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-aarch64/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-aarch64/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-aarch64/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-arm/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-arm/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-arm/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-arm/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-arm/ex_tables.h b/src/coreclr/pal/src/libunwind/include/tdep-arm/ex_tables.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-arm/ex_tables.h rename to src/coreclr/pal/src/libunwind/include/tdep-arm/ex_tables.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-arm/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-arm/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-arm/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-arm/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-arm/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-arm/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-arm/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-arm/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-hppa/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-hppa/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-hppa/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-hppa/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-hppa/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-hppa/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-hppa/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-hppa/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-hppa/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-hppa/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-hppa/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-hppa/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ia64/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-ia64/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ia64/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-ia64/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ia64/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-ia64/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ia64/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-ia64/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ia64/rse.h b/src/coreclr/pal/src/libunwind/include/tdep-ia64/rse.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ia64/rse.h rename to src/coreclr/pal/src/libunwind/include/tdep-ia64/rse.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ia64/script.h b/src/coreclr/pal/src/libunwind/include/tdep-ia64/script.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ia64/script.h rename to src/coreclr/pal/src/libunwind/include/tdep-ia64/script.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-mips/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-mips/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-mips/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-mips/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-mips/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-mips/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-mips/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-mips/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-mips/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-mips/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-mips/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-mips/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ppc32/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-ppc32/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ppc32/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-ppc32/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ppc64/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-ppc32/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ppc64/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-ppc32/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ppc32/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-ppc32/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ppc32/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-ppc32/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ppc64/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-ppc64/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ppc64/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-ppc64/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ppc32/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-ppc64/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ppc32/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-ppc64/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-ppc64/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-ppc64/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-ppc64/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-ppc64/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-s390x/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-s390x/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-s390x/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-s390x/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-s390x/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-s390x/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-s390x/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-s390x/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-s390x/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-s390x/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-s390x/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-s390x/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-sh/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-sh/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-sh/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-sh/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-sh/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-sh/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-sh/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-sh/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-sh/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-sh/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-sh/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-sh/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-tilegx/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-tilegx/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-tilegx/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-tilegx/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-tilegx/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-tilegx/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-tilegx/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-tilegx/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-tilegx/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-tilegx/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-tilegx/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-tilegx/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-x86/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-x86/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-x86/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-x86/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-x86/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-x86/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-x86/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-x86/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-x86/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-x86/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-x86/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-x86/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-x86_64/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep-x86_64/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-x86_64/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep-x86_64/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-x86_64/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep-x86_64/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-x86_64/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep-x86_64/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep-x86_64/libunwind_i.h b/src/coreclr/pal/src/libunwind/include/tdep-x86_64/libunwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep-x86_64/libunwind_i.h rename to src/coreclr/pal/src/libunwind/include/tdep-x86_64/libunwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep/dwarf-config.h b/src/coreclr/pal/src/libunwind/include/tdep/dwarf-config.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep/dwarf-config.h rename to src/coreclr/pal/src/libunwind/include/tdep/dwarf-config.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/tdep/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/tdep/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/tdep/libunwind_i.h.in b/src/coreclr/pal/src/libunwind/include/tdep/libunwind_i.h.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/tdep/libunwind_i.h.in rename to src/coreclr/pal/src/libunwind/include/tdep/libunwind_i.h.in diff --git a/src/coreclr/src/pal/src/libunwind/include/unwind.h b/src/coreclr/pal/src/libunwind/include/unwind.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/unwind.h rename to src/coreclr/pal/src/libunwind/include/unwind.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/elf.h b/src/coreclr/pal/src/libunwind/include/win/elf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/elf.h rename to src/coreclr/pal/src/libunwind/include/win/elf.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/endian.h b/src/coreclr/pal/src/libunwind/include/win/endian.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/endian.h rename to src/coreclr/pal/src/libunwind/include/win/endian.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/fakestdalign.h.in b/src/coreclr/pal/src/libunwind/include/win/fakestdalign.h.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/fakestdalign.h.in rename to src/coreclr/pal/src/libunwind/include/win/fakestdalign.h.in diff --git a/src/coreclr/src/pal/src/libunwind/include/win/fakestdatomic.h.in b/src/coreclr/pal/src/libunwind/include/win/fakestdatomic.h.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/fakestdatomic.h.in rename to src/coreclr/pal/src/libunwind/include/win/fakestdatomic.h.in diff --git a/src/coreclr/src/pal/src/libunwind_mac/include/freebsd-elf32.h b/src/coreclr/pal/src/libunwind/include/win/freebsd-elf32.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/include/freebsd-elf32.h rename to src/coreclr/pal/src/libunwind/include/win/freebsd-elf32.h diff --git a/src/coreclr/src/pal/src/libunwind_mac/include/freebsd-elf64.h b/src/coreclr/pal/src/libunwind/include/win/freebsd-elf64.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/include/freebsd-elf64.h rename to src/coreclr/pal/src/libunwind/include/win/freebsd-elf64.h diff --git a/src/coreclr/src/pal/src/libunwind_mac/include/freebsd-elf_common.h b/src/coreclr/pal/src/libunwind/include/win/freebsd-elf_common.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/include/freebsd-elf_common.h rename to src/coreclr/pal/src/libunwind/include/win/freebsd-elf_common.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/pthread.h b/src/coreclr/pal/src/libunwind/include/win/pthread.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/pthread.h rename to src/coreclr/pal/src/libunwind/include/win/pthread.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/signal.h b/src/coreclr/pal/src/libunwind/include/win/signal.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/signal.h rename to src/coreclr/pal/src/libunwind/include/win/signal.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/sys/mman.h b/src/coreclr/pal/src/libunwind/include/win/sys/mman.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/sys/mman.h rename to src/coreclr/pal/src/libunwind/include/win/sys/mman.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/sys/syscall.h b/src/coreclr/pal/src/libunwind/include/win/sys/syscall.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/sys/syscall.h rename to src/coreclr/pal/src/libunwind/include/win/sys/syscall.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/sys/types.h b/src/coreclr/pal/src/libunwind/include/win/sys/types.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/sys/types.h rename to src/coreclr/pal/src/libunwind/include/win/sys/types.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/sys/ucontext.h b/src/coreclr/pal/src/libunwind/include/win/sys/ucontext.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/sys/ucontext.h rename to src/coreclr/pal/src/libunwind/include/win/sys/ucontext.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/ucontext.h b/src/coreclr/pal/src/libunwind/include/win/ucontext.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/ucontext.h rename to src/coreclr/pal/src/libunwind/include/win/ucontext.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/unistd.h b/src/coreclr/pal/src/libunwind/include/win/unistd.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/unistd.h rename to src/coreclr/pal/src/libunwind/include/win/unistd.h diff --git a/src/coreclr/src/pal/src/libunwind/include/x86/jmpbuf.h b/src/coreclr/pal/src/libunwind/include/x86/jmpbuf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/x86/jmpbuf.h rename to src/coreclr/pal/src/libunwind/include/x86/jmpbuf.h diff --git a/src/coreclr/src/pal/src/libunwind/libunwind-version.txt b/src/coreclr/pal/src/libunwind/libunwind-version.txt similarity index 100% rename from src/coreclr/src/pal/src/libunwind/libunwind-version.txt rename to src/coreclr/pal/src/libunwind/libunwind-version.txt diff --git a/src/coreclr/src/pal/src/libunwind/scripts/kernel-diff.sh b/src/coreclr/pal/src/libunwind/scripts/kernel-diff.sh similarity index 100% rename from src/coreclr/src/pal/src/libunwind/scripts/kernel-diff.sh rename to src/coreclr/pal/src/libunwind/scripts/kernel-diff.sh diff --git a/src/coreclr/src/pal/src/libunwind/scripts/kernel-files.txt b/src/coreclr/pal/src/libunwind/scripts/kernel-files.txt similarity index 100% rename from src/coreclr/src/pal/src/libunwind/scripts/kernel-files.txt rename to src/coreclr/pal/src/libunwind/scripts/kernel-files.txt diff --git a/src/coreclr/src/pal/src/libunwind/scripts/make-L-files b/src/coreclr/pal/src/libunwind/scripts/make-L-files similarity index 100% rename from src/coreclr/src/pal/src/libunwind/scripts/make-L-files rename to src/coreclr/pal/src/libunwind/scripts/make-L-files diff --git a/src/coreclr/src/pal/src/libunwind/src/CMakeLists.txt b/src/coreclr/pal/src/libunwind/src/CMakeLists.txt similarity index 98% rename from src/coreclr/src/pal/src/libunwind/src/CMakeLists.txt rename to src/coreclr/pal/src/libunwind/src/CMakeLists.txt index 656f913..56420c1 100644 --- a/src/coreclr/src/pal/src/libunwind/src/CMakeLists.txt +++ b/src/coreclr/pal/src/libunwind/src/CMakeLists.txt @@ -342,7 +342,7 @@ else(CLR_CMAKE_HOST_UNIX) list(APPEND libunwind_setjmp_la_SOURCES x86_64/longjmp.S x86_64/siglongjmp.SA) endif() - set_source_files_properties(${CLR_DIR}/src/pal/src/exception/remote-unwind.cpp PROPERTIES COMPILE_FLAGS /TP INCLUDE_DIRECTORIES ${CLR_DIR}/src/inc) + set_source_files_properties(${CLR_DIR}/pal/src/exception/remote-unwind.cpp PROPERTIES COMPILE_FLAGS /TP INCLUDE_DIRECTORIES ${CLR_DIR}/inc) add_library(libunwind_xdac OBJECT diff --git a/src/coreclr/src/pal/src/libunwind/src/Makefile.am b/src/coreclr/pal/src/libunwind/src/Makefile.am similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/Makefile.am rename to src/coreclr/pal/src/libunwind/src/Makefile.am diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gglobal.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Ginit.c b/src/coreclr/pal/src/libunwind/src/aarch64/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Ginit.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/aarch64/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/aarch64/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/aarch64/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gregs.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gregs.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gresume.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gresume.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gstash_frame.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gstash_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gstash_frame.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gstash_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gstep.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gstep.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gtrace.c b/src/coreclr/pal/src/libunwind/src/aarch64/Gtrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gtrace.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Gtrace.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lglobal.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Linit.c b/src/coreclr/pal/src/libunwind/src/aarch64/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Linit.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Linit_local.c b/src/coreclr/pal/src/libunwind/src/aarch64/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/aarch64/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lregs.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lregs.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lresume.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lresume.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lstash_frame.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lstash_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lstash_frame.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lstash_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lstep.c b/src/coreclr/pal/src/libunwind/src/aarch64/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lstep.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Ltrace.c b/src/coreclr/pal/src/libunwind/src/aarch64/Ltrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Ltrace.c rename to src/coreclr/pal/src/libunwind/src/aarch64/Ltrace.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/gen-offsets.c b/src/coreclr/pal/src/libunwind/src/aarch64/gen-offsets.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/gen-offsets.c rename to src/coreclr/pal/src/libunwind/src/aarch64/gen-offsets.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/getcontext.S b/src/coreclr/pal/src/libunwind/src/aarch64/getcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/getcontext.S rename to src/coreclr/pal/src/libunwind/src/aarch64/getcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/init.h b/src/coreclr/pal/src/libunwind/src/aarch64/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/init.h rename to src/coreclr/pal/src/libunwind/src/aarch64/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/aarch64/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/aarch64/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/offsets.h b/src/coreclr/pal/src/libunwind/src/aarch64/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/offsets.h rename to src/coreclr/pal/src/libunwind/src/aarch64/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/regname.c b/src/coreclr/pal/src/libunwind/src/aarch64/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/regname.c rename to src/coreclr/pal/src/libunwind/src/aarch64/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/aarch64/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/aarch64/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/unwind_i.h b/src/coreclr/pal/src/libunwind/src/aarch64/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/aarch64/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/arm/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/arm/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/arm/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/arm/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gex_tables.c b/src/coreclr/pal/src/libunwind/src/arm/Gex_tables.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gex_tables.c rename to src/coreclr/pal/src/libunwind/src/arm/Gex_tables.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/arm/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/arm/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/arm/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/arm/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gglobal.c b/src/coreclr/pal/src/libunwind/src/arm/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/arm/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Ginit.c b/src/coreclr/pal/src/libunwind/src/arm/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Ginit.c rename to src/coreclr/pal/src/libunwind/src/arm/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/arm/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/arm/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/arm/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/arm/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gos-freebsd.c b/src/coreclr/pal/src/libunwind/src/arm/Gos-freebsd.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gos-freebsd.c rename to src/coreclr/pal/src/libunwind/src/arm/Gos-freebsd.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gos-linux.c b/src/coreclr/pal/src/libunwind/src/arm/Gos-linux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gos-linux.c rename to src/coreclr/pal/src/libunwind/src/arm/Gos-linux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gos-other.c b/src/coreclr/pal/src/libunwind/src/arm/Gos-other.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gos-other.c rename to src/coreclr/pal/src/libunwind/src/arm/Gos-other.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/arm/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/arm/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gregs.c b/src/coreclr/pal/src/libunwind/src/arm/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gregs.c rename to src/coreclr/pal/src/libunwind/src/arm/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gresume.c b/src/coreclr/pal/src/libunwind/src/arm/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gresume.c rename to src/coreclr/pal/src/libunwind/src/arm/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gstash_frame.c b/src/coreclr/pal/src/libunwind/src/arm/Gstash_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gstash_frame.c rename to src/coreclr/pal/src/libunwind/src/arm/Gstash_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gstep.c b/src/coreclr/pal/src/libunwind/src/arm/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gstep.c rename to src/coreclr/pal/src/libunwind/src/arm/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gtrace.c b/src/coreclr/pal/src/libunwind/src/arm/Gtrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gtrace.c rename to src/coreclr/pal/src/libunwind/src/arm/Gtrace.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/arm/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/arm/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/arm/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/arm/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lex_tables.c b/src/coreclr/pal/src/libunwind/src/arm/Lex_tables.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lex_tables.c rename to src/coreclr/pal/src/libunwind/src/arm/Lex_tables.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/arm/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/arm/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/arm/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/arm/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lglobal.c b/src/coreclr/pal/src/libunwind/src/arm/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/arm/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Linit.c b/src/coreclr/pal/src/libunwind/src/arm/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Linit.c rename to src/coreclr/pal/src/libunwind/src/arm/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Linit_local.c b/src/coreclr/pal/src/libunwind/src/arm/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/arm/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/arm/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/arm/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/arm/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/arm/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Los-freebsd.c b/src/coreclr/pal/src/libunwind/src/arm/Los-freebsd.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Los-freebsd.c rename to src/coreclr/pal/src/libunwind/src/arm/Los-freebsd.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Los-linux.c b/src/coreclr/pal/src/libunwind/src/arm/Los-linux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Los-linux.c rename to src/coreclr/pal/src/libunwind/src/arm/Los-linux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Los-other.c b/src/coreclr/pal/src/libunwind/src/arm/Los-other.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Los-other.c rename to src/coreclr/pal/src/libunwind/src/arm/Los-other.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/arm/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/arm/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lregs.c b/src/coreclr/pal/src/libunwind/src/arm/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lregs.c rename to src/coreclr/pal/src/libunwind/src/arm/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lresume.c b/src/coreclr/pal/src/libunwind/src/arm/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lresume.c rename to src/coreclr/pal/src/libunwind/src/arm/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lstash_frame.c b/src/coreclr/pal/src/libunwind/src/arm/Lstash_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lstash_frame.c rename to src/coreclr/pal/src/libunwind/src/arm/Lstash_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Lstep.c b/src/coreclr/pal/src/libunwind/src/arm/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Lstep.c rename to src/coreclr/pal/src/libunwind/src/arm/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Ltrace.c b/src/coreclr/pal/src/libunwind/src/arm/Ltrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Ltrace.c rename to src/coreclr/pal/src/libunwind/src/arm/Ltrace.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/gen-offsets.c b/src/coreclr/pal/src/libunwind/src/arm/gen-offsets.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/gen-offsets.c rename to src/coreclr/pal/src/libunwind/src/arm/gen-offsets.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/getcontext.S b/src/coreclr/pal/src/libunwind/src/arm/getcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/getcontext.S rename to src/coreclr/pal/src/libunwind/src/arm/getcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/init.h b/src/coreclr/pal/src/libunwind/src/arm/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/init.h rename to src/coreclr/pal/src/libunwind/src/arm/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/arm/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/arm/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/offsets.h b/src/coreclr/pal/src/libunwind/src/arm/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/offsets.h rename to src/coreclr/pal/src/libunwind/src/arm/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/regname.c b/src/coreclr/pal/src/libunwind/src/arm/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/regname.c rename to src/coreclr/pal/src/libunwind/src/arm/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/arm/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/arm/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/unwind_i.h b/src/coreclr/pal/src/libunwind/src/arm/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/arm/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/README b/src/coreclr/pal/src/libunwind/src/coredump/README similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/README rename to src/coreclr/pal/src/libunwind/src/coredump/README diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_access_mem.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_access_mem.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_access_mem.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_access_mem.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_access_reg_freebsd.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_access_reg_freebsd.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_access_reg_freebsd.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_access_reg_freebsd.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_access_reg_linux.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_access_reg_linux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_access_reg_linux.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_access_reg_linux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_accessors.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_accessors.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_accessors.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_accessors.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_create.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_create.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_create.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_create.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_destroy.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_destroy.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_destroy.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_destroy.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_elf_map_image.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_elf_map_image.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_elf_map_image.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_elf_map_image.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_find_proc_info.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_find_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_find_proc_info.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_find_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_get_proc_name.c b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_get_proc_name.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_get_proc_name.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_get_proc_name.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_internal.h b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_internal.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_internal.h rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_internal.h diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_lib.h b/src/coreclr/pal/src/libunwind/src/coredump/_UCD_lib.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UCD_lib.h rename to src/coreclr/pal/src/libunwind/src/coredump/_UCD_lib.h diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_access_fpreg.c b/src/coreclr/pal/src/libunwind/src/coredump/_UPT_access_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_access_fpreg.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UPT_access_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_elf.c b/src/coreclr/pal/src/libunwind/src/coredump/_UPT_elf.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_elf.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UPT_elf.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_get_dyn_info_list_addr.c b/src/coreclr/pal/src/libunwind/src/coredump/_UPT_get_dyn_info_list_addr.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_get_dyn_info_list_addr.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UPT_get_dyn_info_list_addr.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_put_unwind_info.c b/src/coreclr/pal/src/libunwind/src/coredump/_UPT_put_unwind_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_put_unwind_info.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UPT_put_unwind_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_resume.c b/src/coreclr/pal/src/libunwind/src/coredump/_UPT_resume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/_UPT_resume.c rename to src/coreclr/pal/src/libunwind/src/coredump/_UPT_resume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/coredump/libunwind-coredump.pc.in b/src/coreclr/pal/src/libunwind/src/coredump/libunwind-coredump.pc.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/coredump/libunwind-coredump.pc.in rename to src/coreclr/pal/src/libunwind/src/coredump/libunwind-coredump.pc.in diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Gexpr.c b/src/coreclr/pal/src/libunwind/src/dwarf/Gexpr.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Gexpr.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Gexpr.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Gfde.c b/src/coreclr/pal/src/libunwind/src/dwarf/Gfde.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Gfde.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Gfde.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Gfind_proc_info-lsb.c b/src/coreclr/pal/src/libunwind/src/dwarf/Gfind_proc_info-lsb.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Gfind_proc_info-lsb.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Gfind_proc_info-lsb.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Gfind_unwind_table.c b/src/coreclr/pal/src/libunwind/src/dwarf/Gfind_unwind_table.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Gfind_unwind_table.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Gfind_unwind_table.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Gparser.c b/src/coreclr/pal/src/libunwind/src/dwarf/Gparser.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Gparser.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Gparser.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Gpe.c b/src/coreclr/pal/src/libunwind/src/dwarf/Gpe.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Gpe.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Gpe.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Lexpr.c b/src/coreclr/pal/src/libunwind/src/dwarf/Lexpr.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Lexpr.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Lexpr.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Lfde.c b/src/coreclr/pal/src/libunwind/src/dwarf/Lfde.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Lfde.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Lfde.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Lfind_proc_info-lsb.c b/src/coreclr/pal/src/libunwind/src/dwarf/Lfind_proc_info-lsb.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Lfind_proc_info-lsb.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Lfind_proc_info-lsb.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lfind_unwind_table.c b/src/coreclr/pal/src/libunwind/src/dwarf/Lfind_unwind_table.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lfind_unwind_table.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Lfind_unwind_table.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lparser.c b/src/coreclr/pal/src/libunwind/src/dwarf/Lparser.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lparser.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Lparser.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Lpe.c b/src/coreclr/pal/src/libunwind/src/dwarf/Lpe.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Lpe.c rename to src/coreclr/pal/src/libunwind/src/dwarf/Lpe.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/global.c b/src/coreclr/pal/src/libunwind/src/dwarf/global.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/global.c rename to src/coreclr/pal/src/libunwind/src/dwarf/global.c diff --git a/src/coreclr/src/pal/src/libunwind/src/elf32.c b/src/coreclr/pal/src/libunwind/src/elf32.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/elf32.c rename to src/coreclr/pal/src/libunwind/src/elf32.c diff --git a/src/coreclr/src/pal/src/libunwind/src/elf32.h b/src/coreclr/pal/src/libunwind/src/elf32.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/elf32.h rename to src/coreclr/pal/src/libunwind/src/elf32.h diff --git a/src/coreclr/src/pal/src/libunwind/src/elf64.c b/src/coreclr/pal/src/libunwind/src/elf64.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/elf64.c rename to src/coreclr/pal/src/libunwind/src/elf64.c diff --git a/src/coreclr/src/pal/src/libunwind/src/elf64.h b/src/coreclr/pal/src/libunwind/src/elf64.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/elf64.h rename to src/coreclr/pal/src/libunwind/src/elf64.h diff --git a/src/coreclr/src/pal/src/libunwind/src/elfxx.c b/src/coreclr/pal/src/libunwind/src/elfxx.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/elfxx.c rename to src/coreclr/pal/src/libunwind/src/elfxx.c diff --git a/src/coreclr/src/pal/src/libunwind/src/elfxx.h b/src/coreclr/pal/src/libunwind/src/elfxx.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/elfxx.h rename to src/coreclr/pal/src/libunwind/src/elfxx.h diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/hppa/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/hppa/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/hppa/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/hppa/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gglobal.c b/src/coreclr/pal/src/libunwind/src/hppa/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Ginit.c b/src/coreclr/pal/src/libunwind/src/hppa/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Ginit.c rename to src/coreclr/pal/src/libunwind/src/hppa/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/hppa/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/hppa/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/hppa/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/hppa/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/hppa/Gis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/hppa/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/hppa/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gregs.c b/src/coreclr/pal/src/libunwind/src/hppa/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gregs.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gresume.c b/src/coreclr/pal/src/libunwind/src/hppa/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gresume.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gstep.c b/src/coreclr/pal/src/libunwind/src/hppa/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gstep.c rename to src/coreclr/pal/src/libunwind/src/hppa/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/hppa/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/hppa/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/hppa/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/hppa/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lglobal.c b/src/coreclr/pal/src/libunwind/src/hppa/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Linit.c b/src/coreclr/pal/src/libunwind/src/hppa/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Linit.c rename to src/coreclr/pal/src/libunwind/src/hppa/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Linit_local.c b/src/coreclr/pal/src/libunwind/src/hppa/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/hppa/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/hppa/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/hppa/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/hppa/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/hppa/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lregs.c b/src/coreclr/pal/src/libunwind/src/hppa/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lregs.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lresume.c b/src/coreclr/pal/src/libunwind/src/hppa/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lresume.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Lstep.c b/src/coreclr/pal/src/libunwind/src/hppa/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Lstep.c rename to src/coreclr/pal/src/libunwind/src/hppa/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/get_accessors.c b/src/coreclr/pal/src/libunwind/src/hppa/get_accessors.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/get_accessors.c rename to src/coreclr/pal/src/libunwind/src/hppa/get_accessors.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/getcontext.S b/src/coreclr/pal/src/libunwind/src/hppa/getcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/getcontext.S rename to src/coreclr/pal/src/libunwind/src/hppa/getcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/init.h b/src/coreclr/pal/src/libunwind/src/hppa/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/init.h rename to src/coreclr/pal/src/libunwind/src/hppa/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/offsets.h b/src/coreclr/pal/src/libunwind/src/hppa/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/offsets.h rename to src/coreclr/pal/src/libunwind/src/hppa/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/regname.c b/src/coreclr/pal/src/libunwind/src/hppa/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/regname.c rename to src/coreclr/pal/src/libunwind/src/hppa/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/setcontext.S b/src/coreclr/pal/src/libunwind/src/hppa/setcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/setcontext.S rename to src/coreclr/pal/src/libunwind/src/hppa/setcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/hppa/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/hppa/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/tables.c b/src/coreclr/pal/src/libunwind/src/hppa/tables.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/tables.c rename to src/coreclr/pal/src/libunwind/src/hppa/tables.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/unwind_i.h b/src/coreclr/pal/src/libunwind/src/hppa/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/hppa/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/ia64/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/ia64/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gfind_unwind_table.c b/src/coreclr/pal/src/libunwind/src/ia64/Gfind_unwind_table.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gfind_unwind_table.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gfind_unwind_table.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/ia64/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/ia64/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gglobal.c b/src/coreclr/pal/src/libunwind/src/ia64/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Ginit.c b/src/coreclr/pal/src/libunwind/src/ia64/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Ginit.c rename to src/coreclr/pal/src/libunwind/src/ia64/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/ia64/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/ia64/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/ia64/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/ia64/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Ginstall_cursor.S b/src/coreclr/pal/src/libunwind/src/ia64/Ginstall_cursor.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Ginstall_cursor.S rename to src/coreclr/pal/src/libunwind/src/ia64/Ginstall_cursor.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/ia64/Gis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gparser.c b/src/coreclr/pal/src/libunwind/src/ia64/Gparser.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gparser.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gparser.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Grbs.c b/src/coreclr/pal/src/libunwind/src/ia64/Grbs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Grbs.c rename to src/coreclr/pal/src/libunwind/src/ia64/Grbs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/ia64/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/ia64/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gregs.c b/src/coreclr/pal/src/libunwind/src/ia64/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gregs.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gresume.c b/src/coreclr/pal/src/libunwind/src/ia64/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gresume.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gscript.c b/src/coreclr/pal/src/libunwind/src/ia64/Gscript.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gscript.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gscript.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gstep.c b/src/coreclr/pal/src/libunwind/src/ia64/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gstep.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Gtables.c b/src/coreclr/pal/src/libunwind/src/ia64/Gtables.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Gtables.c rename to src/coreclr/pal/src/libunwind/src/ia64/Gtables.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/ia64/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/ia64/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Lfind_unwind_table.c b/src/coreclr/pal/src/libunwind/src/ia64/Lfind_unwind_table.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Lfind_unwind_table.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lfind_unwind_table.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/ia64/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/ia64/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Lglobal.c b/src/coreclr/pal/src/libunwind/src/ia64/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Linit.c b/src/coreclr/pal/src/libunwind/src/ia64/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Linit.c rename to src/coreclr/pal/src/libunwind/src/ia64/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Linit_local.c b/src/coreclr/pal/src/libunwind/src/ia64/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/ia64/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/ia64/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/ia64/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Linstall_cursor.S b/src/coreclr/pal/src/libunwind/src/ia64/Linstall_cursor.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Linstall_cursor.S rename to src/coreclr/pal/src/libunwind/src/ia64/Linstall_cursor.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/ia64/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/dwarf/Lparser.c b/src/coreclr/pal/src/libunwind/src/ia64/Lparser.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/dwarf/Lparser.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lparser.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lrbs.c b/src/coreclr/pal/src/libunwind/src/ia64/Lrbs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lrbs.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lrbs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/ia64/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lregs.c b/src/coreclr/pal/src/libunwind/src/ia64/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lregs.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lresume.c b/src/coreclr/pal/src/libunwind/src/ia64/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lresume.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lscript.c b/src/coreclr/pal/src/libunwind/src/ia64/Lscript.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lscript.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lscript.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Lstep.c b/src/coreclr/pal/src/libunwind/src/ia64/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Lstep.c rename to src/coreclr/pal/src/libunwind/src/ia64/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Ltables.c b/src/coreclr/pal/src/libunwind/src/ia64/Ltables.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Ltables.c rename to src/coreclr/pal/src/libunwind/src/ia64/Ltables.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/NOTES b/src/coreclr/pal/src/libunwind/src/ia64/NOTES similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/NOTES rename to src/coreclr/pal/src/libunwind/src/ia64/NOTES diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/dyn_info_list.S b/src/coreclr/pal/src/libunwind/src/ia64/dyn_info_list.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/dyn_info_list.S rename to src/coreclr/pal/src/libunwind/src/ia64/dyn_info_list.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/getcontext.S b/src/coreclr/pal/src/libunwind/src/ia64/getcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/getcontext.S rename to src/coreclr/pal/src/libunwind/src/ia64/getcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/init.h b/src/coreclr/pal/src/libunwind/src/ia64/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/init.h rename to src/coreclr/pal/src/libunwind/src/ia64/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/longjmp.S b/src/coreclr/pal/src/libunwind/src/ia64/longjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/longjmp.S rename to src/coreclr/pal/src/libunwind/src/ia64/longjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/mk_cursor_i b/src/coreclr/pal/src/libunwind/src/ia64/mk_cursor_i similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/mk_cursor_i rename to src/coreclr/pal/src/libunwind/src/ia64/mk_cursor_i diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/offsets.h b/src/coreclr/pal/src/libunwind/src/ia64/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/offsets.h rename to src/coreclr/pal/src/libunwind/src/ia64/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/regname.c b/src/coreclr/pal/src/libunwind/src/ia64/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/regname.c rename to src/coreclr/pal/src/libunwind/src/ia64/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/regs.h b/src/coreclr/pal/src/libunwind/src/ia64/regs.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/regs.h rename to src/coreclr/pal/src/libunwind/src/ia64/regs.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/setjmp.S b/src/coreclr/pal/src/libunwind/src/ia64/setjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/setjmp.S rename to src/coreclr/pal/src/libunwind/src/ia64/setjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/ia64/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/ia64/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/sigsetjmp.S b/src/coreclr/pal/src/libunwind/src/ia64/sigsetjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/sigsetjmp.S rename to src/coreclr/pal/src/libunwind/src/ia64/sigsetjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/ucontext_i.h b/src/coreclr/pal/src/libunwind/src/ia64/ucontext_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/ucontext_i.h rename to src/coreclr/pal/src/libunwind/src/ia64/ucontext_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/unwind_decoder.h b/src/coreclr/pal/src/libunwind/src/ia64/unwind_decoder.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/unwind_decoder.h rename to src/coreclr/pal/src/libunwind/src/ia64/unwind_decoder.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/unwind_i.h b/src/coreclr/pal/src/libunwind/src/ia64/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/ia64/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/libunwind-generic.pc.in b/src/coreclr/pal/src/libunwind/src/libunwind-generic.pc.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/libunwind-generic.pc.in rename to src/coreclr/pal/src/libunwind/src/libunwind-generic.pc.in diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gdestroy_addr_space.c b/src/coreclr/pal/src/libunwind/src/mi/Gdestroy_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gdestroy_addr_space.c rename to src/coreclr/pal/src/libunwind/src/mi/Gdestroy_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gdyn-extract.c b/src/coreclr/pal/src/libunwind/src/mi/Gdyn-extract.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gdyn-extract.c rename to src/coreclr/pal/src/libunwind/src/mi/Gdyn-extract.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gdyn-remote.c b/src/coreclr/pal/src/libunwind/src/mi/Gdyn-remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gdyn-remote.c rename to src/coreclr/pal/src/libunwind/src/mi/Gdyn-remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gfind_dynamic_proc_info.c b/src/coreclr/pal/src/libunwind/src/mi/Gfind_dynamic_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gfind_dynamic_proc_info.c rename to src/coreclr/pal/src/libunwind/src/mi/Gfind_dynamic_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gget_accessors.c b/src/coreclr/pal/src/libunwind/src/mi/Gget_accessors.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gget_accessors.c rename to src/coreclr/pal/src/libunwind/src/mi/Gget_accessors.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gget_fpreg.c b/src/coreclr/pal/src/libunwind/src/mi/Gget_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gget_fpreg.c rename to src/coreclr/pal/src/libunwind/src/mi/Gget_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gget_proc_info_by_ip.c b/src/coreclr/pal/src/libunwind/src/mi/Gget_proc_info_by_ip.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gget_proc_info_by_ip.c rename to src/coreclr/pal/src/libunwind/src/mi/Gget_proc_info_by_ip.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gget_proc_name.c b/src/coreclr/pal/src/libunwind/src/mi/Gget_proc_name.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gget_proc_name.c rename to src/coreclr/pal/src/libunwind/src/mi/Gget_proc_name.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gget_reg.c b/src/coreclr/pal/src/libunwind/src/mi/Gget_reg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gget_reg.c rename to src/coreclr/pal/src/libunwind/src/mi/Gget_reg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gput_dynamic_unwind_info.c b/src/coreclr/pal/src/libunwind/src/mi/Gput_dynamic_unwind_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gput_dynamic_unwind_info.c rename to src/coreclr/pal/src/libunwind/src/mi/Gput_dynamic_unwind_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gset_cache_size.c b/src/coreclr/pal/src/libunwind/src/mi/Gset_cache_size.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gset_cache_size.c rename to src/coreclr/pal/src/libunwind/src/mi/Gset_cache_size.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gset_caching_policy.c b/src/coreclr/pal/src/libunwind/src/mi/Gset_caching_policy.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gset_caching_policy.c rename to src/coreclr/pal/src/libunwind/src/mi/Gset_caching_policy.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gset_fpreg.c b/src/coreclr/pal/src/libunwind/src/mi/Gset_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gset_fpreg.c rename to src/coreclr/pal/src/libunwind/src/mi/Gset_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Gset_reg.c b/src/coreclr/pal/src/libunwind/src/mi/Gset_reg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Gset_reg.c rename to src/coreclr/pal/src/libunwind/src/mi/Gset_reg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Ldestroy_addr_space.c b/src/coreclr/pal/src/libunwind/src/mi/Ldestroy_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Ldestroy_addr_space.c rename to src/coreclr/pal/src/libunwind/src/mi/Ldestroy_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Ldyn-extract.c b/src/coreclr/pal/src/libunwind/src/mi/Ldyn-extract.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Ldyn-extract.c rename to src/coreclr/pal/src/libunwind/src/mi/Ldyn-extract.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Ldyn-remote.c b/src/coreclr/pal/src/libunwind/src/mi/Ldyn-remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Ldyn-remote.c rename to src/coreclr/pal/src/libunwind/src/mi/Ldyn-remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lfind_dynamic_proc_info.c b/src/coreclr/pal/src/libunwind/src/mi/Lfind_dynamic_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lfind_dynamic_proc_info.c rename to src/coreclr/pal/src/libunwind/src/mi/Lfind_dynamic_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lget_accessors.c b/src/coreclr/pal/src/libunwind/src/mi/Lget_accessors.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lget_accessors.c rename to src/coreclr/pal/src/libunwind/src/mi/Lget_accessors.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lget_fpreg.c b/src/coreclr/pal/src/libunwind/src/mi/Lget_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lget_fpreg.c rename to src/coreclr/pal/src/libunwind/src/mi/Lget_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lget_proc_info_by_ip.c b/src/coreclr/pal/src/libunwind/src/mi/Lget_proc_info_by_ip.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lget_proc_info_by_ip.c rename to src/coreclr/pal/src/libunwind/src/mi/Lget_proc_info_by_ip.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lget_proc_name.c b/src/coreclr/pal/src/libunwind/src/mi/Lget_proc_name.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lget_proc_name.c rename to src/coreclr/pal/src/libunwind/src/mi/Lget_proc_name.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lget_reg.c b/src/coreclr/pal/src/libunwind/src/mi/Lget_reg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lget_reg.c rename to src/coreclr/pal/src/libunwind/src/mi/Lget_reg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lput_dynamic_unwind_info.c b/src/coreclr/pal/src/libunwind/src/mi/Lput_dynamic_unwind_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lput_dynamic_unwind_info.c rename to src/coreclr/pal/src/libunwind/src/mi/Lput_dynamic_unwind_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lset_cache_size.c b/src/coreclr/pal/src/libunwind/src/mi/Lset_cache_size.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lset_cache_size.c rename to src/coreclr/pal/src/libunwind/src/mi/Lset_cache_size.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lset_caching_policy.c b/src/coreclr/pal/src/libunwind/src/mi/Lset_caching_policy.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lset_caching_policy.c rename to src/coreclr/pal/src/libunwind/src/mi/Lset_caching_policy.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lset_fpreg.c b/src/coreclr/pal/src/libunwind/src/mi/Lset_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lset_fpreg.c rename to src/coreclr/pal/src/libunwind/src/mi/Lset_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/Lset_reg.c b/src/coreclr/pal/src/libunwind/src/mi/Lset_reg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/Lset_reg.c rename to src/coreclr/pal/src/libunwind/src/mi/Lset_reg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/_ReadSLEB.c b/src/coreclr/pal/src/libunwind/src/mi/_ReadSLEB.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/_ReadSLEB.c rename to src/coreclr/pal/src/libunwind/src/mi/_ReadSLEB.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/_ReadULEB.c b/src/coreclr/pal/src/libunwind/src/mi/_ReadULEB.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/_ReadULEB.c rename to src/coreclr/pal/src/libunwind/src/mi/_ReadULEB.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/backtrace.c b/src/coreclr/pal/src/libunwind/src/mi/backtrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/backtrace.c rename to src/coreclr/pal/src/libunwind/src/mi/backtrace.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/dyn-cancel.c b/src/coreclr/pal/src/libunwind/src/mi/dyn-cancel.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/dyn-cancel.c rename to src/coreclr/pal/src/libunwind/src/mi/dyn-cancel.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/dyn-info-list.c b/src/coreclr/pal/src/libunwind/src/mi/dyn-info-list.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/dyn-info-list.c rename to src/coreclr/pal/src/libunwind/src/mi/dyn-info-list.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/dyn-register.c b/src/coreclr/pal/src/libunwind/src/mi/dyn-register.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/dyn-register.c rename to src/coreclr/pal/src/libunwind/src/mi/dyn-register.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/flush_cache.c b/src/coreclr/pal/src/libunwind/src/mi/flush_cache.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/flush_cache.c rename to src/coreclr/pal/src/libunwind/src/mi/flush_cache.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/init.c b/src/coreclr/pal/src/libunwind/src/mi/init.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/init.c rename to src/coreclr/pal/src/libunwind/src/mi/init.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/mempool.c b/src/coreclr/pal/src/libunwind/src/mi/mempool.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/mempool.c rename to src/coreclr/pal/src/libunwind/src/mi/mempool.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mi/strerror.c b/src/coreclr/pal/src/libunwind/src/mi/strerror.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mi/strerror.c rename to src/coreclr/pal/src/libunwind/src/mi/strerror.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/mips/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/mips/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/mips/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/mips/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/mips/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/mips/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/mips/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/mips/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gglobal.c b/src/coreclr/pal/src/libunwind/src/mips/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/mips/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Ginit.c b/src/coreclr/pal/src/libunwind/src/mips/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Ginit.c rename to src/coreclr/pal/src/libunwind/src/mips/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/mips/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/mips/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/mips/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/mips/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/mips/Gis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/mips/Gis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/mips/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/mips/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gregs.c b/src/coreclr/pal/src/libunwind/src/mips/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gregs.c rename to src/coreclr/pal/src/libunwind/src/mips/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gresume.c b/src/coreclr/pal/src/libunwind/src/mips/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gresume.c rename to src/coreclr/pal/src/libunwind/src/mips/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gstep.c b/src/coreclr/pal/src/libunwind/src/mips/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gstep.c rename to src/coreclr/pal/src/libunwind/src/mips/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/mips/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/mips/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/mips/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/mips/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/mips/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/mips/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/mips/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/mips/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Lglobal.c b/src/coreclr/pal/src/libunwind/src/mips/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/mips/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Linit.c b/src/coreclr/pal/src/libunwind/src/mips/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Linit.c rename to src/coreclr/pal/src/libunwind/src/mips/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Linit_local.c b/src/coreclr/pal/src/libunwind/src/mips/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/mips/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/mips/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/mips/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/mips/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/mips/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/mips/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/mips/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lregs.c b/src/coreclr/pal/src/libunwind/src/mips/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lregs.c rename to src/coreclr/pal/src/libunwind/src/mips/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lresume.c b/src/coreclr/pal/src/libunwind/src/mips/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lresume.c rename to src/coreclr/pal/src/libunwind/src/mips/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lstep.c b/src/coreclr/pal/src/libunwind/src/mips/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lstep.c rename to src/coreclr/pal/src/libunwind/src/mips/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/elfxx.c b/src/coreclr/pal/src/libunwind/src/mips/elfxx.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/elfxx.c rename to src/coreclr/pal/src/libunwind/src/mips/elfxx.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/gen-offsets.c b/src/coreclr/pal/src/libunwind/src/mips/gen-offsets.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/gen-offsets.c rename to src/coreclr/pal/src/libunwind/src/mips/gen-offsets.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/getcontext.S b/src/coreclr/pal/src/libunwind/src/mips/getcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/getcontext.S rename to src/coreclr/pal/src/libunwind/src/mips/getcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/init.h b/src/coreclr/pal/src/libunwind/src/mips/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/init.h rename to src/coreclr/pal/src/libunwind/src/mips/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/mips/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/mips/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/offsets.h b/src/coreclr/pal/src/libunwind/src/mips/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/offsets.h rename to src/coreclr/pal/src/libunwind/src/mips/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/regname.c b/src/coreclr/pal/src/libunwind/src/mips/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/regname.c rename to src/coreclr/pal/src/libunwind/src/mips/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/mips/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/mips/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/unwind_i.h b/src/coreclr/pal/src/libunwind/src/mips/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/mips/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/oop/_OOP_find_proc_info.c b/src/coreclr/pal/src/libunwind/src/oop/_OOP_find_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/oop/_OOP_find_proc_info.c rename to src/coreclr/pal/src/libunwind/src/oop/_OOP_find_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/oop/_OOP_internal.h b/src/coreclr/pal/src/libunwind/src/oop/_OOP_internal.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/oop/_OOP_internal.h rename to src/coreclr/pal/src/libunwind/src/oop/_OOP_internal.h diff --git a/src/coreclr/src/pal/src/libunwind/src/os-freebsd.c b/src/coreclr/pal/src/libunwind/src/os-freebsd.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/os-freebsd.c rename to src/coreclr/pal/src/libunwind/src/os-freebsd.c diff --git a/src/coreclr/src/pal/src/libunwind/src/os-hpux.c b/src/coreclr/pal/src/libunwind/src/os-hpux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/os-hpux.c rename to src/coreclr/pal/src/libunwind/src/os-hpux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/os-linux.c b/src/coreclr/pal/src/libunwind/src/os-linux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/os-linux.c rename to src/coreclr/pal/src/libunwind/src/os-linux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/os-linux.h b/src/coreclr/pal/src/libunwind/src/os-linux.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/os-linux.h rename to src/coreclr/pal/src/libunwind/src/os-linux.h diff --git a/src/coreclr/src/pal/src/libunwind/src/os-qnx.c b/src/coreclr/pal/src/libunwind/src/os-qnx.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/os-qnx.c rename to src/coreclr/pal/src/libunwind/src/os-qnx.c diff --git a/src/coreclr/src/pal/src/libunwind/src/os-solaris.c b/src/coreclr/pal/src/libunwind/src/os-solaris.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/os-solaris.c rename to src/coreclr/pal/src/libunwind/src/os-solaris.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/ppc/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/ppc/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/ppc/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/ppc/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/ppc/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/ppc/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/ppc/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/ppc/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/ppc/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/ppc/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Gis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/ppc/Gis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Gis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/ppc/Gis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/ppc/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/ppc/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/ppc/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/ppc/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/ppc/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/ppc/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/ppc/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/ppc/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Linit_local.c b/src/coreclr/pal/src/libunwind/src/ppc/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/ppc/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/ppc/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/ppc/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/ppc/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/ppc/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/ppc/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/ppc/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/longjmp.S b/src/coreclr/pal/src/libunwind/src/ppc/longjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/longjmp.S rename to src/coreclr/pal/src/libunwind/src/ppc/longjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/ppc/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/ppc/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/ppc32/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/ppc32/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Gglobal.c b/src/coreclr/pal/src/libunwind/src/ppc32/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Ginit.c b/src/coreclr/pal/src/libunwind/src/ppc32/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Ginit.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/ppc32/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Gregs.c b/src/coreclr/pal/src/libunwind/src/ppc32/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Gregs.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Gresume.c b/src/coreclr/pal/src/libunwind/src/ppc32/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Gresume.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Gstep.c b/src/coreclr/pal/src/libunwind/src/ppc32/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Gstep.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/ppc32/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/ppc32/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lglobal.c b/src/coreclr/pal/src/libunwind/src/ppc32/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Linit.c b/src/coreclr/pal/src/libunwind/src/ppc32/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Linit.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/ppc32/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Lregs.c b/src/coreclr/pal/src/libunwind/src/ppc32/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Lregs.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Lresume.c b/src/coreclr/pal/src/libunwind/src/ppc32/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Lresume.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Lstep.c b/src/coreclr/pal/src/libunwind/src/ppc32/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Lstep.c rename to src/coreclr/pal/src/libunwind/src/ppc32/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Make-arch.in b/src/coreclr/pal/src/libunwind/src/ppc32/Make-arch.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Make-arch.in rename to src/coreclr/pal/src/libunwind/src/ppc32/Make-arch.in diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/get_func_addr.c b/src/coreclr/pal/src/libunwind/src/ppc32/get_func_addr.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/get_func_addr.c rename to src/coreclr/pal/src/libunwind/src/ppc32/get_func_addr.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/init.h b/src/coreclr/pal/src/libunwind/src/ppc32/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/init.h rename to src/coreclr/pal/src/libunwind/src/ppc32/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/ppc32/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/ppc32/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/regname.c b/src/coreclr/pal/src/libunwind/src/ppc32/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/regname.c rename to src/coreclr/pal/src/libunwind/src/ppc32/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/setcontext.S b/src/coreclr/pal/src/libunwind/src/ppc32/setcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/setcontext.S rename to src/coreclr/pal/src/libunwind/src/ppc32/setcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/ucontext_i.h b/src/coreclr/pal/src/libunwind/src/ppc32/ucontext_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/ucontext_i.h rename to src/coreclr/pal/src/libunwind/src/ppc32/ucontext_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/unwind_i.h b/src/coreclr/pal/src/libunwind/src/ppc32/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/ppc32/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/ppc64/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/ppc64/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Gglobal.c b/src/coreclr/pal/src/libunwind/src/ppc64/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Ginit.c b/src/coreclr/pal/src/libunwind/src/ppc64/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Ginit.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/ppc64/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Gregs.c b/src/coreclr/pal/src/libunwind/src/ppc64/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Gregs.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Gresume.c b/src/coreclr/pal/src/libunwind/src/ppc64/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Gresume.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/Gstep.c b/src/coreclr/pal/src/libunwind/src/ppc64/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/Gstep.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/ppc64/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/ppc64/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lglobal.c b/src/coreclr/pal/src/libunwind/src/ppc64/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Linit.c b/src/coreclr/pal/src/libunwind/src/ppc64/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Linit.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/ppc64/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Lregs.c b/src/coreclr/pal/src/libunwind/src/ppc64/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Lregs.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Lresume.c b/src/coreclr/pal/src/libunwind/src/ppc64/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Lresume.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc32/Lstep.c b/src/coreclr/pal/src/libunwind/src/ppc64/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc32/Lstep.c rename to src/coreclr/pal/src/libunwind/src/ppc64/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/get_func_addr.c b/src/coreclr/pal/src/libunwind/src/ppc64/get_func_addr.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/get_func_addr.c rename to src/coreclr/pal/src/libunwind/src/ppc64/get_func_addr.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/init.h b/src/coreclr/pal/src/libunwind/src/ppc64/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/init.h rename to src/coreclr/pal/src/libunwind/src/ppc64/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/ppc64/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/ppc64/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/regname.c b/src/coreclr/pal/src/libunwind/src/ppc64/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/regname.c rename to src/coreclr/pal/src/libunwind/src/ppc64/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/setcontext.S b/src/coreclr/pal/src/libunwind/src/ppc64/setcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/setcontext.S rename to src/coreclr/pal/src/libunwind/src/ppc64/setcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/ucontext_i.h b/src/coreclr/pal/src/libunwind/src/ppc64/ucontext_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/ucontext_i.h rename to src/coreclr/pal/src/libunwind/src/ppc64/ucontext_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc64/unwind_i.h b/src/coreclr/pal/src/libunwind/src/ppc64/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc64/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/ppc64/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_access_fpreg.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_access_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_access_fpreg.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_access_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_access_mem.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_access_mem.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_access_mem.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_access_mem.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_access_reg.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_access_reg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_access_reg.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_access_reg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_accessors.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_accessors.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_accessors.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_accessors.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_create.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_create.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_create.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_create.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_destroy.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_destroy.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_destroy.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_destroy.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_elf.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_elf.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_elf.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_elf.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_find_proc_info.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_find_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_find_proc_info.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_find_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_get_dyn_info_list_addr.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_get_dyn_info_list_addr.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_get_dyn_info_list_addr.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_get_dyn_info_list_addr.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_get_proc_name.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_get_proc_name.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_get_proc_name.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_get_proc_name.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_internal.h b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_internal.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_internal.h rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_internal.h diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_put_unwind_info.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_put_unwind_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_put_unwind_info.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_put_unwind_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_reg_offset.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_reg_offset.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_reg_offset.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_reg_offset.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_resume.c b/src/coreclr/pal/src/libunwind/src/ptrace/_UPT_resume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/_UPT_resume.c rename to src/coreclr/pal/src/libunwind/src/ptrace/_UPT_resume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ptrace/libunwind-ptrace.pc.in b/src/coreclr/pal/src/libunwind/src/ptrace/libunwind-ptrace.pc.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ptrace/libunwind-ptrace.pc.in rename to src/coreclr/pal/src/libunwind/src/ptrace/libunwind-ptrace.pc.in diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/s390x/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/s390x/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/s390x/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/s390x/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gglobal.c b/src/coreclr/pal/src/libunwind/src/s390x/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Ginit.c b/src/coreclr/pal/src/libunwind/src/s390x/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Ginit.c rename to src/coreclr/pal/src/libunwind/src/s390x/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/s390x/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/s390x/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/s390x/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/s390x/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/s390x/Gis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ppc/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/s390x/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ppc/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/s390x/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gregs.c b/src/coreclr/pal/src/libunwind/src/s390x/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gregs.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gresume.c b/src/coreclr/pal/src/libunwind/src/s390x/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gresume.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gstep.c b/src/coreclr/pal/src/libunwind/src/s390x/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gstep.c rename to src/coreclr/pal/src/libunwind/src/s390x/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/s390x/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/s390x/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/s390x/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/s390x/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Lglobal.c b/src/coreclr/pal/src/libunwind/src/s390x/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Linit.c b/src/coreclr/pal/src/libunwind/src/s390x/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Linit.c rename to src/coreclr/pal/src/libunwind/src/s390x/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Linit_local.c b/src/coreclr/pal/src/libunwind/src/s390x/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/s390x/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/s390x/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/s390x/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/s390x/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/s390x/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lregs.c b/src/coreclr/pal/src/libunwind/src/s390x/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lregs.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lresume.c b/src/coreclr/pal/src/libunwind/src/s390x/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lresume.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Lstep.c b/src/coreclr/pal/src/libunwind/src/s390x/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Lstep.c rename to src/coreclr/pal/src/libunwind/src/s390x/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/getcontext.S b/src/coreclr/pal/src/libunwind/src/s390x/getcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/getcontext.S rename to src/coreclr/pal/src/libunwind/src/s390x/getcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/init.h b/src/coreclr/pal/src/libunwind/src/s390x/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/init.h rename to src/coreclr/pal/src/libunwind/src/s390x/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/s390x/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/s390x/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/regname.c b/src/coreclr/pal/src/libunwind/src/s390x/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/regname.c rename to src/coreclr/pal/src/libunwind/src/s390x/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/setcontext.S b/src/coreclr/pal/src/libunwind/src/s390x/setcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/setcontext.S rename to src/coreclr/pal/src/libunwind/src/s390x/setcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/unwind_i.h b/src/coreclr/pal/src/libunwind/src/s390x/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/s390x/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/setjmp/libunwind-setjmp.pc.in b/src/coreclr/pal/src/libunwind/src/setjmp/libunwind-setjmp.pc.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/setjmp/libunwind-setjmp.pc.in rename to src/coreclr/pal/src/libunwind/src/setjmp/libunwind-setjmp.pc.in diff --git a/src/coreclr/src/pal/src/libunwind/src/setjmp/longjmp.c b/src/coreclr/pal/src/libunwind/src/setjmp/longjmp.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/setjmp/longjmp.c rename to src/coreclr/pal/src/libunwind/src/setjmp/longjmp.c diff --git a/src/coreclr/src/pal/src/libunwind/src/setjmp/setjmp.c b/src/coreclr/pal/src/libunwind/src/setjmp/setjmp.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/setjmp/setjmp.c rename to src/coreclr/pal/src/libunwind/src/setjmp/setjmp.c diff --git a/src/coreclr/src/pal/src/libunwind/src/setjmp/setjmp_i.h b/src/coreclr/pal/src/libunwind/src/setjmp/setjmp_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/setjmp/setjmp_i.h rename to src/coreclr/pal/src/libunwind/src/setjmp/setjmp_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/setjmp/siglongjmp.c b/src/coreclr/pal/src/libunwind/src/setjmp/siglongjmp.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/setjmp/siglongjmp.c rename to src/coreclr/pal/src/libunwind/src/setjmp/siglongjmp.c diff --git a/src/coreclr/src/pal/src/libunwind/src/setjmp/sigsetjmp.c b/src/coreclr/pal/src/libunwind/src/setjmp/sigsetjmp.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/setjmp/sigsetjmp.c rename to src/coreclr/pal/src/libunwind/src/setjmp/sigsetjmp.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/sh/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/sh/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/sh/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/sh/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/sh/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/sh/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/sh/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/sh/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gglobal.c b/src/coreclr/pal/src/libunwind/src/sh/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/sh/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Ginit.c b/src/coreclr/pal/src/libunwind/src/sh/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Ginit.c rename to src/coreclr/pal/src/libunwind/src/sh/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/sh/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/sh/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/sh/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/sh/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/sh/Gis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/sh/Gis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/sh/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/sh/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gregs.c b/src/coreclr/pal/src/libunwind/src/sh/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gregs.c rename to src/coreclr/pal/src/libunwind/src/sh/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gresume.c b/src/coreclr/pal/src/libunwind/src/sh/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gresume.c rename to src/coreclr/pal/src/libunwind/src/sh/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/Gstep.c b/src/coreclr/pal/src/libunwind/src/sh/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/Gstep.c rename to src/coreclr/pal/src/libunwind/src/sh/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/sh/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/sh/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/sh/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/sh/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/sh/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/sh/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/sh/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/sh/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lglobal.c b/src/coreclr/pal/src/libunwind/src/sh/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/sh/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Linit.c b/src/coreclr/pal/src/libunwind/src/sh/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Linit.c rename to src/coreclr/pal/src/libunwind/src/sh/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Linit_local.c b/src/coreclr/pal/src/libunwind/src/sh/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/sh/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/sh/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/sh/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/sh/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/sh/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/sh/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/sh/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lregs.c b/src/coreclr/pal/src/libunwind/src/sh/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lregs.c rename to src/coreclr/pal/src/libunwind/src/sh/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lresume.c b/src/coreclr/pal/src/libunwind/src/sh/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lresume.c rename to src/coreclr/pal/src/libunwind/src/sh/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/ia64/Lstep.c b/src/coreclr/pal/src/libunwind/src/sh/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/ia64/Lstep.c rename to src/coreclr/pal/src/libunwind/src/sh/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/gen-offsets.c b/src/coreclr/pal/src/libunwind/src/sh/gen-offsets.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/gen-offsets.c rename to src/coreclr/pal/src/libunwind/src/sh/gen-offsets.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/init.h b/src/coreclr/pal/src/libunwind/src/sh/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/init.h rename to src/coreclr/pal/src/libunwind/src/sh/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/sh/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/sh/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/offsets.h b/src/coreclr/pal/src/libunwind/src/sh/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/offsets.h rename to src/coreclr/pal/src/libunwind/src/sh/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/regname.c b/src/coreclr/pal/src/libunwind/src/sh/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/regname.c rename to src/coreclr/pal/src/libunwind/src/sh/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/sh/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/sh/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/sh/unwind_i.h b/src/coreclr/pal/src/libunwind/src/sh/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/sh/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/sh/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gglobal.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Ginit.c b/src/coreclr/pal/src/libunwind/src/tilegx/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Ginit.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/tilegx/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/tilegx/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/tilegx/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gregs.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gregs.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gresume.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gresume.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/Gstep.c b/src/coreclr/pal/src/libunwind/src/tilegx/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/Gstep.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lglobal.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Linit.c b/src/coreclr/pal/src/libunwind/src/tilegx/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Linit.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Linit_local.c b/src/coreclr/pal/src/libunwind/src/tilegx/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/tilegx/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lis_signal_frame.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lis_signal_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lis_signal_frame.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lis_signal_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lregs.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lregs.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lresume.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lresume.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/hppa/Lstep.c b/src/coreclr/pal/src/libunwind/src/tilegx/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/hppa/Lstep.c rename to src/coreclr/pal/src/libunwind/src/tilegx/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/mips/elfxx.c b/src/coreclr/pal/src/libunwind/src/tilegx/elfxx.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/mips/elfxx.c rename to src/coreclr/pal/src/libunwind/src/tilegx/elfxx.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/gen-offsets.c b/src/coreclr/pal/src/libunwind/src/tilegx/gen-offsets.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/gen-offsets.c rename to src/coreclr/pal/src/libunwind/src/tilegx/gen-offsets.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/getcontext.S b/src/coreclr/pal/src/libunwind/src/tilegx/getcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/getcontext.S rename to src/coreclr/pal/src/libunwind/src/tilegx/getcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/init.h b/src/coreclr/pal/src/libunwind/src/tilegx/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/init.h rename to src/coreclr/pal/src/libunwind/src/tilegx/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/tilegx/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/tilegx/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/offsets.h b/src/coreclr/pal/src/libunwind/src/tilegx/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/offsets.h rename to src/coreclr/pal/src/libunwind/src/tilegx/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/regname.c b/src/coreclr/pal/src/libunwind/src/tilegx/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/regname.c rename to src/coreclr/pal/src/libunwind/src/tilegx/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/tilegx/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/tilegx/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/tilegx/unwind_i.h b/src/coreclr/pal/src/libunwind/src/tilegx/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/tilegx/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/tilegx/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/Backtrace.c b/src/coreclr/pal/src/libunwind/src/unwind/Backtrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/Backtrace.c rename to src/coreclr/pal/src/libunwind/src/unwind/Backtrace.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/DeleteException.c b/src/coreclr/pal/src/libunwind/src/unwind/DeleteException.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/DeleteException.c rename to src/coreclr/pal/src/libunwind/src/unwind/DeleteException.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/FindEnclosingFunction.c b/src/coreclr/pal/src/libunwind/src/unwind/FindEnclosingFunction.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/FindEnclosingFunction.c rename to src/coreclr/pal/src/libunwind/src/unwind/FindEnclosingFunction.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/ForcedUnwind.c b/src/coreclr/pal/src/libunwind/src/unwind/ForcedUnwind.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/ForcedUnwind.c rename to src/coreclr/pal/src/libunwind/src/unwind/ForcedUnwind.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetBSP.c b/src/coreclr/pal/src/libunwind/src/unwind/GetBSP.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetBSP.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetBSP.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetCFA.c b/src/coreclr/pal/src/libunwind/src/unwind/GetCFA.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetCFA.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetCFA.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetDataRelBase.c b/src/coreclr/pal/src/libunwind/src/unwind/GetDataRelBase.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetDataRelBase.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetDataRelBase.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetGR.c b/src/coreclr/pal/src/libunwind/src/unwind/GetGR.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetGR.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetGR.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetIP.c b/src/coreclr/pal/src/libunwind/src/unwind/GetIP.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetIP.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetIP.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetIPInfo.c b/src/coreclr/pal/src/libunwind/src/unwind/GetIPInfo.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetIPInfo.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetIPInfo.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetLanguageSpecificData.c b/src/coreclr/pal/src/libunwind/src/unwind/GetLanguageSpecificData.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetLanguageSpecificData.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetLanguageSpecificData.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetRegionStart.c b/src/coreclr/pal/src/libunwind/src/unwind/GetRegionStart.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetRegionStart.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetRegionStart.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/GetTextRelBase.c b/src/coreclr/pal/src/libunwind/src/unwind/GetTextRelBase.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/GetTextRelBase.c rename to src/coreclr/pal/src/libunwind/src/unwind/GetTextRelBase.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/RaiseException.c b/src/coreclr/pal/src/libunwind/src/unwind/RaiseException.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/RaiseException.c rename to src/coreclr/pal/src/libunwind/src/unwind/RaiseException.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/Resume.c b/src/coreclr/pal/src/libunwind/src/unwind/Resume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/Resume.c rename to src/coreclr/pal/src/libunwind/src/unwind/Resume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/Resume_or_Rethrow.c b/src/coreclr/pal/src/libunwind/src/unwind/Resume_or_Rethrow.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/Resume_or_Rethrow.c rename to src/coreclr/pal/src/libunwind/src/unwind/Resume_or_Rethrow.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/SetGR.c b/src/coreclr/pal/src/libunwind/src/unwind/SetGR.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/SetGR.c rename to src/coreclr/pal/src/libunwind/src/unwind/SetGR.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/SetIP.c b/src/coreclr/pal/src/libunwind/src/unwind/SetIP.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/SetIP.c rename to src/coreclr/pal/src/libunwind/src/unwind/SetIP.c diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/libunwind.pc.in b/src/coreclr/pal/src/libunwind/src/unwind/libunwind.pc.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/libunwind.pc.in rename to src/coreclr/pal/src/libunwind/src/unwind/libunwind.pc.in diff --git a/src/coreclr/src/pal/src/libunwind/src/unwind/unwind-internal.h b/src/coreclr/pal/src/libunwind/src/unwind/unwind-internal.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/unwind/unwind-internal.h rename to src/coreclr/pal/src/libunwind/src/unwind/unwind-internal.h diff --git a/src/coreclr/src/pal/src/libunwind/src/win/pal-single-threaded.c b/src/coreclr/pal/src/libunwind/src/win/pal-single-threaded.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/win/pal-single-threaded.c rename to src/coreclr/pal/src/libunwind/src/win/pal-single-threaded.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/x86/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/x86/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/x86/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/x86/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/x86/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/x86/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/x86/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/x86/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gglobal.c b/src/coreclr/pal/src/libunwind/src/x86/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/x86/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Ginit.c b/src/coreclr/pal/src/libunwind/src/x86/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Ginit.c rename to src/coreclr/pal/src/libunwind/src/x86/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/x86/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/x86/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/x86/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/x86/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gos-freebsd.c b/src/coreclr/pal/src/libunwind/src/x86/Gos-freebsd.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gos-freebsd.c rename to src/coreclr/pal/src/libunwind/src/x86/Gos-freebsd.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gos-linux.c b/src/coreclr/pal/src/libunwind/src/x86/Gos-linux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gos-linux.c rename to src/coreclr/pal/src/libunwind/src/x86/Gos-linux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/x86/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/x86/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gregs.c b/src/coreclr/pal/src/libunwind/src/x86/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gregs.c rename to src/coreclr/pal/src/libunwind/src/x86/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gresume.c b/src/coreclr/pal/src/libunwind/src/x86/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gresume.c rename to src/coreclr/pal/src/libunwind/src/x86/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Gstep.c b/src/coreclr/pal/src/libunwind/src/x86/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Gstep.c rename to src/coreclr/pal/src/libunwind/src/x86/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/x86/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/x86/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/x86/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/x86/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/x86/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/x86/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/x86/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/x86/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lglobal.c b/src/coreclr/pal/src/libunwind/src/x86/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/x86/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Linit.c b/src/coreclr/pal/src/libunwind/src/x86/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Linit.c rename to src/coreclr/pal/src/libunwind/src/x86/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Linit_local.c b/src/coreclr/pal/src/libunwind/src/x86/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/x86/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/x86/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/x86/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Los-freebsd.c b/src/coreclr/pal/src/libunwind/src/x86/Los-freebsd.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Los-freebsd.c rename to src/coreclr/pal/src/libunwind/src/x86/Los-freebsd.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/Los-linux.c b/src/coreclr/pal/src/libunwind/src/x86/Los-linux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/Los-linux.c rename to src/coreclr/pal/src/libunwind/src/x86/Los-linux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/x86/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/x86/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lregs.c b/src/coreclr/pal/src/libunwind/src/x86/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lregs.c rename to src/coreclr/pal/src/libunwind/src/x86/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lresume.c b/src/coreclr/pal/src/libunwind/src/x86/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lresume.c rename to src/coreclr/pal/src/libunwind/src/x86/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Lstep.c b/src/coreclr/pal/src/libunwind/src/x86/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Lstep.c rename to src/coreclr/pal/src/libunwind/src/x86/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/getcontext-freebsd.S b/src/coreclr/pal/src/libunwind/src/x86/getcontext-freebsd.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/getcontext-freebsd.S rename to src/coreclr/pal/src/libunwind/src/x86/getcontext-freebsd.S diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/getcontext-linux.S b/src/coreclr/pal/src/libunwind/src/x86/getcontext-linux.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/getcontext-linux.S rename to src/coreclr/pal/src/libunwind/src/x86/getcontext-linux.S diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/init.h b/src/coreclr/pal/src/libunwind/src/x86/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/init.h rename to src/coreclr/pal/src/libunwind/src/x86/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/x86/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/x86/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/longjmp.S b/src/coreclr/pal/src/libunwind/src/x86/longjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/longjmp.S rename to src/coreclr/pal/src/libunwind/src/x86/longjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/offsets.h b/src/coreclr/pal/src/libunwind/src/x86/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/offsets.h rename to src/coreclr/pal/src/libunwind/src/x86/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/regname.c b/src/coreclr/pal/src/libunwind/src/x86/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/regname.c rename to src/coreclr/pal/src/libunwind/src/x86/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/x86/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/x86/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/x86/unwind_i.h b/src/coreclr/pal/src/libunwind/src/x86/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/x86/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Gapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Gapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Gget_proc_info.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Gget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gget_save_loc.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gglobal.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gglobal.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Ginit.c b/src/coreclr/pal/src/libunwind/src/x86_64/Ginit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Ginit.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Ginit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Ginit_local.c b/src/coreclr/pal/src/libunwind/src/x86_64/Ginit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Ginit_local.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Ginit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Ginit_remote.c b/src/coreclr/pal/src/libunwind/src/x86_64/Ginit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Ginit_remote.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Ginit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gos-freebsd.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gos-freebsd.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gos-freebsd.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gos-freebsd.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gos-linux.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gos-linux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gos-linux.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gos-linux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gos-solaris.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gos-solaris.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gos-solaris.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gos-solaris.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Greg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/x86_64/Greg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Greg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Greg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gregs.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gregs.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gresume.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gresume.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gstash_frame.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gstash_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gstash_frame.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gstash_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gstep.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gstep.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Gtrace.c b/src/coreclr/pal/src/libunwind/src/x86_64/Gtrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Gtrace.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Gtrace.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lapply_reg_state.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lapply_reg_state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lapply_reg_state.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lapply_reg_state.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lcreate_addr_space.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lcreate_addr_space.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lcreate_addr_space.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lcreate_addr_space.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lget_proc_info.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lget_proc_info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lget_proc_info.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lget_proc_info.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lget_save_loc.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lget_save_loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lget_save_loc.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lget_save_loc.c diff --git a/src/coreclr/src/pal/src/libunwind/src/s390x/Lglobal.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lglobal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/s390x/Lglobal.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lglobal.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Linit.c b/src/coreclr/pal/src/libunwind/src/x86_64/Linit.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Linit.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Linit.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Linit_local.c b/src/coreclr/pal/src/libunwind/src/x86_64/Linit_local.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Linit_local.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Linit_local.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Linit_remote.c b/src/coreclr/pal/src/libunwind/src/x86_64/Linit_remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Linit_remote.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Linit_remote.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Los-freebsd.c b/src/coreclr/pal/src/libunwind/src/x86_64/Los-freebsd.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Los-freebsd.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Los-freebsd.c diff --git a/src/coreclr/src/pal/src/libunwind/src/arm/Los-linux.c b/src/coreclr/pal/src/libunwind/src/x86_64/Los-linux.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/arm/Los-linux.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Los-linux.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/Los-solaris.c b/src/coreclr/pal/src/libunwind/src/x86_64/Los-solaris.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/Los-solaris.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Los-solaris.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lreg_states_iterate.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lreg_states_iterate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lreg_states_iterate.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lreg_states_iterate.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lregs.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lregs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lregs.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lregs.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lresume.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lresume.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lresume.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lresume.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lstash_frame.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lstash_frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lstash_frame.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lstash_frame.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Lstep.c b/src/coreclr/pal/src/libunwind/src/x86_64/Lstep.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Lstep.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Lstep.c diff --git a/src/coreclr/src/pal/src/libunwind/src/aarch64/Ltrace.c b/src/coreclr/pal/src/libunwind/src/x86_64/Ltrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/aarch64/Ltrace.c rename to src/coreclr/pal/src/libunwind/src/x86_64/Ltrace.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/getcontext.S b/src/coreclr/pal/src/libunwind/src/x86_64/getcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/getcontext.S rename to src/coreclr/pal/src/libunwind/src/x86_64/getcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/init.h b/src/coreclr/pal/src/libunwind/src/x86_64/init.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/init.h rename to src/coreclr/pal/src/libunwind/src/x86_64/init.h diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/is_fpreg.c b/src/coreclr/pal/src/libunwind/src/x86_64/is_fpreg.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/is_fpreg.c rename to src/coreclr/pal/src/libunwind/src/x86_64/is_fpreg.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/longjmp.S b/src/coreclr/pal/src/libunwind/src/x86_64/longjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/longjmp.S rename to src/coreclr/pal/src/libunwind/src/x86_64/longjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/offsets.h b/src/coreclr/pal/src/libunwind/src/x86_64/offsets.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/offsets.h rename to src/coreclr/pal/src/libunwind/src/x86_64/offsets.h diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/regname.c b/src/coreclr/pal/src/libunwind/src/x86_64/regname.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/regname.c rename to src/coreclr/pal/src/libunwind/src/x86_64/regname.c diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/setcontext.S b/src/coreclr/pal/src/libunwind/src/x86_64/setcontext.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/setcontext.S rename to src/coreclr/pal/src/libunwind/src/x86_64/setcontext.S diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/siglongjmp.S b/src/coreclr/pal/src/libunwind/src/x86_64/siglongjmp.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/siglongjmp.S rename to src/coreclr/pal/src/libunwind/src/x86_64/siglongjmp.S diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/ucontext_i.h b/src/coreclr/pal/src/libunwind/src/x86_64/ucontext_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/ucontext_i.h rename to src/coreclr/pal/src/libunwind/src/x86_64/ucontext_i.h diff --git a/src/coreclr/src/pal/src/libunwind/src/x86_64/unwind_i.h b/src/coreclr/pal/src/libunwind/src/x86_64/unwind_i.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/src/x86_64/unwind_i.h rename to src/coreclr/pal/src/libunwind/src/x86_64/unwind_i.h diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gia64-test-nat.c b/src/coreclr/pal/src/libunwind/tests/Gia64-test-nat.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gia64-test-nat.c rename to src/coreclr/pal/src/libunwind/tests/Gia64-test-nat.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gia64-test-rbs.c b/src/coreclr/pal/src/libunwind/tests/Gia64-test-rbs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gia64-test-rbs.c rename to src/coreclr/pal/src/libunwind/tests/Gia64-test-rbs.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gia64-test-readonly.c b/src/coreclr/pal/src/libunwind/tests/Gia64-test-readonly.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gia64-test-readonly.c rename to src/coreclr/pal/src/libunwind/tests/Gia64-test-readonly.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gia64-test-stack.c b/src/coreclr/pal/src/libunwind/tests/Gia64-test-stack.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gia64-test-stack.c rename to src/coreclr/pal/src/libunwind/tests/Gia64-test-stack.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gperf-simple.c b/src/coreclr/pal/src/libunwind/tests/Gperf-simple.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gperf-simple.c rename to src/coreclr/pal/src/libunwind/tests/Gperf-simple.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gperf-trace.c b/src/coreclr/pal/src/libunwind/tests/Gperf-trace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gperf-trace.c rename to src/coreclr/pal/src/libunwind/tests/Gperf-trace.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-bt.c b/src/coreclr/pal/src/libunwind/tests/Gtest-bt.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-bt.c rename to src/coreclr/pal/src/libunwind/tests/Gtest-bt.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-concurrent.c b/src/coreclr/pal/src/libunwind/tests/Gtest-concurrent.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-concurrent.c rename to src/coreclr/pal/src/libunwind/tests/Gtest-concurrent.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-dyn1.c b/src/coreclr/pal/src/libunwind/tests/Gtest-dyn1.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-dyn1.c rename to src/coreclr/pal/src/libunwind/tests/Gtest-dyn1.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-exc.c b/src/coreclr/pal/src/libunwind/tests/Gtest-exc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-exc.c rename to src/coreclr/pal/src/libunwind/tests/Gtest-exc.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-init.cxx b/src/coreclr/pal/src/libunwind/tests/Gtest-init.cxx similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-init.cxx rename to src/coreclr/pal/src/libunwind/tests/Gtest-init.cxx diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-nomalloc.c b/src/coreclr/pal/src/libunwind/tests/Gtest-nomalloc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-nomalloc.c rename to src/coreclr/pal/src/libunwind/tests/Gtest-nomalloc.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-resume-sig-rt.c b/src/coreclr/pal/src/libunwind/tests/Gtest-resume-sig-rt.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-resume-sig-rt.c rename to src/coreclr/pal/src/libunwind/tests/Gtest-resume-sig-rt.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-resume-sig.c b/src/coreclr/pal/src/libunwind/tests/Gtest-resume-sig.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-resume-sig.c rename to src/coreclr/pal/src/libunwind/tests/Gtest-resume-sig.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gtest-trace.c b/src/coreclr/pal/src/libunwind/tests/Gtest-trace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gtest-trace.c rename to src/coreclr/pal/src/libunwind/tests/Gtest-trace.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Gx64-test-dwarf-expressions.c b/src/coreclr/pal/src/libunwind/tests/Gx64-test-dwarf-expressions.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Gx64-test-dwarf-expressions.c rename to src/coreclr/pal/src/libunwind/tests/Gx64-test-dwarf-expressions.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Lia64-test-nat.c b/src/coreclr/pal/src/libunwind/tests/Lia64-test-nat.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Lia64-test-nat.c rename to src/coreclr/pal/src/libunwind/tests/Lia64-test-nat.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Lia64-test-rbs.c b/src/coreclr/pal/src/libunwind/tests/Lia64-test-rbs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Lia64-test-rbs.c rename to src/coreclr/pal/src/libunwind/tests/Lia64-test-rbs.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Lia64-test-readonly.c b/src/coreclr/pal/src/libunwind/tests/Lia64-test-readonly.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Lia64-test-readonly.c rename to src/coreclr/pal/src/libunwind/tests/Lia64-test-readonly.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Lia64-test-stack.c b/src/coreclr/pal/src/libunwind/tests/Lia64-test-stack.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Lia64-test-stack.c rename to src/coreclr/pal/src/libunwind/tests/Lia64-test-stack.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Lperf-simple.c b/src/coreclr/pal/src/libunwind/tests/Lperf-simple.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Lperf-simple.c rename to src/coreclr/pal/src/libunwind/tests/Lperf-simple.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Lperf-trace.c b/src/coreclr/pal/src/libunwind/tests/Lperf-trace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Lperf-trace.c rename to src/coreclr/pal/src/libunwind/tests/Lperf-trace.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Lrs-race.c b/src/coreclr/pal/src/libunwind/tests/Lrs-race.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Lrs-race.c rename to src/coreclr/pal/src/libunwind/tests/Lrs-race.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-bt.c b/src/coreclr/pal/src/libunwind/tests/Ltest-bt.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-bt.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-bt.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-concurrent.c b/src/coreclr/pal/src/libunwind/tests/Ltest-concurrent.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-concurrent.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-concurrent.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-cxx-exceptions.cxx b/src/coreclr/pal/src/libunwind/tests/Ltest-cxx-exceptions.cxx similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-cxx-exceptions.cxx rename to src/coreclr/pal/src/libunwind/tests/Ltest-cxx-exceptions.cxx diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-dyn1.c b/src/coreclr/pal/src/libunwind/tests/Ltest-dyn1.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-dyn1.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-dyn1.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-exc.c b/src/coreclr/pal/src/libunwind/tests/Ltest-exc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-exc.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-exc.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-init-local-signal-lib.c b/src/coreclr/pal/src/libunwind/tests/Ltest-init-local-signal-lib.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-init-local-signal-lib.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-init-local-signal-lib.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-init-local-signal.c b/src/coreclr/pal/src/libunwind/tests/Ltest-init-local-signal.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-init-local-signal.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-init-local-signal.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-init.cxx b/src/coreclr/pal/src/libunwind/tests/Ltest-init.cxx similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-init.cxx rename to src/coreclr/pal/src/libunwind/tests/Ltest-init.cxx diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-mem-validate.c b/src/coreclr/pal/src/libunwind/tests/Ltest-mem-validate.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-mem-validate.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-mem-validate.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-nocalloc.c b/src/coreclr/pal/src/libunwind/tests/Ltest-nocalloc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-nocalloc.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-nocalloc.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-nomalloc.c b/src/coreclr/pal/src/libunwind/tests/Ltest-nomalloc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-nomalloc.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-nomalloc.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-resume-sig-rt.c b/src/coreclr/pal/src/libunwind/tests/Ltest-resume-sig-rt.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-resume-sig-rt.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-resume-sig-rt.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-resume-sig.c b/src/coreclr/pal/src/libunwind/tests/Ltest-resume-sig.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-resume-sig.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-resume-sig.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-trace.c b/src/coreclr/pal/src/libunwind/tests/Ltest-trace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-trace.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-trace.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Ltest-varargs.c b/src/coreclr/pal/src/libunwind/tests/Ltest-varargs.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Ltest-varargs.c rename to src/coreclr/pal/src/libunwind/tests/Ltest-varargs.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Lx64-test-dwarf-expressions.c b/src/coreclr/pal/src/libunwind/tests/Lx64-test-dwarf-expressions.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Lx64-test-dwarf-expressions.c rename to src/coreclr/pal/src/libunwind/tests/Lx64-test-dwarf-expressions.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/Makefile.am b/src/coreclr/pal/src/libunwind/tests/Makefile.am similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/Makefile.am rename to src/coreclr/pal/src/libunwind/tests/Makefile.am diff --git a/src/coreclr/src/pal/src/libunwind/tests/check-namespace.sh.in b/src/coreclr/pal/src/libunwind/tests/check-namespace.sh.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/check-namespace.sh.in rename to src/coreclr/pal/src/libunwind/tests/check-namespace.sh.in diff --git a/src/coreclr/src/pal/src/libunwind/tests/crasher.c b/src/coreclr/pal/src/libunwind/tests/crasher.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/crasher.c rename to src/coreclr/pal/src/libunwind/tests/crasher.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/flush-cache.S b/src/coreclr/pal/src/libunwind/tests/flush-cache.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/flush-cache.S rename to src/coreclr/pal/src/libunwind/tests/flush-cache.S diff --git a/src/coreclr/src/pal/src/libunwind/tests/flush-cache.h b/src/coreclr/pal/src/libunwind/tests/flush-cache.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/flush-cache.h rename to src/coreclr/pal/src/libunwind/tests/flush-cache.h diff --git a/src/coreclr/src/pal/src/libunwind/tests/forker.c b/src/coreclr/pal/src/libunwind/tests/forker.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/forker.c rename to src/coreclr/pal/src/libunwind/tests/forker.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-dyn-asm.S b/src/coreclr/pal/src/libunwind/tests/ia64-dyn-asm.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-dyn-asm.S rename to src/coreclr/pal/src/libunwind/tests/ia64-dyn-asm.S diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-dyn1.c b/src/coreclr/pal/src/libunwind/tests/ia64-test-dyn1.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-dyn1.c rename to src/coreclr/pal/src/libunwind/tests/ia64-test-dyn1.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-nat-asm.S b/src/coreclr/pal/src/libunwind/tests/ia64-test-nat-asm.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-nat-asm.S rename to src/coreclr/pal/src/libunwind/tests/ia64-test-nat-asm.S diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-rbs-asm.S b/src/coreclr/pal/src/libunwind/tests/ia64-test-rbs-asm.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-rbs-asm.S rename to src/coreclr/pal/src/libunwind/tests/ia64-test-rbs-asm.S diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-rbs.h b/src/coreclr/pal/src/libunwind/tests/ia64-test-rbs.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-rbs.h rename to src/coreclr/pal/src/libunwind/tests/ia64-test-rbs.h diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-readonly-asm.S b/src/coreclr/pal/src/libunwind/tests/ia64-test-readonly-asm.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-readonly-asm.S rename to src/coreclr/pal/src/libunwind/tests/ia64-test-readonly-asm.S diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-setjmp.c b/src/coreclr/pal/src/libunwind/tests/ia64-test-setjmp.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-setjmp.c rename to src/coreclr/pal/src/libunwind/tests/ia64-test-setjmp.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-sig.c b/src/coreclr/pal/src/libunwind/tests/ia64-test-sig.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-sig.c rename to src/coreclr/pal/src/libunwind/tests/ia64-test-sig.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-stack-asm.S b/src/coreclr/pal/src/libunwind/tests/ia64-test-stack-asm.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-stack-asm.S rename to src/coreclr/pal/src/libunwind/tests/ia64-test-stack-asm.S diff --git a/src/coreclr/src/pal/src/libunwind/tests/ia64-test-stack.h b/src/coreclr/pal/src/libunwind/tests/ia64-test-stack.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ia64-test-stack.h rename to src/coreclr/pal/src/libunwind/tests/ia64-test-stack.h diff --git a/src/coreclr/src/pal/src/libunwind/tests/ident.c b/src/coreclr/pal/src/libunwind/tests/ident.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ident.c rename to src/coreclr/pal/src/libunwind/tests/ident.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/mapper.c b/src/coreclr/pal/src/libunwind/tests/mapper.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/mapper.c rename to src/coreclr/pal/src/libunwind/tests/mapper.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/perf-startup b/src/coreclr/pal/src/libunwind/tests/perf-startup similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/perf-startup rename to src/coreclr/pal/src/libunwind/tests/perf-startup diff --git a/src/coreclr/src/pal/src/libunwind/tests/ppc64-test-altivec-utils.c b/src/coreclr/pal/src/libunwind/tests/ppc64-test-altivec-utils.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ppc64-test-altivec-utils.c rename to src/coreclr/pal/src/libunwind/tests/ppc64-test-altivec-utils.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/ppc64-test-altivec.c b/src/coreclr/pal/src/libunwind/tests/ppc64-test-altivec.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/ppc64-test-altivec.c rename to src/coreclr/pal/src/libunwind/tests/ppc64-test-altivec.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/run-check-namespace b/src/coreclr/pal/src/libunwind/tests/run-check-namespace similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/run-check-namespace rename to src/coreclr/pal/src/libunwind/tests/run-check-namespace diff --git a/src/coreclr/src/pal/src/libunwind/tests/run-coredump-unwind b/src/coreclr/pal/src/libunwind/tests/run-coredump-unwind similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/run-coredump-unwind rename to src/coreclr/pal/src/libunwind/tests/run-coredump-unwind diff --git a/src/coreclr/src/pal/src/libunwind/tests/run-coredump-unwind-mdi b/src/coreclr/pal/src/libunwind/tests/run-coredump-unwind-mdi similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/run-coredump-unwind-mdi rename to src/coreclr/pal/src/libunwind/tests/run-coredump-unwind-mdi diff --git a/src/coreclr/src/pal/src/libunwind/tests/run-ia64-test-dyn1 b/src/coreclr/pal/src/libunwind/tests/run-ia64-test-dyn1 similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/run-ia64-test-dyn1 rename to src/coreclr/pal/src/libunwind/tests/run-ia64-test-dyn1 diff --git a/src/coreclr/src/pal/src/libunwind/tests/run-ptrace-mapper b/src/coreclr/pal/src/libunwind/tests/run-ptrace-mapper similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/run-ptrace-mapper rename to src/coreclr/pal/src/libunwind/tests/run-ptrace-mapper diff --git a/src/coreclr/src/pal/src/libunwind/tests/run-ptrace-misc b/src/coreclr/pal/src/libunwind/tests/run-ptrace-misc similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/run-ptrace-misc rename to src/coreclr/pal/src/libunwind/tests/run-ptrace-misc diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-async-sig.c b/src/coreclr/pal/src/libunwind/tests/test-async-sig.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-async-sig.c rename to src/coreclr/pal/src/libunwind/tests/test-async-sig.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-coredump-unwind.c b/src/coreclr/pal/src/libunwind/tests/test-coredump-unwind.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-coredump-unwind.c rename to src/coreclr/pal/src/libunwind/tests/test-coredump-unwind.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-flush-cache.c b/src/coreclr/pal/src/libunwind/tests/test-flush-cache.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-flush-cache.c rename to src/coreclr/pal/src/libunwind/tests/test-flush-cache.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-init-remote.c b/src/coreclr/pal/src/libunwind/tests/test-init-remote.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-init-remote.c rename to src/coreclr/pal/src/libunwind/tests/test-init-remote.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-mem.c b/src/coreclr/pal/src/libunwind/tests/test-mem.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-mem.c rename to src/coreclr/pal/src/libunwind/tests/test-mem.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-proc-info.c b/src/coreclr/pal/src/libunwind/tests/test-proc-info.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-proc-info.c rename to src/coreclr/pal/src/libunwind/tests/test-proc-info.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-ptrace-misc.c b/src/coreclr/pal/src/libunwind/tests/test-ptrace-misc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-ptrace-misc.c rename to src/coreclr/pal/src/libunwind/tests/test-ptrace-misc.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-ptrace.c b/src/coreclr/pal/src/libunwind/tests/test-ptrace.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-ptrace.c rename to src/coreclr/pal/src/libunwind/tests/test-ptrace.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-reg-state.c b/src/coreclr/pal/src/libunwind/tests/test-reg-state.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-reg-state.c rename to src/coreclr/pal/src/libunwind/tests/test-reg-state.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-setjmp.c b/src/coreclr/pal/src/libunwind/tests/test-setjmp.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-setjmp.c rename to src/coreclr/pal/src/libunwind/tests/test-setjmp.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-static-link-gen.c b/src/coreclr/pal/src/libunwind/tests/test-static-link-gen.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-static-link-gen.c rename to src/coreclr/pal/src/libunwind/tests/test-static-link-gen.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-static-link-loc.c b/src/coreclr/pal/src/libunwind/tests/test-static-link-loc.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-static-link-loc.c rename to src/coreclr/pal/src/libunwind/tests/test-static-link-loc.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/test-strerror.c b/src/coreclr/pal/src/libunwind/tests/test-strerror.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/test-strerror.c rename to src/coreclr/pal/src/libunwind/tests/test-strerror.c diff --git a/src/coreclr/src/pal/src/libunwind/tests/x64-test-dwarf-expressions.S b/src/coreclr/pal/src/libunwind/tests/x64-test-dwarf-expressions.S similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/x64-test-dwarf-expressions.S rename to src/coreclr/pal/src/libunwind/tests/x64-test-dwarf-expressions.S diff --git a/src/coreclr/src/pal/src/libunwind/tests/x64-unwind-badjmp-signal-frame.c b/src/coreclr/pal/src/libunwind/tests/x64-unwind-badjmp-signal-frame.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind/tests/x64-unwind-badjmp-signal-frame.c rename to src/coreclr/pal/src/libunwind/tests/x64-unwind-badjmp-signal-frame.c diff --git a/src/coreclr/src/pal/src/libunwind_mac/include/elf.h b/src/coreclr/pal/src/libunwind_mac/include/elf.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/include/elf.h rename to src/coreclr/pal/src/libunwind_mac/include/elf.h diff --git a/src/coreclr/src/pal/src/libunwind_mac/include/endian.h b/src/coreclr/pal/src/libunwind_mac/include/endian.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/include/endian.h rename to src/coreclr/pal/src/libunwind_mac/include/endian.h diff --git a/src/coreclr/src/pal/src/libunwind_mac/include/fakestdalign.h.in b/src/coreclr/pal/src/libunwind_mac/include/fakestdalign.h.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/include/fakestdalign.h.in rename to src/coreclr/pal/src/libunwind_mac/include/fakestdalign.h.in diff --git a/src/coreclr/src/pal/src/libunwind_mac/include/fakestdatomic.h.in b/src/coreclr/pal/src/libunwind_mac/include/fakestdatomic.h.in similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/include/fakestdatomic.h.in rename to src/coreclr/pal/src/libunwind_mac/include/fakestdatomic.h.in diff --git a/src/coreclr/src/pal/src/libunwind/include/win/freebsd-elf32.h b/src/coreclr/pal/src/libunwind_mac/include/freebsd-elf32.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/freebsd-elf32.h rename to src/coreclr/pal/src/libunwind_mac/include/freebsd-elf32.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/freebsd-elf64.h b/src/coreclr/pal/src/libunwind_mac/include/freebsd-elf64.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/freebsd-elf64.h rename to src/coreclr/pal/src/libunwind_mac/include/freebsd-elf64.h diff --git a/src/coreclr/src/pal/src/libunwind/include/win/freebsd-elf_common.h b/src/coreclr/pal/src/libunwind_mac/include/freebsd-elf_common.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind/include/win/freebsd-elf_common.h rename to src/coreclr/pal/src/libunwind_mac/include/freebsd-elf_common.h diff --git a/src/coreclr/src/pal/src/libunwind_mac/include/ucontext.h b/src/coreclr/pal/src/libunwind_mac/include/ucontext.h similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/include/ucontext.h rename to src/coreclr/pal/src/libunwind_mac/include/ucontext.h diff --git a/src/coreclr/src/pal/src/libunwind_mac/src/missing-functions.c b/src/coreclr/pal/src/libunwind_mac/src/missing-functions.c similarity index 100% rename from src/coreclr/src/pal/src/libunwind_mac/src/missing-functions.c rename to src/coreclr/pal/src/libunwind_mac/src/missing-functions.c diff --git a/src/coreclr/src/pal/src/loader/module.cpp b/src/coreclr/pal/src/loader/module.cpp similarity index 100% rename from src/coreclr/src/pal/src/loader/module.cpp rename to src/coreclr/pal/src/loader/module.cpp diff --git a/src/coreclr/src/pal/src/loader/modulename.cpp b/src/coreclr/pal/src/loader/modulename.cpp similarity index 100% rename from src/coreclr/src/pal/src/loader/modulename.cpp rename to src/coreclr/pal/src/loader/modulename.cpp diff --git a/src/coreclr/src/pal/src/locale/unicode.cpp b/src/coreclr/pal/src/locale/unicode.cpp similarity index 100% rename from src/coreclr/src/pal/src/locale/unicode.cpp rename to src/coreclr/pal/src/locale/unicode.cpp diff --git a/src/coreclr/src/pal/src/locale/unicodedata.cpp b/src/coreclr/pal/src/locale/unicodedata.cpp similarity index 100% rename from src/coreclr/src/pal/src/locale/unicodedata.cpp rename to src/coreclr/pal/src/locale/unicodedata.cpp diff --git a/src/coreclr/src/pal/src/locale/unicodedata.cs b/src/coreclr/pal/src/locale/unicodedata.cs similarity index 100% rename from src/coreclr/src/pal/src/locale/unicodedata.cs rename to src/coreclr/pal/src/locale/unicodedata.cs diff --git a/src/coreclr/src/pal/src/locale/utf8.cpp b/src/coreclr/pal/src/locale/utf8.cpp similarity index 100% rename from src/coreclr/src/pal/src/locale/utf8.cpp rename to src/coreclr/pal/src/locale/utf8.cpp diff --git a/src/coreclr/src/pal/src/map/common.cpp b/src/coreclr/pal/src/map/common.cpp similarity index 100% rename from src/coreclr/src/pal/src/map/common.cpp rename to src/coreclr/pal/src/map/common.cpp diff --git a/src/coreclr/src/pal/src/map/common.h b/src/coreclr/pal/src/map/common.h similarity index 100% rename from src/coreclr/src/pal/src/map/common.h rename to src/coreclr/pal/src/map/common.h diff --git a/src/coreclr/src/pal/src/map/map.cpp b/src/coreclr/pal/src/map/map.cpp similarity index 100% rename from src/coreclr/src/pal/src/map/map.cpp rename to src/coreclr/pal/src/map/map.cpp diff --git a/src/coreclr/src/pal/src/map/virtual.cpp b/src/coreclr/pal/src/map/virtual.cpp similarity index 100% rename from src/coreclr/src/pal/src/map/virtual.cpp rename to src/coreclr/pal/src/map/virtual.cpp diff --git a/src/coreclr/src/pal/src/memory/local.cpp b/src/coreclr/pal/src/memory/local.cpp similarity index 100% rename from src/coreclr/src/pal/src/memory/local.cpp rename to src/coreclr/pal/src/memory/local.cpp diff --git a/src/coreclr/src/pal/src/misc/cgroup.cpp b/src/coreclr/pal/src/misc/cgroup.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/cgroup.cpp rename to src/coreclr/pal/src/misc/cgroup.cpp diff --git a/src/coreclr/src/pal/src/misc/dbgmsg.cpp b/src/coreclr/pal/src/misc/dbgmsg.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/dbgmsg.cpp rename to src/coreclr/pal/src/misc/dbgmsg.cpp diff --git a/src/coreclr/src/pal/src/misc/environ.cpp b/src/coreclr/pal/src/misc/environ.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/environ.cpp rename to src/coreclr/pal/src/misc/environ.cpp diff --git a/src/coreclr/src/pal/src/misc/error.cpp b/src/coreclr/pal/src/misc/error.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/error.cpp rename to src/coreclr/pal/src/misc/error.cpp diff --git a/src/coreclr/src/pal/src/misc/errorstrings.cpp b/src/coreclr/pal/src/misc/errorstrings.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/errorstrings.cpp rename to src/coreclr/pal/src/misc/errorstrings.cpp diff --git a/src/coreclr/src/pal/src/misc/errorstrings.h b/src/coreclr/pal/src/misc/errorstrings.h similarity index 100% rename from src/coreclr/src/pal/src/misc/errorstrings.h rename to src/coreclr/pal/src/misc/errorstrings.h diff --git a/src/coreclr/src/pal/src/misc/fmtmessage.cpp b/src/coreclr/pal/src/misc/fmtmessage.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/fmtmessage.cpp rename to src/coreclr/pal/src/misc/fmtmessage.cpp diff --git a/src/coreclr/src/pal/src/misc/jitsupport.cpp b/src/coreclr/pal/src/misc/jitsupport.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/jitsupport.cpp rename to src/coreclr/pal/src/misc/jitsupport.cpp diff --git a/src/coreclr/src/pal/src/misc/miscpalapi.cpp b/src/coreclr/pal/src/misc/miscpalapi.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/miscpalapi.cpp rename to src/coreclr/pal/src/misc/miscpalapi.cpp diff --git a/src/coreclr/src/pal/src/misc/msgbox.cpp b/src/coreclr/pal/src/misc/msgbox.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/msgbox.cpp rename to src/coreclr/pal/src/misc/msgbox.cpp diff --git a/src/coreclr/src/pal/src/misc/perfjitdump.cpp b/src/coreclr/pal/src/misc/perfjitdump.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/perfjitdump.cpp rename to src/coreclr/pal/src/misc/perfjitdump.cpp diff --git a/src/coreclr/src/pal/src/misc/perftrace.cpp b/src/coreclr/pal/src/misc/perftrace.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/perftrace.cpp rename to src/coreclr/pal/src/misc/perftrace.cpp diff --git a/src/coreclr/src/pal/src/misc/strutil.cpp b/src/coreclr/pal/src/misc/strutil.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/strutil.cpp rename to src/coreclr/pal/src/misc/strutil.cpp diff --git a/src/coreclr/src/pal/src/misc/sysinfo.cpp b/src/coreclr/pal/src/misc/sysinfo.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/sysinfo.cpp rename to src/coreclr/pal/src/misc/sysinfo.cpp diff --git a/src/coreclr/src/pal/src/misc/time.cpp b/src/coreclr/pal/src/misc/time.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/time.cpp rename to src/coreclr/pal/src/misc/time.cpp diff --git a/src/coreclr/src/pal/src/misc/tracepointprovider.cpp b/src/coreclr/pal/src/misc/tracepointprovider.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/tracepointprovider.cpp rename to src/coreclr/pal/src/misc/tracepointprovider.cpp diff --git a/src/coreclr/src/pal/src/misc/utils.cpp b/src/coreclr/pal/src/misc/utils.cpp similarity index 100% rename from src/coreclr/src/pal/src/misc/utils.cpp rename to src/coreclr/pal/src/misc/utils.cpp diff --git a/src/coreclr/src/pal/src/numa/numa.cpp b/src/coreclr/pal/src/numa/numa.cpp similarity index 100% rename from src/coreclr/src/pal/src/numa/numa.cpp rename to src/coreclr/pal/src/numa/numa.cpp diff --git a/src/coreclr/src/pal/src/numa/numashim.h b/src/coreclr/pal/src/numa/numashim.h similarity index 100% rename from src/coreclr/src/pal/src/numa/numashim.h rename to src/coreclr/pal/src/numa/numashim.h diff --git a/src/coreclr/src/pal/src/objmgr/palobjbase.cpp b/src/coreclr/pal/src/objmgr/palobjbase.cpp similarity index 100% rename from src/coreclr/src/pal/src/objmgr/palobjbase.cpp rename to src/coreclr/pal/src/objmgr/palobjbase.cpp diff --git a/src/coreclr/src/pal/src/objmgr/palobjbase.hpp b/src/coreclr/pal/src/objmgr/palobjbase.hpp similarity index 100% rename from src/coreclr/src/pal/src/objmgr/palobjbase.hpp rename to src/coreclr/pal/src/objmgr/palobjbase.hpp diff --git a/src/coreclr/src/pal/src/objmgr/shmobject.cpp b/src/coreclr/pal/src/objmgr/shmobject.cpp similarity index 100% rename from src/coreclr/src/pal/src/objmgr/shmobject.cpp rename to src/coreclr/pal/src/objmgr/shmobject.cpp diff --git a/src/coreclr/src/pal/src/objmgr/shmobject.hpp b/src/coreclr/pal/src/objmgr/shmobject.hpp similarity index 100% rename from src/coreclr/src/pal/src/objmgr/shmobject.hpp rename to src/coreclr/pal/src/objmgr/shmobject.hpp diff --git a/src/coreclr/src/pal/src/objmgr/shmobjectmanager.cpp b/src/coreclr/pal/src/objmgr/shmobjectmanager.cpp similarity index 100% rename from src/coreclr/src/pal/src/objmgr/shmobjectmanager.cpp rename to src/coreclr/pal/src/objmgr/shmobjectmanager.cpp diff --git a/src/coreclr/src/pal/src/objmgr/shmobjectmanager.hpp b/src/coreclr/pal/src/objmgr/shmobjectmanager.hpp similarity index 100% rename from src/coreclr/src/pal/src/objmgr/shmobjectmanager.hpp rename to src/coreclr/pal/src/objmgr/shmobjectmanager.hpp diff --git a/src/coreclr/src/pal/src/safecrt/cruntime.h b/src/coreclr/pal/src/safecrt/cruntime.h similarity index 100% rename from src/coreclr/src/pal/src/safecrt/cruntime.h rename to src/coreclr/pal/src/safecrt/cruntime.h diff --git a/src/coreclr/src/pal/src/safecrt/input.inl b/src/coreclr/pal/src/safecrt/input.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/input.inl rename to src/coreclr/pal/src/safecrt/input.inl diff --git a/src/coreclr/src/pal/src/safecrt/internal.h b/src/coreclr/pal/src/safecrt/internal.h similarity index 100% rename from src/coreclr/src/pal/src/safecrt/internal.h rename to src/coreclr/pal/src/safecrt/internal.h diff --git a/src/coreclr/src/pal/src/safecrt/internal_securecrt.h b/src/coreclr/pal/src/safecrt/internal_securecrt.h similarity index 100% rename from src/coreclr/src/pal/src/safecrt/internal_securecrt.h rename to src/coreclr/pal/src/safecrt/internal_securecrt.h diff --git a/src/coreclr/src/pal/src/safecrt/makepath_s.cpp b/src/coreclr/pal/src/safecrt/makepath_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/makepath_s.cpp rename to src/coreclr/pal/src/safecrt/makepath_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/mbusafecrt.cpp b/src/coreclr/pal/src/safecrt/mbusafecrt.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/mbusafecrt.cpp rename to src/coreclr/pal/src/safecrt/mbusafecrt.cpp diff --git a/src/coreclr/src/pal/src/safecrt/mbusafecrt_internal.h b/src/coreclr/pal/src/safecrt/mbusafecrt_internal.h similarity index 100% rename from src/coreclr/src/pal/src/safecrt/mbusafecrt_internal.h rename to src/coreclr/pal/src/safecrt/mbusafecrt_internal.h diff --git a/src/coreclr/src/pal/src/safecrt/memcpy_s.cpp b/src/coreclr/pal/src/safecrt/memcpy_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/memcpy_s.cpp rename to src/coreclr/pal/src/safecrt/memcpy_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/memmove_s.cpp b/src/coreclr/pal/src/safecrt/memmove_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/memmove_s.cpp rename to src/coreclr/pal/src/safecrt/memmove_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/output.inl b/src/coreclr/pal/src/safecrt/output.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/output.inl rename to src/coreclr/pal/src/safecrt/output.inl diff --git a/src/coreclr/src/pal/src/safecrt/safecrt_input_s.cpp b/src/coreclr/pal/src/safecrt/safecrt_input_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/safecrt_input_s.cpp rename to src/coreclr/pal/src/safecrt/safecrt_input_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/safecrt_output_l.cpp b/src/coreclr/pal/src/safecrt/safecrt_output_l.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/safecrt_output_l.cpp rename to src/coreclr/pal/src/safecrt/safecrt_output_l.cpp diff --git a/src/coreclr/src/pal/src/safecrt/safecrt_output_s.cpp b/src/coreclr/pal/src/safecrt/safecrt_output_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/safecrt_output_s.cpp rename to src/coreclr/pal/src/safecrt/safecrt_output_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/safecrt_winput_s.cpp b/src/coreclr/pal/src/safecrt/safecrt_winput_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/safecrt_winput_s.cpp rename to src/coreclr/pal/src/safecrt/safecrt_winput_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/safecrt_woutput_s.cpp b/src/coreclr/pal/src/safecrt/safecrt_woutput_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/safecrt_woutput_s.cpp rename to src/coreclr/pal/src/safecrt/safecrt_woutput_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/splitpath_s.cpp b/src/coreclr/pal/src/safecrt/splitpath_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/splitpath_s.cpp rename to src/coreclr/pal/src/safecrt/splitpath_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/sprintf_s.cpp b/src/coreclr/pal/src/safecrt/sprintf_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/sprintf_s.cpp rename to src/coreclr/pal/src/safecrt/sprintf_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/sscanf_s.cpp b/src/coreclr/pal/src/safecrt/sscanf_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/sscanf_s.cpp rename to src/coreclr/pal/src/safecrt/sscanf_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/strcat_s.cpp b/src/coreclr/pal/src/safecrt/strcat_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/strcat_s.cpp rename to src/coreclr/pal/src/safecrt/strcat_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/strcpy_s.cpp b/src/coreclr/pal/src/safecrt/strcpy_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/strcpy_s.cpp rename to src/coreclr/pal/src/safecrt/strcpy_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/strlen_s.cpp b/src/coreclr/pal/src/safecrt/strlen_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/strlen_s.cpp rename to src/coreclr/pal/src/safecrt/strlen_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/strncat_s.cpp b/src/coreclr/pal/src/safecrt/strncat_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/strncat_s.cpp rename to src/coreclr/pal/src/safecrt/strncat_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/strncpy_s.cpp b/src/coreclr/pal/src/safecrt/strncpy_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/strncpy_s.cpp rename to src/coreclr/pal/src/safecrt/strncpy_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/strtok_s.cpp b/src/coreclr/pal/src/safecrt/strtok_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/strtok_s.cpp rename to src/coreclr/pal/src/safecrt/strtok_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/swprintf.cpp b/src/coreclr/pal/src/safecrt/swprintf.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/swprintf.cpp rename to src/coreclr/pal/src/safecrt/swprintf.cpp diff --git a/src/coreclr/src/pal/src/safecrt/tcscat_s.inl b/src/coreclr/pal/src/safecrt/tcscat_s.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/tcscat_s.inl rename to src/coreclr/pal/src/safecrt/tcscat_s.inl diff --git a/src/coreclr/src/pal/src/safecrt/tcscpy_s.inl b/src/coreclr/pal/src/safecrt/tcscpy_s.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/tcscpy_s.inl rename to src/coreclr/pal/src/safecrt/tcscpy_s.inl diff --git a/src/coreclr/src/pal/src/safecrt/tcsncat_s.inl b/src/coreclr/pal/src/safecrt/tcsncat_s.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/tcsncat_s.inl rename to src/coreclr/pal/src/safecrt/tcsncat_s.inl diff --git a/src/coreclr/src/pal/src/safecrt/tcsncpy_s.inl b/src/coreclr/pal/src/safecrt/tcsncpy_s.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/tcsncpy_s.inl rename to src/coreclr/pal/src/safecrt/tcsncpy_s.inl diff --git a/src/coreclr/src/pal/src/safecrt/tcstok_s.inl b/src/coreclr/pal/src/safecrt/tcstok_s.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/tcstok_s.inl rename to src/coreclr/pal/src/safecrt/tcstok_s.inl diff --git a/src/coreclr/src/pal/src/safecrt/tmakepath_s.inl b/src/coreclr/pal/src/safecrt/tmakepath_s.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/tmakepath_s.inl rename to src/coreclr/pal/src/safecrt/tmakepath_s.inl diff --git a/src/coreclr/src/pal/src/safecrt/tsplitpath_s.inl b/src/coreclr/pal/src/safecrt/tsplitpath_s.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/tsplitpath_s.inl rename to src/coreclr/pal/src/safecrt/tsplitpath_s.inl diff --git a/src/coreclr/src/pal/src/safecrt/vsprintf.cpp b/src/coreclr/pal/src/safecrt/vsprintf.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/vsprintf.cpp rename to src/coreclr/pal/src/safecrt/vsprintf.cpp diff --git a/src/coreclr/src/pal/src/safecrt/vswprint.cpp b/src/coreclr/pal/src/safecrt/vswprint.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/vswprint.cpp rename to src/coreclr/pal/src/safecrt/vswprint.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wcscat_s.cpp b/src/coreclr/pal/src/safecrt/wcscat_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wcscat_s.cpp rename to src/coreclr/pal/src/safecrt/wcscat_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wcscpy_s.cpp b/src/coreclr/pal/src/safecrt/wcscpy_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wcscpy_s.cpp rename to src/coreclr/pal/src/safecrt/wcscpy_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wcslen_s.cpp b/src/coreclr/pal/src/safecrt/wcslen_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wcslen_s.cpp rename to src/coreclr/pal/src/safecrt/wcslen_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wcslwr_s.cpp b/src/coreclr/pal/src/safecrt/wcslwr_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wcslwr_s.cpp rename to src/coreclr/pal/src/safecrt/wcslwr_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wcsncat_s.cpp b/src/coreclr/pal/src/safecrt/wcsncat_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wcsncat_s.cpp rename to src/coreclr/pal/src/safecrt/wcsncat_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wcsncpy_s.cpp b/src/coreclr/pal/src/safecrt/wcsncpy_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wcsncpy_s.cpp rename to src/coreclr/pal/src/safecrt/wcsncpy_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wcstok_s.cpp b/src/coreclr/pal/src/safecrt/wcstok_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wcstok_s.cpp rename to src/coreclr/pal/src/safecrt/wcstok_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wmakepath_s.cpp b/src/coreclr/pal/src/safecrt/wmakepath_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wmakepath_s.cpp rename to src/coreclr/pal/src/safecrt/wmakepath_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/wsplitpath_s.cpp b/src/coreclr/pal/src/safecrt/wsplitpath_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/wsplitpath_s.cpp rename to src/coreclr/pal/src/safecrt/wsplitpath_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/xtoa_s.cpp b/src/coreclr/pal/src/safecrt/xtoa_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/xtoa_s.cpp rename to src/coreclr/pal/src/safecrt/xtoa_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/xtow_s.cpp b/src/coreclr/pal/src/safecrt/xtow_s.cpp similarity index 100% rename from src/coreclr/src/pal/src/safecrt/xtow_s.cpp rename to src/coreclr/pal/src/safecrt/xtow_s.cpp diff --git a/src/coreclr/src/pal/src/safecrt/xtox_s.inl b/src/coreclr/pal/src/safecrt/xtox_s.inl similarity index 100% rename from src/coreclr/src/pal/src/safecrt/xtox_s.inl rename to src/coreclr/pal/src/safecrt/xtox_s.inl diff --git a/src/coreclr/src/pal/src/sharedmemory/sharedmemory.cpp b/src/coreclr/pal/src/sharedmemory/sharedmemory.cpp similarity index 100% rename from src/coreclr/src/pal/src/sharedmemory/sharedmemory.cpp rename to src/coreclr/pal/src/sharedmemory/sharedmemory.cpp diff --git a/src/coreclr/src/pal/src/shmemory/shmemory.cpp b/src/coreclr/pal/src/shmemory/shmemory.cpp similarity index 100% rename from src/coreclr/src/pal/src/shmemory/shmemory.cpp rename to src/coreclr/pal/src/shmemory/shmemory.cpp diff --git a/src/coreclr/src/pal/src/sync/cs.cpp b/src/coreclr/pal/src/sync/cs.cpp similarity index 100% rename from src/coreclr/src/pal/src/sync/cs.cpp rename to src/coreclr/pal/src/sync/cs.cpp diff --git a/src/coreclr/src/pal/src/synchmgr/synchcontrollers.cpp b/src/coreclr/pal/src/synchmgr/synchcontrollers.cpp similarity index 100% rename from src/coreclr/src/pal/src/synchmgr/synchcontrollers.cpp rename to src/coreclr/pal/src/synchmgr/synchcontrollers.cpp diff --git a/src/coreclr/src/pal/src/synchmgr/synchmanager.cpp b/src/coreclr/pal/src/synchmgr/synchmanager.cpp similarity index 100% rename from src/coreclr/src/pal/src/synchmgr/synchmanager.cpp rename to src/coreclr/pal/src/synchmgr/synchmanager.cpp diff --git a/src/coreclr/src/pal/src/synchmgr/synchmanager.hpp b/src/coreclr/pal/src/synchmgr/synchmanager.hpp similarity index 100% rename from src/coreclr/src/pal/src/synchmgr/synchmanager.hpp rename to src/coreclr/pal/src/synchmgr/synchmanager.hpp diff --git a/src/coreclr/src/pal/src/synchmgr/wait.cpp b/src/coreclr/pal/src/synchmgr/wait.cpp similarity index 100% rename from src/coreclr/src/pal/src/synchmgr/wait.cpp rename to src/coreclr/pal/src/synchmgr/wait.cpp diff --git a/src/coreclr/src/pal/src/synchobj/event.cpp b/src/coreclr/pal/src/synchobj/event.cpp similarity index 100% rename from src/coreclr/src/pal/src/synchobj/event.cpp rename to src/coreclr/pal/src/synchobj/event.cpp diff --git a/src/coreclr/src/pal/src/synchobj/mutex.cpp b/src/coreclr/pal/src/synchobj/mutex.cpp similarity index 100% rename from src/coreclr/src/pal/src/synchobj/mutex.cpp rename to src/coreclr/pal/src/synchobj/mutex.cpp diff --git a/src/coreclr/src/pal/src/synchobj/semaphore.cpp b/src/coreclr/pal/src/synchobj/semaphore.cpp similarity index 100% rename from src/coreclr/src/pal/src/synchobj/semaphore.cpp rename to src/coreclr/pal/src/synchobj/semaphore.cpp diff --git a/src/coreclr/src/pal/src/thread/context.cpp b/src/coreclr/pal/src/thread/context.cpp similarity index 100% rename from src/coreclr/src/pal/src/thread/context.cpp rename to src/coreclr/pal/src/thread/context.cpp diff --git a/src/coreclr/src/pal/src/thread/process.cpp b/src/coreclr/pal/src/thread/process.cpp similarity index 100% rename from src/coreclr/src/pal/src/thread/process.cpp rename to src/coreclr/pal/src/thread/process.cpp diff --git a/src/coreclr/src/pal/src/thread/procprivate.hpp b/src/coreclr/pal/src/thread/procprivate.hpp similarity index 100% rename from src/coreclr/src/pal/src/thread/procprivate.hpp rename to src/coreclr/pal/src/thread/procprivate.hpp diff --git a/src/coreclr/src/pal/src/thread/thread.cpp b/src/coreclr/pal/src/thread/thread.cpp similarity index 100% rename from src/coreclr/src/pal/src/thread/thread.cpp rename to src/coreclr/pal/src/thread/thread.cpp diff --git a/src/coreclr/src/pal/src/thread/threadsusp.cpp b/src/coreclr/pal/src/thread/threadsusp.cpp similarity index 100% rename from src/coreclr/src/pal/src/thread/threadsusp.cpp rename to src/coreclr/pal/src/thread/threadsusp.cpp diff --git a/src/coreclr/src/pal/tests/CMakeLists.txt b/src/coreclr/pal/tests/CMakeLists.txt similarity index 100% rename from src/coreclr/src/pal/tests/CMakeLists.txt rename to src/coreclr/pal/tests/CMakeLists.txt diff --git a/src/coreclr/src/pal/tests/palsuite/CMakeLists.txt b/src/coreclr/pal/tests/palsuite/CMakeLists.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/CMakeLists.txt rename to src/coreclr/pal/tests/palsuite/CMakeLists.txt diff --git a/src/coreclr/src/pal/tests/palsuite/DisabledTests.txt b/src/coreclr/pal/tests/palsuite/DisabledTests.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/DisabledTests.txt rename to src/coreclr/pal/tests/palsuite/DisabledTests.txt diff --git a/src/coreclr/src/pal/tests/palsuite/README.txt b/src/coreclr/pal/tests/palsuite/README.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/README.txt rename to src/coreclr/pal/tests/palsuite/README.txt diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/__iscsym/test1/__iscsym.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/__iscsym/test1/__iscsym.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/__iscsym/test1/__iscsym.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/__iscsym/test1/__iscsym.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_alloca/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_alloca/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_alloca/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_alloca/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_fdopen/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_fdopen/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_fdopen/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_fdopen/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_finite/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_finite/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_finite/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_finite/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_finitef/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_finitef/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_finitef/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_finitef/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_gcvt/test1/_gcvt.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_gcvt/test1/_gcvt.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_gcvt/test1/_gcvt.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_gcvt/test1/_gcvt.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_gcvt/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_gcvt/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_gcvt/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_gcvt/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_isnan/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_isnan/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_isnan/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_isnan/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_isnanf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_isnanf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_isnanf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_isnanf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_itow/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_itow/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_itow/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_itow/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_putenv/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_putenv/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_putenv/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_putenv/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_putenv/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_putenv/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_putenv/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_putenv/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_putenv/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_putenv/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_putenv/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_putenv/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_putenv/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_putenv/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_putenv/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_putenv/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_rotl/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_rotl/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_rotl/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_rotl/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_rotr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_rotr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_rotr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_rotr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/_snprintf_s.h b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/_snprintf_s.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/_snprintf_s.h rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/_snprintf_s.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snprintf_s/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snprintf_s/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/_snwprintf_s.h b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/_snwprintf_s.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/_snwprintf_s.h rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/_snwprintf_s.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_snwprintf_s/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_snwprintf_s/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_stricmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_stricmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_stricmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_stricmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_strnicmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_strnicmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_strnicmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_strnicmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/_vsnprintf_s.h b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/_vsnprintf_s.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/_vsnprintf_s.h rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/_vsnprintf_s.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnprintf_s/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnprintf_s/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/_vsnwprintf_s.h b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/_vsnwprintf_s.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/_vsnwprintf_s.h rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/_vsnwprintf_s.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_vsnwprintf_s/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wcsicmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wcsicmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wcsicmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wcsicmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wcslwr_s/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wcslwr_s/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wcslwr_s/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wcslwr_s/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wcsnicmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wcsnicmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wcsnicmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wcsnicmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wfopen/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wfopen/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/_wtoi/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/_wtoi/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/_wtoi/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/_wtoi/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/abs/test1/abs.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/abs/test1/abs.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/abs/test1/abs.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/abs/test1/abs.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/acos/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/acos/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/acos/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/acos/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/acosf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/acosf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/acosf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/acosf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/acosh/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/acosh/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/acosh/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/acosh/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/acoshf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/acoshf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/acoshf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/acoshf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/asin/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/asin/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/asin/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/asin/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/asinf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/asinf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/asinf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/asinf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/asinh/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/asinh/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/asinh/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/asinh/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/asinhf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/asinhf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/asinhf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/asinhf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/atan/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/atan/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/atan/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/atan/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/atan2/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/atan2/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/atan2/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/atan2/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/atan2f/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/atan2f/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/atan2f/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/atan2f/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/atanf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/atanf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/atanf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/atanf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/atanh/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/atanh/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/atanh/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/atanh/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/atanhf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/atanhf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/atanhf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/atanhf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/atof/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/atof/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/atof/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/atof/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/atoi/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/atoi/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/atoi/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/atoi/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/bsearch/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/bsearch/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/bsearch/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/bsearch/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/bsearch/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/bsearch/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/bsearch/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/bsearch/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/cbrt/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/cbrt/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/cbrt/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/cbrt/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/cbrtf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/cbrtf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/cbrtf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/cbrtf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ceil/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/ceil/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ceil/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/ceil/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ceilf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/ceilf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ceilf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/ceilf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/cos/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/cos/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/cos/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/cos/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/cosf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/cosf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/cosf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/cosf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/cosh/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/cosh/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/cosh/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/cosh/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/coshf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/coshf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/coshf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/coshf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/errno/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/errno/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/errno/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/errno/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/errno/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/errno/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/errno/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/errno/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/exit/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/exit/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/exit/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/exit/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/exit/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/exit/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/exit/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/exit/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/exp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/exp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/exp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/exp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/expf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/expf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/expf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/expf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fabs/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fabs/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fabs/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fabs/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fabsf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fabsf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fabsf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fabsf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fclose/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fclose/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fclose/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fclose/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fclose/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fclose/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fclose/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fclose/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ferror/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/ferror/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ferror/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/ferror/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test3/testfile b/src/coreclr/pal/tests/palsuite/c_runtime/ferror/test1/testfile similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test3/testfile rename to src/coreclr/pal/tests/palsuite/c_runtime/ferror/test1/testfile diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ferror/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/ferror/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ferror/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/ferror/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ferror/test2/testfile b/src/coreclr/pal/tests/palsuite/c_runtime/ferror/test2/testfile similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ferror/test2/testfile rename to src/coreclr/pal/tests/palsuite/c_runtime/ferror/test2/testfile diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fflush/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fflush/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fflush/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fflush/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fgets/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fgets/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fgets/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fgets/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fgets/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fgets/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fgets/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fgets/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fgets/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fgets/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fgets/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fgets/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/floor/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/floor/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/floor/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/floor/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/floorf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/floorf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/floorf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/floorf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fma/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fma/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fma/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fma/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fmaf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fmaf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fmaf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fmaf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fmod/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fmod/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fmod/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fmod/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fmodf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fmodf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fmodf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fmodf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fopen/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fopen/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fopen/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fopen/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fopen/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fopen/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fopen/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fopen/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fopen/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fopen/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fopen/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fopen/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fopen/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fopen/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fopen/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/fprintf.h b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/fprintf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/fprintf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/fprintf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fprintf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fprintf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fputs/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fputs/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fputs/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fputs/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fputs/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fputs/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fputs/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fputs/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fread/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fread/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test2/testfile b/src/coreclr/pal/tests/palsuite/c_runtime/fread/test1/testfile similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test2/testfile rename to src/coreclr/pal/tests/palsuite/c_runtime/fread/test1/testfile diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fread/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fread/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test1/testfile b/src/coreclr/pal/tests/palsuite/c_runtime/fread/test2/testfile similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test1/testfile rename to src/coreclr/pal/tests/palsuite/c_runtime/fread/test2/testfile diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fread/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fread/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fread/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ferror/test1/testfile b/src/coreclr/pal/tests/palsuite/c_runtime/fread/test3/testfile similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ferror/test1/testfile rename to src/coreclr/pal/tests/palsuite/c_runtime/fread/test3/testfile diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/free/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/free/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/free/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/free/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fseek/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fseek/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fseek/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fseek/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ftell/test1/ftell.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/ftell/test1/ftell.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ftell/test1/ftell.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/ftell/test1/ftell.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ftell/test1/testfile.txt b/src/coreclr/pal/tests/palsuite/c_runtime/ftell/test1/testfile.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ftell/test1/testfile.txt rename to src/coreclr/pal/tests/palsuite/c_runtime/ftell/test1/testfile.txt diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/fwprintf.h b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/fwprintf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/fwprintf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/fwprintf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwprintf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwprintf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/fwrite/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/fwrite/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/fwrite/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/fwrite/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/getenv/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/getenv/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/getenv/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/getenv/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/getenv/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/getenv/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/getenv/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/getenv/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/getenv/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/getenv/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/getenv/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/getenv/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ilogb/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/ilogb/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ilogb/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/ilogb/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/ilogbf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/ilogbf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/ilogbf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/ilogbf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/isalnum/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/isalnum/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/isalnum/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/isalnum/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/isalpha/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/isalpha/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/isalpha/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/isalpha/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/isdigit/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/isdigit/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/isdigit/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/isdigit/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/islower/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/islower/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/islower/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/islower/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/isprint/test1/isprint.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/isprint/test1/isprint.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/isprint/test1/isprint.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/isprint/test1/isprint.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/isprint/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/isprint/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/isprint/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/isprint/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/isspace/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/isspace/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/isspace/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/isspace/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/isupper/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/isupper/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/isupper/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/isupper/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/iswdigit/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/iswdigit/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/iswdigit/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/iswdigit/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/iswprint/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/iswprint/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/iswprint/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/iswprint/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/iswspace/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/iswspace/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/iswspace/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/iswspace/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/iswupper/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/iswupper/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/iswupper/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/iswupper/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/isxdigit/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/isxdigit/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/isxdigit/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/isxdigit/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/llabs/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/llabs/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/llabs/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/llabs/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/log/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/log/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/log/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/log/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/log10/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/log10/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/log10/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/log10/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/log10f/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/log10f/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/log10f/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/log10f/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/log2/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/log2/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/log2/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/log2/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/log2f/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/log2f/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/log2f/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/log2f/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/logf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/logf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/logf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/logf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/malloc/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/malloc/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/malloc/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/malloc/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/malloc/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/malloc/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/malloc/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/malloc/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/memchr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/memchr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/memchr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/memchr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/memcmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/memcmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/memcmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/memcmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/memcpy/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/memcpy/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/memcpy/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/memcpy/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/memmove/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/memmove/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/memmove/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/memmove/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/memset/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/memset/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/memset/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/memset/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/modf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/modf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/modf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/modf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/modff/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/modff/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/modff/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/modff/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/pow/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/pow/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/pow/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/pow/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/powf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/powf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/powf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/powf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/printf.h b/src/coreclr/pal/tests/palsuite/c_runtime/printf/printf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/printf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/printf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/printf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/printf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/printf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/qsort/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/qsort/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/qsort/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/qsort/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/qsort/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/qsort/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/qsort/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/qsort/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/rand_srand/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/rand_srand/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/rand_srand/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/rand_srand/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/realloc/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/realloc/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/realloc/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/realloc/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/scalbn/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/scalbn/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/scalbn/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/scalbn/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/scalbnf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/scalbnf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/scalbnf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/scalbnf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sin/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sin/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sin/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sin/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sinf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sinf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sinf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sinf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sinh/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sinh/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sinh/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sinh/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sinhf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sinhf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sinhf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sinhf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/sprintf_s.h b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/sprintf_s.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/sprintf_s.h rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/sprintf_s.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sprintf_s/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sprintf_s/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sqrt/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sqrt/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sqrt/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sqrt/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sqrtf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sqrtf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sqrtf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sqrtf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/sscanf_s.h b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/sscanf_s.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/sscanf_s.h rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/sscanf_s.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/sscanf_s/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/sscanf_s/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strcat/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strcat/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strcat/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strcat/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strchr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strchr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strchr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strchr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strcmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strcmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strcmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strcmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strcpy/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strcpy/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strcpy/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strcpy/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strcspn/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strcspn/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strcspn/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strcspn/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strlen/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strlen/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strlen/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strlen/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strncat/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strncat/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strncat/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strncat/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strncmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strncmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strncmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strncmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strncpy/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strncpy/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strncpy/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strncpy/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strpbrk/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strpbrk/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strpbrk/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strpbrk/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strrchr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strrchr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strrchr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strrchr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strspn/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strspn/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strspn/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strspn/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strstr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strstr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strstr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strstr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strtod/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strtod/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strtod/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strtod/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strtod/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strtod/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strtod/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strtod/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strtok/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strtok/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strtok/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strtok/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/strtoul/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/strtoul/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/strtoul/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/strtoul/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/swprintf.h b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/swprintf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/swprintf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/swprintf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swprintf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swprintf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/swscanf.h b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/swscanf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/swscanf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/swscanf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/swscanf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/swscanf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/tan/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/tan/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/tan/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/tan/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/tanf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/tanf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/tanf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/tanf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/tanh/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/tanh/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/tanh/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/tanh/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/tanhf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/tanhf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/tanhf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/tanhf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/time/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/time/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/time/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/time/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/tolower/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/tolower/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/tolower/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/tolower/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/toupper/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/toupper/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/toupper/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/toupper/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/towlower/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/towlower/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/towlower/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/towlower/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/towupper/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/towupper/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/towupper/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/towupper/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/vfprintf.h b/src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/vfprintf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vfprintf/vfprintf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/vfprintf/vfprintf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/vprintf.h b/src/coreclr/pal/tests/palsuite/c_runtime/vprintf/vprintf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vprintf/vprintf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/vprintf/vprintf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/vsprintf.h b/src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/vsprintf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vsprintf/vsprintf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/vsprintf/vsprintf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test13/test13.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test13/test13.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test13/test13.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test13/test13.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test14/test14.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test14/test14.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test14/test14.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test14/test14.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test15/test15.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test15/test15.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test15/test15.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test15/test15.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test16/test16.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test16/test16.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test16/test16.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test16/test16.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test17/test17.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test17/test17.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test17/test17.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test17/test17.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test18/test18.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test18/test18.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test18/test18.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test18/test18.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test19/test19.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test19/test19.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test19/test19.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test19/test19.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/vswprintf.h b/src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/vswprintf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/vswprintf/vswprintf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/vswprintf/vswprintf.h diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcscat/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcscat/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcscat/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcscat/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcschr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcschr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcschr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcschr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcscmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcscmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcscmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcscmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcscpy/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcscpy/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcscpy/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcscpy/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcslen/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcslen/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcslen/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcslen/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcsncmp/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcsncmp/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcsncmp/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcsncmp/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcsncpy/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcsncpy/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcsncpy/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcsncpy/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcspbrk/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcspbrk/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcspbrk/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcspbrk/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcsrchr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcsrchr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcsrchr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcsrchr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcsstr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcsstr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcsstr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcsstr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstod/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstod/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstod/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstod/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstod/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstod/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstod/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstod/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstok/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstok/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstok/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstok/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wcstoul/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wcstoul/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wprintf/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wprintf/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wprintf/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wprintf/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wprintf/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/c_runtime/wprintf/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wprintf/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/c_runtime/wprintf/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/c_runtime/wprintf/wprintf.h b/src/coreclr/pal/tests/palsuite/c_runtime/wprintf/wprintf.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/c_runtime/wprintf/wprintf.h rename to src/coreclr/pal/tests/palsuite/c_runtime/wprintf/wprintf.h diff --git a/src/coreclr/src/pal/tests/palsuite/common/palsuite.cpp b/src/coreclr/pal/tests/palsuite/common/palsuite.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/common/palsuite.cpp rename to src/coreclr/pal/tests/palsuite/common/palsuite.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/common/palsuite.h b/src/coreclr/pal/tests/palsuite/common/palsuite.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/common/palsuite.h rename to src/coreclr/pal/tests/palsuite/common/palsuite.h diff --git a/src/coreclr/src/pal/tests/palsuite/compilableTests.txt b/src/coreclr/pal/tests/palsuite/compilableTests.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/compilableTests.txt rename to src/coreclr/pal/tests/palsuite/compilableTests.txt diff --git a/src/coreclr/src/pal/tests/palsuite/compileDisabledTests.txt b/src/coreclr/pal/tests/palsuite/compileDisabledTests.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/compileDisabledTests.txt rename to src/coreclr/pal/tests/palsuite/compileDisabledTests.txt diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/event/nonshared/event.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/event/nonshared/event.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/event/nonshared/event.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/event/nonshared/event.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/event/nonshared/main.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/event/nonshared/main.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/event/nonshared/main.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/event/nonshared/main.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/event/shared/event.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/event/shared/event.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/event/shared/event.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/event/shared/event.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/event/shared/main.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/event/shared/main.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/event/shared/main.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/event/shared/main.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/mutex/nonshared/main.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/mutex/nonshared/main.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/mutex/nonshared/main.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/mutex/nonshared/main.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/mutex/nonshared/mutex.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/mutex/nonshared/mutex.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/mutex/nonshared/mutex.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/mutex/nonshared/mutex.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/mutex/shared/main.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/mutex/shared/main.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/mutex/shared/main.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/mutex/shared/main.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/mutex/shared/mutex.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/mutex/shared/mutex.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/mutex/shared/mutex.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/mutex/shared/mutex.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/readme.txt b/src/coreclr/pal/tests/palsuite/composite/object_management/readme.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/readme.txt rename to src/coreclr/pal/tests/palsuite/composite/object_management/readme.txt diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/semaphore/nonshared/main.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/semaphore/nonshared/main.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/semaphore/nonshared/main.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/semaphore/nonshared/main.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/semaphore/nonshared/semaphore.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/semaphore/nonshared/semaphore.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/semaphore/nonshared/semaphore.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/semaphore/nonshared/semaphore.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/semaphore/shared/main.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/semaphore/shared/main.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/semaphore/shared/main.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/semaphore/shared/main.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/object_management/semaphore/shared/semaphore.cpp b/src/coreclr/pal/tests/palsuite/composite/object_management/semaphore/shared/semaphore.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/object_management/semaphore/shared/semaphore.cpp rename to src/coreclr/pal/tests/palsuite/composite/object_management/semaphore/shared/semaphore.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/criticalsection/criticalsection.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/criticalsection/criticalsection.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/criticalsection/criticalsection.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/criticalsection/criticalsection.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/criticalsection/mainWrapper.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/criticalsection/mainWrapper.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/criticalsection/mainWrapper.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/criticalsection/mainWrapper.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/criticalsection/readme.txt b/src/coreclr/pal/tests/palsuite/composite/synchronization/criticalsection/readme.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/criticalsection/readme.txt rename to src/coreclr/pal/tests/palsuite/composite/synchronization/criticalsection/readme.txt diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.h b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.h rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/mtx_critsect.h diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/pal_composite_native_cs.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/pal_composite_native_cs.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/pal_composite_native_cs.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/pal_composite_native_cs.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/readme.txt b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/readme.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/readme.txt rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/readme.txt diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/resultbuffer.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/resultbuffer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/resultbuffer.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/resultbuffer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/resultbuffer.h b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/resultbuffer.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/resultbuffer.h rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecriticalsection/resultbuffer.h diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/hpitinterlock.s b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/hpitinterlock.s similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/hpitinterlock.s rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/hpitinterlock.s diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/interlocked.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/interlocked.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/interlocked.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/interlocked.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/makefile b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/makefile similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/makefile rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/makefile diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.h b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.h rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/mtx_critsect.h diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/notes.txt b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/notes.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/notes.txt rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/notes.txt diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/pal_composite_native_cs.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/pal_composite_native_cs.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/pal_composite_native_cs.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/pal_composite_native_cs.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/readme.txt b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/readme.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/readme.txt rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/readme.txt diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/resultbuffer.cpp b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/resultbuffer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/resultbuffer.cpp rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/resultbuffer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/resultbuffer.h b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/resultbuffer.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecriticalsection/resultbuffer.h rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/resultbuffer.h diff --git a/src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/sparcinterloc.s b/src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/sparcinterloc.s similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/sparcinterloc.s rename to src/coreclr/pal/tests/palsuite/composite/synchronization/nativecs_interlocked/sparcinterloc.s diff --git a/src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension/mainWrapper.cpp b/src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension/mainWrapper.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension/mainWrapper.cpp rename to src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension/mainWrapper.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/readme.txt b/src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension/readme.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/readme.txt rename to src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension/readme.txt diff --git a/src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension/threadsuspension.cpp b/src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension/threadsuspension.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension/threadsuspension.cpp rename to src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension/threadsuspension.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/mainWrapper.cpp b/src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/mainWrapper.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/mainWrapper.cpp rename to src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/mainWrapper.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension/readme.txt b/src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/readme.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension/readme.txt rename to src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/readme.txt diff --git a/src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/threadsuspension.cpp b/src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/threadsuspension.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/threadsuspension.cpp rename to src/coreclr/pal/tests/palsuite/composite/threading/threadsuspension_switchthread/threadsuspension.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/wfmo/main.cpp b/src/coreclr/pal/tests/palsuite/composite/wfmo/main.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/wfmo/main.cpp rename to src/coreclr/pal/tests/palsuite/composite/wfmo/main.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/wfmo/mutex.cpp b/src/coreclr/pal/tests/palsuite/composite/wfmo/mutex.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/wfmo/mutex.cpp rename to src/coreclr/pal/tests/palsuite/composite/wfmo/mutex.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/composite/wfmo/readme.txt b/src/coreclr/pal/tests/palsuite/composite/wfmo/readme.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/composite/wfmo/readme.txt rename to src/coreclr/pal/tests/palsuite/composite/wfmo/readme.txt diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/DebugBreak/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/debug_api/DebugBreak/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/DebugBreak/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/DebugBreak/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/helper.cpp b/src/coreclr/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/helper.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/helper.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/helper.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/OutputDebugStringA/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/OutputDebugStringW/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/debug_api/OutputDebugStringW/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/OutputDebugStringW/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/OutputDebugStringW/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/commonconsts.h b/src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/commonconsts.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/commonconsts.h rename to src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/commonconsts.h diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/helper.cpp b/src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/helper.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/helper.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/helper.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/commonconsts.h b/src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/commonconsts.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/commonconsts.h rename to src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/commonconsts.h diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/helper.cpp b/src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/helper.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/helper.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/helper.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/helper.cpp b/src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/helper.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/helper.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/helper.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/debug_api/WriteProcessMemory/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/eventprovider/CMakeLists.txt b/src/coreclr/pal/tests/palsuite/eventprovider/CMakeLists.txt similarity index 94% rename from src/coreclr/src/pal/tests/palsuite/eventprovider/CMakeLists.txt rename to src/coreclr/pal/tests/palsuite/eventprovider/CMakeLists.txt index 87a2b12..ccb40b0 100644 --- a/src/coreclr/src/pal/tests/palsuite/eventprovider/CMakeLists.txt +++ b/src/coreclr/pal/tests/palsuite/eventprovider/CMakeLists.txt @@ -4,7 +4,7 @@ set(SOURCES ) set(EVENT_MANIFEST ${VM_DIR}/ClrEtwAll.man) -set(TEST_GENERATOR ${CLR_DIR}/src/scripts/genEventingTests.py) +set(TEST_GENERATOR ${CLR_DIR}/scripts/genEventingTests.py) include(FindPythonInterp) diff --git a/src/coreclr/src/pal/tests/palsuite/eventprovider/EnableEventLogging.cpp b/src/coreclr/pal/tests/palsuite/eventprovider/EnableEventLogging.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/eventprovider/EnableEventLogging.cpp rename to src/coreclr/pal/tests/palsuite/eventprovider/EnableEventLogging.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test1/PAL_EXCEPT_FILTER.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test1/PAL_EXCEPT_FILTER.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test1/PAL_EXCEPT_FILTER.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test1/PAL_EXCEPT_FILTER.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test2/pal_except_filter.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test2/pal_except_filter.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test2/pal_except_filter.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test2/pal_except_filter.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test3/pal_except_filter.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test3/pal_except_filter.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test3/pal_except_filter.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER/test3/pal_except_filter.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test1/PAL_EXCEPT_FILTER_EX.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test1/PAL_EXCEPT_FILTER_EX.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test1/PAL_EXCEPT_FILTER_EX.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test1/PAL_EXCEPT_FILTER_EX.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test2/pal_except_filter_ex.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test2/pal_except_filter_ex.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test2/pal_except_filter_ex.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test2/pal_except_filter_ex.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test3/pal_except_filter.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test3/pal_except_filter.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test3/pal_except_filter.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_EXCEPT_FILTER_EX/test3/pal_except_filter.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test1/PAL_TRY_EXCEPT.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test1/PAL_TRY_EXCEPT.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test1/PAL_TRY_EXCEPT.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test1/PAL_TRY_EXCEPT.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test2/PAL_TRY_EXCEPT.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test2/PAL_TRY_EXCEPT.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test2/PAL_TRY_EXCEPT.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT/test2/PAL_TRY_EXCEPT.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test1/PAL_TRY_EXCEPT_EX.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test1/PAL_TRY_EXCEPT_EX.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test1/PAL_TRY_EXCEPT_EX.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test1/PAL_TRY_EXCEPT_EX.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test2/PAL_TRY_EXCEPT_EX.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test2/PAL_TRY_EXCEPT_EX.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test2/PAL_TRY_EXCEPT_EX.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test2/PAL_TRY_EXCEPT_EX.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test3/PAL_TRY_EXCEPT_EX.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test3/PAL_TRY_EXCEPT_EX.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test3/PAL_TRY_EXCEPT_EX.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_EXCEPT_EX/test3/PAL_TRY_EXCEPT_EX.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_LEAVE_FINALLY/test1/PAL_TRY_LEAVE_FINALLY.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_LEAVE_FINALLY/test1/PAL_TRY_LEAVE_FINALLY.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/PAL_TRY_LEAVE_FINALLY/test1/PAL_TRY_LEAVE_FINALLY.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/PAL_TRY_LEAVE_FINALLY/test1/PAL_TRY_LEAVE_FINALLY.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/RaiseException/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/RaiseException/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/RaiseException/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/RaiseException/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/RaiseException/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/RaiseException/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/RaiseException/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/RaiseException/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/RaiseException/test3/test.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/RaiseException/test3/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/RaiseException/test3/test.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/RaiseException/test3/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_except/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_except/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_finally/test1/pal_finally.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_finally/test1/pal_finally.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_finally/test1/pal_finally.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_finally/test1/pal_finally.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/CMakeLists.txt b/src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/CMakeLists.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/CMakeLists.txt rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/CMakeLists.txt diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.src b/src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.src similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.src rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest1.src diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.src b/src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.src similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.src rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/dlltest2.src diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/exceptionsxs.cpp b/src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/exceptionsxs.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/exceptionsxs.cpp rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/exceptionsxs.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/testinfo.dat b/src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/testinfo.dat similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/exception_handling/pal_sxs/test1/testinfo.dat rename to src/coreclr/pal/tests/palsuite/exception_handling/pal_sxs/test1/testinfo.dat diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CopyFileA/test1/CopyFileA.cpp b/src/coreclr/pal/tests/palsuite/file_io/CopyFileA/test1/CopyFileA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CopyFileA/test1/CopyFileA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CopyFileA/test1/CopyFileA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CopyFileA/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/file_io/CopyFileA/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CopyFileA/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CopyFileA/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CopyFileA/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/file_io/CopyFileA/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CopyFileA/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CopyFileA/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CopyFileA/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/file_io/CopyFileA/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CopyFileA/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CopyFileA/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CopyFileW/test1/CopyFileW.cpp b/src/coreclr/pal/tests/palsuite/file_io/CopyFileW/test1/CopyFileW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CopyFileW/test1/CopyFileW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CopyFileW/test1/CopyFileW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CopyFileW/test1/ExpectedResults.txt b/src/coreclr/pal/tests/palsuite/file_io/CopyFileW/test1/ExpectedResults.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CopyFileW/test1/ExpectedResults.txt rename to src/coreclr/pal/tests/palsuite/file_io/CopyFileW/test1/ExpectedResults.txt diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CopyFileW/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/file_io/CopyFileW/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CopyFileW/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CopyFileW/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CopyFileW/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/file_io/CopyFileW/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CopyFileW/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CopyFileW/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CreateFileA/test1/CreateFileA.cpp b/src/coreclr/pal/tests/palsuite/file_io/CreateFileA/test1/CreateFileA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CreateFileA/test1/CreateFileA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CreateFileA/test1/CreateFileA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CreateFileW/test1/winoutput b/src/coreclr/pal/tests/palsuite/file_io/CreateFileA/test1/winoutput similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CreateFileW/test1/winoutput rename to src/coreclr/pal/tests/palsuite/file_io/CreateFileA/test1/winoutput diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CreateFileW/test1/CreateFileW.cpp b/src/coreclr/pal/tests/palsuite/file_io/CreateFileW/test1/CreateFileW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CreateFileW/test1/CreateFileW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/CreateFileW/test1/CreateFileW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/CreateFileA/test1/winoutput b/src/coreclr/pal/tests/palsuite/file_io/CreateFileW/test1/winoutput similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/CreateFileA/test1/winoutput rename to src/coreclr/pal/tests/palsuite/file_io/CreateFileW/test1/winoutput diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/DeleteFileA/test1/DeleteFileA.cpp b/src/coreclr/pal/tests/palsuite/file_io/DeleteFileA/test1/DeleteFileA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/DeleteFileA/test1/DeleteFileA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/DeleteFileA/test1/DeleteFileA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/DeleteFileW/test1/DeleteFileW.cpp b/src/coreclr/pal/tests/palsuite/file_io/DeleteFileW/test1/DeleteFileW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/DeleteFileW/test1/DeleteFileW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/DeleteFileW/test1/DeleteFileW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FILECanonicalizePath/FILECanonicalizePath.cpp b/src/coreclr/pal/tests/palsuite/file_io/FILECanonicalizePath/FILECanonicalizePath.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FILECanonicalizePath/FILECanonicalizePath.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FILECanonicalizePath/FILECanonicalizePath.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FindClose/test1/FindClose.cpp b/src/coreclr/pal/tests/palsuite/file_io/FindClose/test1/FindClose.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FindClose/test1/FindClose.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FindClose/test1/FindClose.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FindFirstFileA/test1/FindFirstFileA.cpp b/src/coreclr/pal/tests/palsuite/file_io/FindFirstFileA/test1/FindFirstFileA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FindFirstFileA/test1/FindFirstFileA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FindFirstFileA/test1/FindFirstFileA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FindFirstFileW/test1/FindFirstFileW.cpp b/src/coreclr/pal/tests/palsuite/file_io/FindFirstFileW/test1/FindFirstFileW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FindFirstFileW/test1/FindFirstFileW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FindFirstFileW/test1/FindFirstFileW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FindNextFileA/test1/FindNextFileA.cpp b/src/coreclr/pal/tests/palsuite/file_io/FindNextFileA/test1/FindNextFileA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FindNextFileA/test1/FindNextFileA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FindNextFileA/test1/FindNextFileA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FindNextFileA/test2/findnextfilea.cpp b/src/coreclr/pal/tests/palsuite/file_io/FindNextFileA/test2/findnextfilea.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FindNextFileA/test2/findnextfilea.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FindNextFileA/test2/findnextfilea.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FindNextFileW/test1/FindNextFileW.cpp b/src/coreclr/pal/tests/palsuite/file_io/FindNextFileW/test1/FindNextFileW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FindNextFileW/test1/FindNextFileW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FindNextFileW/test1/FindNextFileW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FindNextFileW/test2/findnextfilew.cpp b/src/coreclr/pal/tests/palsuite/file_io/FindNextFileW/test2/findnextfilew.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FindNextFileW/test2/findnextfilew.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FindNextFileW/test2/findnextfilew.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/FlushFileBuffers/test1/FlushFileBuffers.cpp b/src/coreclr/pal/tests/palsuite/file_io/FlushFileBuffers/test1/FlushFileBuffers.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/FlushFileBuffers/test1/FlushFileBuffers.cpp rename to src/coreclr/pal/tests/palsuite/file_io/FlushFileBuffers/test1/FlushFileBuffers.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetConsoleOutputCP/test1/GetConsoleOutputCP.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetConsoleOutputCP/test1/GetConsoleOutputCP.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetConsoleOutputCP/test1/GetConsoleOutputCP.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetConsoleOutputCP/test1/GetConsoleOutputCP.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetCurrentDirectoryA/test1/GetCurrentDirectoryA.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetCurrentDirectoryA/test1/GetCurrentDirectoryA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetCurrentDirectoryA/test1/GetCurrentDirectoryA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetCurrentDirectoryA/test1/GetCurrentDirectoryA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetCurrentDirectoryW/test1/GetCurrentDirectoryW.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetCurrentDirectoryW/test1/GetCurrentDirectoryW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetCurrentDirectoryW/test1/GetCurrentDirectoryW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetCurrentDirectoryW/test1/GetCurrentDirectoryW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_test_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_test_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/GetFileAttributesA.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/GetFileAttributesA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/GetFileAttributesA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/GetFileAttributesA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_directory/anchor.txt b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_directory/anchor.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_directory/anchor.txt rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_directory/anchor.txt diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/.hidden_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/normal_test_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/ro_test_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesExW/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesExW/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/.hidden_ro_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/GetFileAttributesW.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/GetFileAttributesW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesW/test1/GetFileAttributesW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/GetFileAttributesW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/no_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/no_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/normal_test_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/normal_test_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_ro_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/ro_test_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/ro_test_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_file b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_file similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/rw_file rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_file diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_directory/keepme b/src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_test_directory/keepme similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileAttributesA/test1/.hidden_directory/keepme rename to src/coreclr/pal/tests/palsuite/file_io/GetFileAttributesW/test1/rw_test_directory/keepme diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileSize/test1/GetFileSize.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFileSize/test1/GetFileSize.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileSize/test1/GetFileSize.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFileSize/test1/GetFileSize.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFileSizeEx/test1/GetFileSizeEx.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFileSizeEx/test1/GetFileSizeEx.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFileSizeEx/test1/GetFileSizeEx.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFileSizeEx/test1/GetFileSizeEx.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameA/test1/GetFullPathNameA.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameA/test1/GetFullPathNameA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameA/test1/GetFullPathNameA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameA/test1/GetFullPathNameA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameA/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameA/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameA/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameA/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameA/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameA/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameA/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameA/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameA/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameA/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameA/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameA/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameW/test1/GetFullPathNameW.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameW/test1/GetFullPathNameW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameW/test1/GetFullPathNameW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameW/test1/GetFullPathNameW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameW/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameW/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameW/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameW/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameW/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameW/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameW/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameW/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameW/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameW/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetFullPathNameW/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetFullPathNameW/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetStdHandle/test1/GetStdHandle.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetStdHandle/test1/GetStdHandle.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetStdHandle/test1/GetStdHandle.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetStdHandle/test1/GetStdHandle.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetStdHandle/test2/GetStdHandle.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetStdHandle/test2/GetStdHandle.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetStdHandle/test2/GetStdHandle.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetStdHandle/test2/GetStdHandle.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetSystemTime/test1/test.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetSystemTime/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetSystemTime/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetSystemTime/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetSystemTimeAsFileTime/test1/GetSystemTimeAsFileTime.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetSystemTimeAsFileTime/test1/GetSystemTimeAsFileTime.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetSystemTimeAsFileTime/test1/GetSystemTimeAsFileTime.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetSystemTimeAsFileTime/test1/GetSystemTimeAsFileTime.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameA/test1/GetTempFileNameA.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameA/test1/GetTempFileNameA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameA/test1/GetTempFileNameA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameA/test1/GetTempFileNameA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameA/test2/GetTempFileNameA.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameA/test2/GetTempFileNameA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameA/test2/GetTempFileNameA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameA/test2/GetTempFileNameA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameA/test3/gettempfilenamea.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameA/test3/gettempfilenamea.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameA/test3/gettempfilenamea.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameA/test3/gettempfilenamea.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameW/test1/GetTempFileNameW.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameW/test1/GetTempFileNameW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameW/test1/GetTempFileNameW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameW/test1/GetTempFileNameW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameW/test2/GetTempFileNameW.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameW/test2/GetTempFileNameW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameW/test2/GetTempFileNameW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameW/test2/GetTempFileNameW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameW/test3/gettempfilenamew.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameW/test3/gettempfilenamew.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetTempFileNameW/test3/gettempfilenamew.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetTempFileNameW/test3/gettempfilenamew.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/GetTempPathW/test1/GetTempPathW.cpp b/src/coreclr/pal/tests/palsuite/file_io/GetTempPathW/test1/GetTempPathW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/GetTempPathW/test1/GetTempPathW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/GetTempPathW/test1/GetTempPathW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/MoveFileExW/test1/ExpectedResults.txt b/src/coreclr/pal/tests/palsuite/file_io/MoveFileExA/test1/ExpectedResults.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/MoveFileExW/test1/ExpectedResults.txt rename to src/coreclr/pal/tests/palsuite/file_io/MoveFileExA/test1/ExpectedResults.txt diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/MoveFileExA/test1/MoveFileExA.cpp b/src/coreclr/pal/tests/palsuite/file_io/MoveFileExA/test1/MoveFileExA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/MoveFileExA/test1/MoveFileExA.cpp rename to src/coreclr/pal/tests/palsuite/file_io/MoveFileExA/test1/MoveFileExA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/MoveFileExA/test1/ExpectedResults.txt b/src/coreclr/pal/tests/palsuite/file_io/MoveFileExW/test1/ExpectedResults.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/MoveFileExA/test1/ExpectedResults.txt rename to src/coreclr/pal/tests/palsuite/file_io/MoveFileExW/test1/ExpectedResults.txt diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/MoveFileExW/test1/MoveFileExW.cpp b/src/coreclr/pal/tests/palsuite/file_io/MoveFileExW/test1/MoveFileExW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/MoveFileExW/test1/MoveFileExW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/MoveFileExW/test1/MoveFileExW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test1/NonReadableFile.txt b/src/coreclr/pal/tests/palsuite/file_io/ReadFile/test1/NonReadableFile.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test1/NonReadableFile.txt rename to src/coreclr/pal/tests/palsuite/file_io/ReadFile/test1/NonReadableFile.txt diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test1/ReadFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/ReadFile/test1/ReadFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test1/ReadFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/ReadFile/test1/ReadFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test2/ReadFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/ReadFile/test2/ReadFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test2/ReadFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/ReadFile/test2/ReadFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test3/ReadFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/ReadFile/test3/ReadFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test3/ReadFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/ReadFile/test3/ReadFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test4/readfile.cpp b/src/coreclr/pal/tests/palsuite/file_io/ReadFile/test4/readfile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/ReadFile/test4/readfile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/ReadFile/test4/readfile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SearchPathW/test1/SearchPathW.cpp b/src/coreclr/pal/tests/palsuite/file_io/SearchPathW/test1/SearchPathW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SearchPathW/test1/SearchPathW.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SearchPathW/test1/SearchPathW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test1/SetEndOfFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test1/SetEndOfFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test1/SetEndOfFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test1/SetEndOfFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test2/SetEndOfFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test2/SetEndOfFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test2/SetEndOfFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test2/SetEndOfFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test3/SetEndOfFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test3/SetEndOfFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test3/SetEndOfFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test3/SetEndOfFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test4/setendoffile.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test4/setendoffile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test4/setendoffile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test4/setendoffile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetEndOfFile/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetEndOfFile/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test1/SetFilePointer.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test1/SetFilePointer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test1/SetFilePointer.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test1/SetFilePointer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test2/SetFilePointer.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test2/SetFilePointer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test2/SetFilePointer.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test2/SetFilePointer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test3/SetFilePointer.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test3/SetFilePointer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test3/SetFilePointer.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test3/SetFilePointer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test4/SetFilePointer.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test4/SetFilePointer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test4/SetFilePointer.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test4/SetFilePointer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test5/SetFilePointer.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test5/SetFilePointer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test5/SetFilePointer.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test5/SetFilePointer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test6/SetFilePointer.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test6/SetFilePointer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test6/SetFilePointer.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test6/SetFilePointer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test7/SetFilePointer.cpp b/src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test7/SetFilePointer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/SetFilePointer/test7/SetFilePointer.cpp rename to src/coreclr/pal/tests/palsuite/file_io/SetFilePointer/test7/SetFilePointer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test1/WriteFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/WriteFile/test1/WriteFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test1/WriteFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/WriteFile/test1/WriteFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test2/Results.txt b/src/coreclr/pal/tests/palsuite/file_io/WriteFile/test2/Results.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test2/Results.txt rename to src/coreclr/pal/tests/palsuite/file_io/WriteFile/test2/Results.txt diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test2/WriteFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/WriteFile/test2/WriteFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test2/WriteFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/WriteFile/test2/WriteFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test3/WriteFile.cpp b/src/coreclr/pal/tests/palsuite/file_io/WriteFile/test3/WriteFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test3/WriteFile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/WriteFile/test3/WriteFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test4/writefile.cpp b/src/coreclr/pal/tests/palsuite/file_io/WriteFile/test4/writefile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test4/writefile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/WriteFile/test4/writefile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test5/writefile.cpp b/src/coreclr/pal/tests/palsuite/file_io/WriteFile/test5/writefile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/WriteFile/test5/writefile.cpp rename to src/coreclr/pal/tests/palsuite/file_io/WriteFile/test5/writefile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/errorpathnotfound/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/file_io/errorpathnotfound/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/errorpathnotfound/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/file_io/errorpathnotfound/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/errorpathnotfound/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/file_io/errorpathnotfound/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/errorpathnotfound/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/file_io/errorpathnotfound/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/file_io/gettemppatha/test1/gettemppatha.cpp b/src/coreclr/pal/tests/palsuite/file_io/gettemppatha/test1/gettemppatha.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/file_io/gettemppatha/test1/gettemppatha.cpp rename to src/coreclr/pal/tests/palsuite/file_io/gettemppatha/test1/gettemppatha.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/CreateFileMapping_neg1/CreateFileMapping_neg.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/CreateFileMapping_neg1/CreateFileMapping_neg.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/CreateFileMapping_neg1/CreateFileMapping_neg.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/CreateFileMapping_neg1/CreateFileMapping_neg.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test1/CreateFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test1/CreateFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test1/CreateFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test1/CreateFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test2/CreateFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test2/CreateFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test2/CreateFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test2/CreateFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test3/CreateFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test3/CreateFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test3/CreateFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test3/CreateFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test4/CreateFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test4/CreateFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test4/CreateFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test4/CreateFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test5/CreateFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test5/CreateFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test5/CreateFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test5/CreateFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test6/CreateFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test6/CreateFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test6/CreateFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test6/CreateFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test7/createfilemapping.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test7/createfilemapping.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test7/createfilemapping.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test7/createfilemapping.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test8/createfilemapping.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test8/createfilemapping.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test8/createfilemapping.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test8/createfilemapping.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test9/createfilemapping.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test9/createfilemapping.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test9/createfilemapping.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/CreateFileMappingW/test9/createfilemapping.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/FreeLibrary.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/FreeLibrary.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/FreeLibrary.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/FreeLibrary.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/dlltest.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/dlltest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/dlltest.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test1/dlltest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibrary/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/dlltest.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/dlltest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/dlltest.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/dlltest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/FreeLibraryAndExitThread/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test1/GetModuleFileNameA.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test1/GetModuleFileNameA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test1/GetModuleFileNameA.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test1/GetModuleFileNameA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test2/GetModuleFileNameA.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test2/GetModuleFileNameA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test2/GetModuleFileNameA.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameA/test2/GetModuleFileNameA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test1/GetModuleFileNameW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test1/GetModuleFileNameW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test1/GetModuleFileNameW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test1/GetModuleFileNameW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test2/GetModuleFileNameW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test2/GetModuleFileNameW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test2/GetModuleFileNameW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetModuleFileNameW/test2/GetModuleFileNameW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/testlib.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/testlib.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/testlib.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test1/testlib.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/testlib.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/testlib.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/testlib.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/GetProcAddress/test2/testlib.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/LocalAlloc/test1/LocalAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/LocalAlloc/test1/LocalAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/LocalAlloc/test1/LocalAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/LocalAlloc/test1/LocalAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/LocalFree/test1/LocalFree.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/LocalFree/test1/LocalFree.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/LocalFree/test1/LocalFree.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/LocalFree/test1/LocalFree.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/LocalFree/test2/LocalFree.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/LocalFree/test2/LocalFree.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/LocalFree/test2/LocalFree.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/LocalFree/test2/LocalFree.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test1/MapViewOfFile.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test1/MapViewOfFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test1/MapViewOfFile.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test1/MapViewOfFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test2/MapViewOfFile.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test2/MapViewOfFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test2/MapViewOfFile.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test2/MapViewOfFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test3/MapViewOfFile.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test3/MapViewOfFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test3/MapViewOfFile.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test3/MapViewOfFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test4/mapviewoffile.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test4/mapviewoffile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test4/mapviewoffile.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test4/mapviewoffile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test5/mapviewoffile.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test5/mapviewoffile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test5/mapviewoffile.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test5/mapviewoffile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test6/mapviewoffile.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test6/mapviewoffile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test6/mapviewoffile.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/MapViewOfFile/test6/mapviewoffile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test1/OpenFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test1/OpenFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test1/OpenFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test1/OpenFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test2/OpenFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test2/OpenFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test2/OpenFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test2/OpenFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test3/OpenFileMappingW.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test3/OpenFileMappingW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test3/OpenFileMappingW.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/OpenFileMappingW/test3/OpenFileMappingW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/ProbeMemory_neg1/ProbeMemory_neg.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/ProbeMemory_neg1/ProbeMemory_neg.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/ProbeMemory_neg1/ProbeMemory_neg.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/ProbeMemory_neg1/ProbeMemory_neg.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/test1/ProbeMemory.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/test1/ProbeMemory.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/test1/ProbeMemory.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/ProbeMemory/test1/ProbeMemory.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test1/UnmapViewOfFile.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test1/UnmapViewOfFile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test1/UnmapViewOfFile.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test1/UnmapViewOfFile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test2/unmapviewoffile.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test2/unmapviewoffile.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test2/unmapviewoffile.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/UnmapViewOfFile/test2/unmapviewoffile.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test1/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test1/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test1/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test1/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test10/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test10/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test10/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test10/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test11/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test11/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test11/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test11/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test12/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test12/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test12/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test12/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test13/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test13/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test13/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test13/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test14/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test14/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test14/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test14/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test15/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test15/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test15/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test15/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test16/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test16/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test16/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test16/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test17/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test17/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test17/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test17/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test18/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test18/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test18/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test18/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test19/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test19/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test19/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test19/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test2/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test2/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test2/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test2/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test20/virtualalloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test20/virtualalloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test20/virtualalloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test20/virtualalloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test21/virtualalloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test21/virtualalloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test21/virtualalloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test21/virtualalloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test22/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test22/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test22/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test22/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test3/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test3/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test3/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test3/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test4/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test4/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test4/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test4/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test5/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test5/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test5/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test5/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test6/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test6/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test6/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test6/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test7/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test7/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test7/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test7/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test8/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test8/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test8/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test8/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test9/VirtualAlloc.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test9/VirtualAlloc.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test9/VirtualAlloc.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualAlloc/test9/VirtualAlloc.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test1/VirtualFree.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test1/VirtualFree.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test1/VirtualFree.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test1/VirtualFree.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test2/VirtualFree.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test2/VirtualFree.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test2/VirtualFree.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test2/VirtualFree.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test3/VirtualFree.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test3/VirtualFree.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test3/VirtualFree.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualFree/test3/VirtualFree.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test1/VirtualProtect.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test1/VirtualProtect.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test1/VirtualProtect.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test1/VirtualProtect.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test2/VirtualProtect.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test2/VirtualProtect.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test2/VirtualProtect.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test2/VirtualProtect.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test3/VirtualProtect.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test3/VirtualProtect.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test3/VirtualProtect.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test3/VirtualProtect.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test4/VirtualProtect.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test4/VirtualProtect.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test4/VirtualProtect.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test4/VirtualProtect.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test6/VirtualProtect.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test6/VirtualProtect.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test6/VirtualProtect.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test6/VirtualProtect.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test7/VirtualProtect.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test7/VirtualProtect.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test7/VirtualProtect.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualProtect/test7/VirtualProtect.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualQuery/test1/VirtualQuery.cpp b/src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualQuery/test1/VirtualQuery.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/filemapping_memmgt/VirtualQuery/test1/VirtualQuery.cpp rename to src/coreclr/pal/tests/palsuite/filemapping_memmgt/VirtualQuery/test1/VirtualQuery.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/issues.targets b/src/coreclr/pal/tests/palsuite/issues.targets similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/issues.targets rename to src/coreclr/pal/tests/palsuite/issues.targets diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test1/LoadLibraryA.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test1/LoadLibraryA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test1/LoadLibraryA.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test1/LoadLibraryA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test2/LoadLibraryA.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test2/LoadLibraryA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test2/LoadLibraryA.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test2/LoadLibraryA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test3/loadlibrarya.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test3/loadlibrarya.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test3/loadlibrarya.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test3/loadlibrarya.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test5/loadlibrarya.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test5/loadlibrarya.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test5/loadlibrarya.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test5/loadlibrarya.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test8/dlltest.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test6/dlltest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test8/dlltest.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test6/dlltest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test6/loadlibrarya.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test6/loadlibrarya.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test6/loadlibrarya.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test6/loadlibrarya.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test7/LoadLibraryA.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test7/LoadLibraryA.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test7/LoadLibraryA.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test7/LoadLibraryA.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test6/dlltest.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test8/dlltest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test6/dlltest.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test8/dlltest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test8/loadlibrarya.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test8/loadlibrarya.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryA/test8/loadlibrarya.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryA/test8/loadlibrarya.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryW/test1/LoadLibraryW.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryW/test1/LoadLibraryW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryW/test1/LoadLibraryW.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryW/test1/LoadLibraryW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryW/test2/loadlibraryw.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryW/test2/loadlibraryw.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryW/test2/loadlibraryw.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryW/test2/loadlibraryw.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryW/test3/loadlibraryw.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryW/test3/loadlibraryw.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryW/test3/loadlibraryw.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryW/test3/loadlibraryw.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryW/test5/loadlibraryw.cpp b/src/coreclr/pal/tests/palsuite/loader/LoadLibraryW/test5/loadlibraryw.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/loader/LoadLibraryW/test5/loadlibraryw.cpp rename to src/coreclr/pal/tests/palsuite/loader/LoadLibraryW/test5/loadlibraryw.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/CompareStringA/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/locale_info/CompareStringA/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/CompareStringA/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/CompareStringA/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/CompareStringW/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/locale_info/CompareStringW/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/CompareStringW/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/CompareStringW/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/GetACP/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/locale_info/GetACP/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/GetACP/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/GetACP/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/GetLocaleInfoW/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/locale_info/GetLocaleInfoW/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/GetLocaleInfoW/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/GetLocaleInfoW/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/GetLocaleInfoW/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/locale_info/GetLocaleInfoW/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/GetLocaleInfoW/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/GetLocaleInfoW/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/MultiByteToWideChar/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/locale_info/MultiByteToWideChar/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/MultiByteToWideChar/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/MultiByteToWideChar/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/MultiByteToWideChar/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/locale_info/MultiByteToWideChar/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/MultiByteToWideChar/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/MultiByteToWideChar/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/MultiByteToWideChar/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/locale_info/MultiByteToWideChar/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/MultiByteToWideChar/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/MultiByteToWideChar/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/MultiByteToWideChar/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/locale_info/MultiByteToWideChar/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/MultiByteToWideChar/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/MultiByteToWideChar/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/locale_info/WideCharToMultiByte/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/locale_info/WideCharToMultiByte/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/manual-inspect.dat b/src/coreclr/pal/tests/palsuite/manual-inspect.dat similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/manual-inspect.dat rename to src/coreclr/pal/tests/palsuite/manual-inspect.dat diff --git a/src/coreclr/src/pal/tests/palsuite/manual-unautomatable.dat b/src/coreclr/pal/tests/palsuite/manual-unautomatable.dat similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/manual-unautomatable.dat rename to src/coreclr/pal/tests/palsuite/manual-unautomatable.dat diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/CGroup/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/CGroup/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/CGroup/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/CGroup/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/CloseHandle/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/CloseHandle/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/CloseHandle/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/CloseHandle/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/CloseHandle/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/CloseHandle/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/CloseHandle/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/CloseHandle/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/CreatePipe/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/CreatePipe/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/CreatePipe/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/CreatePipe/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FlushInstructionCache/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FlushInstructionCache/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FlushInstructionCache/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FlushInstructionCache/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test3/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test3/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test3/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test3/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test4/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test4/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test4/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test4/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test5/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test5/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test5/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test5/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test6/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test6/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FormatMessageW/test6/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FormatMessageW/test6/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/FreeEnvironmentStringsW/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetCommandLineW/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetCommandLineW/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetCommandLineW/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetCommandLineW/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentStringsW/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentStringsW/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentStringsW/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentStringsW/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test3/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test3/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test3/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test3/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test4/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test4/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test4/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test4/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableA/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test3/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test3/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test3/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test3/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test4/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test4/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test4/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test4/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetEnvironmentVariableW/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetLastError/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetLastError/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetLastError/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetLastError/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetSystemInfo/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetSystemInfo/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetSystemInfo/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetSystemInfo/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GetTickCount/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GetTickCount/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GetTickCount/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GetTickCount/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/GlobalMemoryStatusEx/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/GlobalMemoryStatusEx/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/GlobalMemoryStatusEx/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/GlobalMemoryStatusEx/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterLockedExchangeAdd/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterLockedExchangeAdd/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterLockedExchangeAdd/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterLockedExchangeAdd/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedBit/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedBit/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedBit/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedBit/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedBit/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedBit/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedBit/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedBit/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchange64/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchangePointer/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchangePointer/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedCompareExchangePointer/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedCompareExchangePointer/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedDecrement/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedDecrement64/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedExchange/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedExchange/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedExchange/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedExchange/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedExchange64/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedExchange64/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedExchange64/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedExchange64/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedExchangePointer/test1/InterlockedExchangePointer.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedExchangePointer/test1/InterlockedExchangePointer.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedExchangePointer/test1/InterlockedExchangePointer.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedExchangePointer/test1/InterlockedExchangePointer.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedIncrement/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/InterlockedIncrement64/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadCodePtr/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/IsBadCodePtr/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadCodePtr/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/IsBadCodePtr/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadReadPtr/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/IsBadReadPtr/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadReadPtr/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/IsBadReadPtr/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/IsBadWritePtr/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/MessageBoxW/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/MessageBoxW/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/MessageBoxW/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/MessageBoxW/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/MessageBoxW/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/MessageBoxW/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/MessageBoxW/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/MessageBoxW/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableA/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test2/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetEnvironmentVariableW/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/SetLastError/test1/test.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/SetLastError/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/SetLastError/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/SetLastError/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/_i64tow/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/_i64tow/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/_i64tow/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/_i64tow/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/queryperformancecounter/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/queryperformancecounter/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/queryperformancecounter/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/queryperformancecounter/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/miscellaneous/queryperformancefrequency/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/miscellaneous/queryperformancefrequency/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/miscellaneous/queryperformancefrequency/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/miscellaneous/queryperformancefrequency/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_GetUserTempDirectoryW/test1/PAL_GetUserTempDirectoryW.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_GetUserTempDirectoryW/test1/PAL_GetUserTempDirectoryW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_GetUserTempDirectoryW/test1/PAL_GetUserTempDirectoryW.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_GetUserTempDirectoryW/test1/PAL_GetUserTempDirectoryW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test1/PAL_Initialize_Terminate.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test1/PAL_Initialize_Terminate.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test1/PAL_Initialize_Terminate.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test1/PAL_Initialize_Terminate.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test2/pal_initialize_twice.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test2/pal_initialize_twice.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test2/pal_initialize_twice.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_Initialize_Terminate/test2/pal_initialize_twice.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test1/PAL_RegisterLibraryW_UnregisterLibraryW.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test1/PAL_RegisterLibraryW_UnregisterLibraryW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test1/PAL_RegisterLibraryW_UnregisterLibraryW.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test1/PAL_RegisterLibraryW_UnregisterLibraryW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test2_neg/reg_unreg_libraryw_neg.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test2_neg/reg_unreg_libraryw_neg.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test2_neg/reg_unreg_libraryw_neg.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_RegisterLibraryW_UnregisterLibraryW/test2_neg/reg_unreg_libraryw_neg.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_errno/test1/PAL_errno.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_errno/test1/PAL_errno.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_errno/test1/PAL_errno.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_errno/test1/PAL_errno.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_get_stderr/test1/PAL_get_stderr.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_get_stderr/test1/PAL_get_stderr.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_get_stderr/test1/PAL_get_stderr.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_get_stderr/test1/PAL_get_stderr.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_get_stdin/test1/PAL_get_stdin.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_get_stdin/test1/PAL_get_stdin.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_get_stdin/test1/PAL_get_stdin.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_get_stdin/test1/PAL_get_stdin.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_get_stdout/test1/PAL_get_stdout.cpp b/src/coreclr/pal/tests/palsuite/pal_specific/PAL_get_stdout/test1/PAL_get_stdout.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/pal_specific/PAL_get_stdout/test1/PAL_get_stdout.cpp rename to src/coreclr/pal/tests/palsuite/pal_specific/PAL_get_stdout/test1/PAL_get_stdout.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/paltestlist.txt b/src/coreclr/pal/tests/palsuite/paltestlist.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/paltestlist.txt rename to src/coreclr/pal/tests/palsuite/paltestlist.txt diff --git a/src/coreclr/src/pal/tests/palsuite/paltestlist_to_be_reviewed.txt b/src/coreclr/pal/tests/palsuite/paltestlist_to_be_reviewed.txt similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/paltestlist_to_be_reviewed.txt rename to src/coreclr/pal/tests/palsuite/paltestlist_to_be_reviewed.txt diff --git a/src/coreclr/src/pal/tests/palsuite/paltests.cpp b/src/coreclr/pal/tests/palsuite/paltests.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/paltests.cpp rename to src/coreclr/pal/tests/palsuite/paltests.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/producepaltestlist.proj b/src/coreclr/pal/tests/palsuite/producepaltestlist.proj similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/producepaltestlist.proj rename to src/coreclr/pal/tests/palsuite/producepaltestlist.proj diff --git a/src/coreclr/src/pal/tests/palsuite/runpaltests.sh b/src/coreclr/pal/tests/palsuite/runpaltests.sh similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/runpaltests.sh rename to src/coreclr/pal/tests/palsuite/runpaltests.sh diff --git a/src/coreclr/src/pal/tests/palsuite/runpaltestshelix.sh b/src/coreclr/pal/tests/palsuite/runpaltestshelix.sh similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/runpaltestshelix.sh rename to src/coreclr/pal/tests/palsuite/runpaltestshelix.sh diff --git a/src/coreclr/src/pal/tests/palsuite/samples/test1/test.cpp b/src/coreclr/pal/tests/palsuite/samples/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/samples/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/samples/test1/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/samples/test2/test.cpp b/src/coreclr/pal/tests/palsuite/samples/test2/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/samples/test2/test.cpp rename to src/coreclr/pal/tests/palsuite/samples/test2/test.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/smoketest.script b/src/coreclr/pal/tests/palsuite/smoketest.script similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/smoketest.script rename to src/coreclr/pal/tests/palsuite/smoketest.script diff --git a/src/coreclr/src/pal/tests/palsuite/tests-manual.dat b/src/coreclr/pal/tests/palsuite/tests-manual.dat similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/tests-manual.dat rename to src/coreclr/pal/tests/palsuite/tests-manual.dat diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateEventW/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateEventW/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateEventW/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateEventW/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateEventW/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateEventW/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateEventW/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateEventW/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateEventW/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateEventW/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateEventW/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateEventW/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test1/CreateMutexW.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test1/CreateMutexW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test1/CreateMutexW.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test1/CreateMutexW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test2/CreateMutexW.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test2/CreateMutexW.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test2/CreateMutexW.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateMutexW_ReleaseMutex/test2/CreateMutexW.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test1/childProcess.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test1/childProcess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test1/childProcess.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test1/childProcess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test1/parentProcess.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test1/parentProcess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test1/parentProcess.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test1/parentProcess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test2/childprocess.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test2/childprocess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test2/childprocess.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test2/childprocess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test2/parentprocess.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test2/parentprocess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test2/parentprocess.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test2/parentprocess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test2/test2.h b/src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test2/test2.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateProcessW/test2/test2.h rename to src/coreclr/pal/tests/palsuite/threading/CreateProcessW/test2/test2.h diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test1/CreateSemaphore.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test1/CreateSemaphore.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test1/CreateSemaphore.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test1/CreateSemaphore.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test2/CreateSemaphore.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test2/CreateSemaphore.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test2/CreateSemaphore.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test2/CreateSemaphore.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test3/createsemaphore.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test3/createsemaphore.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test3/createsemaphore.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateSemaphoreW_ReleaseSemaphore/test3/createsemaphore.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateThread/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateThread/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateThread/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateThread/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateThread/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateThread/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateThread/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateThread/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CreateThread/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/CreateThread/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CreateThread/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/CreateThread/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test1/InitializeCriticalSection.cpp b/src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test1/InitializeCriticalSection.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test1/InitializeCriticalSection.cpp rename to src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test1/InitializeCriticalSection.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/CriticalSectionFunctions/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/threading/CriticalSectionFunctions/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testinfo.dat b/src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testinfo.dat similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testinfo.dat rename to src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testinfo.dat diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testlib.cpp b/src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testlib.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testlib.cpp rename to src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test1/testlib.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/testinfo.dat b/src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/testinfo.dat similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/testinfo.dat rename to src/coreclr/pal/tests/palsuite/threading/DisableThreadLibraryCalls/test2/testinfo.dat diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test10/test10.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test10/test10.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test10/test10.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test10/test10.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test11/childprocess.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test11/childprocess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test11/childprocess.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test11/childprocess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test11/myexitcode.h b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test11/myexitcode.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test11/myexitcode.h rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test11/myexitcode.h diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test11/test11.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test11/test11.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test11/test11.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test11/test11.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test12/test12.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test12/test12.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test12/test12.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test12/test12.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test8/test8.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test8/test8.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test8/test8.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test8/test8.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test9/test9.cpp b/src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test9/test9.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/DuplicateHandle/test9/test9.cpp rename to src/coreclr/pal/tests/palsuite/threading/DuplicateHandle/test9/test9.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitProcess/test1/ExitProcess.cpp b/src/coreclr/pal/tests/palsuite/threading/ExitProcess/test1/ExitProcess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitProcess/test1/ExitProcess.cpp rename to src/coreclr/pal/tests/palsuite/threading/ExitProcess/test1/ExitProcess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitProcess/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/ExitProcess/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitProcess/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/ExitProcess/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitProcess/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/ExitProcess/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitProcess/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/ExitProcess/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/ExitThread/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/ExitThread/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test2/childprocess.cpp b/src/coreclr/pal/tests/palsuite/threading/ExitThread/test2/childprocess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test2/childprocess.cpp rename to src/coreclr/pal/tests/palsuite/threading/ExitThread/test2/childprocess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test2/myexitcode.h b/src/coreclr/pal/tests/palsuite/threading/ExitThread/test2/myexitcode.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test2/myexitcode.h rename to src/coreclr/pal/tests/palsuite/threading/ExitThread/test2/myexitcode.h diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/ExitThread/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/ExitThread/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test3/dllmain.cpp b/src/coreclr/pal/tests/palsuite/threading/ExitThread/test3/dllmain.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test3/dllmain.cpp rename to src/coreclr/pal/tests/palsuite/threading/ExitThread/test3/dllmain.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/ExitThread/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/ExitThread/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test3/testinfo.dat b/src/coreclr/pal/tests/palsuite/threading/ExitThread/test3/testinfo.dat similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ExitThread/test3/testinfo.dat rename to src/coreclr/pal/tests/palsuite/threading/ExitThread/test3/testinfo.dat diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetCurrentProcess/test1/process.cpp b/src/coreclr/pal/tests/palsuite/threading/GetCurrentProcess/test1/process.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetCurrentProcess/test1/process.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetCurrentProcess/test1/process.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetCurrentProcessId/test1/processId.cpp b/src/coreclr/pal/tests/palsuite/threading/GetCurrentProcessId/test1/processId.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetCurrentProcessId/test1/processId.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetCurrentProcessId/test1/processId.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetCurrentThread/test1/thread.cpp b/src/coreclr/pal/tests/palsuite/threading/GetCurrentThread/test1/thread.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetCurrentThread/test1/thread.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetCurrentThread/test1/thread.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetCurrentThread/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/GetCurrentThread/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetCurrentThread/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetCurrentThread/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetCurrentThreadId/test1/threadId.cpp b/src/coreclr/pal/tests/palsuite/threading/GetCurrentThreadId/test1/threadId.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetCurrentThreadId/test1/threadId.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetCurrentThreadId/test1/threadId.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetExitCodeProcess/test1/childProcess.cpp b/src/coreclr/pal/tests/palsuite/threading/GetExitCodeProcess/test1/childProcess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetExitCodeProcess/test1/childProcess.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetExitCodeProcess/test1/childProcess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetExitCodeProcess/test1/myexitcode.h b/src/coreclr/pal/tests/palsuite/threading/GetExitCodeProcess/test1/myexitcode.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetExitCodeProcess/test1/myexitcode.h rename to src/coreclr/pal/tests/palsuite/threading/GetExitCodeProcess/test1/myexitcode.h diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetExitCodeProcess/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/GetExitCodeProcess/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetExitCodeProcess/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetExitCodeProcess/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetProcessTimes/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/GetProcessTimes/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetProcessTimes/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetProcessTimes/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/GetThreadTimes/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/GetThreadTimes/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/GetThreadTimes/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/GetThreadTimes/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/NamedMutex/test1/namedmutex.cpp b/src/coreclr/pal/tests/palsuite/threading/NamedMutex/test1/namedmutex.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/NamedMutex/test1/namedmutex.cpp rename to src/coreclr/pal/tests/palsuite/threading/NamedMutex/test1/namedmutex.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/NamedMutex/test1/nopal.cpp b/src/coreclr/pal/tests/palsuite/threading/NamedMutex/test1/nopal.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/NamedMutex/test1/nopal.cpp rename to src/coreclr/pal/tests/palsuite/threading/NamedMutex/test1/nopal.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/OpenEventW/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/OpenEventW/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/OpenEventW/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/OpenEventW/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test3/childprocess.cpp b/src/coreclr/pal/tests/palsuite/threading/OpenEventW/test3/childprocess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test3/childprocess.cpp rename to src/coreclr/pal/tests/palsuite/threading/OpenEventW/test3/childprocess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/OpenEventW/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/OpenEventW/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/threading/OpenEventW/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/threading/OpenEventW/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/threading/OpenEventW/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenEventW/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/threading/OpenEventW/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenProcess/test1/childProcess.cpp b/src/coreclr/pal/tests/palsuite/threading/OpenProcess/test1/childProcess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenProcess/test1/childProcess.cpp rename to src/coreclr/pal/tests/palsuite/threading/OpenProcess/test1/childProcess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenProcess/test1/myexitcode.h b/src/coreclr/pal/tests/palsuite/threading/OpenProcess/test1/myexitcode.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenProcess/test1/myexitcode.h rename to src/coreclr/pal/tests/palsuite/threading/OpenProcess/test1/myexitcode.h diff --git a/src/coreclr/src/pal/tests/palsuite/threading/OpenProcess/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/OpenProcess/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/OpenProcess/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/OpenProcess/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/QueryThreadCycleTime/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/QueryThreadCycleTime/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/QueryThreadCycleTime/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/QueryThreadCycleTime/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test7/test7.cpp b/src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test7/test7.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/QueueUserAPC/test7/test7.cpp rename to src/coreclr/pal/tests/palsuite/threading/QueueUserAPC/test7/test7.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ReleaseMutex/test3/ReleaseMutex.cpp b/src/coreclr/pal/tests/palsuite/threading/ReleaseMutex/test3/ReleaseMutex.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ReleaseMutex/test3/ReleaseMutex.cpp rename to src/coreclr/pal/tests/palsuite/threading/ReleaseMutex/test3/ReleaseMutex.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ResetEvent/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/ResetEvent/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ResetEvent/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/ResetEvent/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ResetEvent/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/ResetEvent/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ResetEvent/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/ResetEvent/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ResetEvent/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/ResetEvent/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ResetEvent/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/ResetEvent/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ResetEvent/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/threading/ResetEvent/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ResetEvent/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/threading/ResetEvent/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ResumeThread/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/ResumeThread/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ResumeThread/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/ResumeThread/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SetErrorMode/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/SetErrorMode/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SetErrorMode/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/SetErrorMode/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SetEvent/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/SetEvent/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SetEvent/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/SetEvent/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SetEvent/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/SetEvent/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SetEvent/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/SetEvent/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SetEvent/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/SetEvent/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SetEvent/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/SetEvent/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SetEvent/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/threading/SetEvent/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SetEvent/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/threading/SetEvent/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SignalObjectAndWait/SignalObjectAndWaitTest.cpp b/src/coreclr/pal/tests/palsuite/threading/SignalObjectAndWait/SignalObjectAndWaitTest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SignalObjectAndWait/SignalObjectAndWaitTest.cpp rename to src/coreclr/pal/tests/palsuite/threading/SignalObjectAndWait/SignalObjectAndWaitTest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/Sleep/test1/Sleep.cpp b/src/coreclr/pal/tests/palsuite/threading/Sleep/test1/Sleep.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/Sleep/test1/Sleep.cpp rename to src/coreclr/pal/tests/palsuite/threading/Sleep/test1/Sleep.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/Sleep/test2/sleep.cpp b/src/coreclr/pal/tests/palsuite/threading/Sleep/test2/sleep.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/Sleep/test2/sleep.cpp rename to src/coreclr/pal/tests/palsuite/threading/Sleep/test2/sleep.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SleepEx/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/SleepEx/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SleepEx/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/SleepEx/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SleepEx/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/SleepEx/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SleepEx/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/SleepEx/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/SwitchToThread/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/SwitchToThread/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/SwitchToThread/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/SwitchToThread/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/TerminateProcess/test1/TerminateProcess.cpp b/src/coreclr/pal/tests/palsuite/threading/TerminateProcess/test1/TerminateProcess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/TerminateProcess/test1/TerminateProcess.cpp rename to src/coreclr/pal/tests/palsuite/threading/TerminateProcess/test1/TerminateProcess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/ThreadPriority/test1/ThreadPriority.cpp b/src/coreclr/pal/tests/palsuite/threading/ThreadPriority/test1/ThreadPriority.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/ThreadPriority/test1/ThreadPriority.cpp rename to src/coreclr/pal/tests/palsuite/threading/ThreadPriority/test1/ThreadPriority.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjects/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjects/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjects/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjects/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test2/test2.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test2/test2.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test2/test2.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test2/test2.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test3/test3.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test3/test3.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test3/test3.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test3/test3.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test4/test4.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test4/test4.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test4/test4.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test4/test4.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/commonconsts.h b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/commonconsts.h similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/commonconsts.h rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/commonconsts.h diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/helper.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/helper.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/helper.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/helper.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/test5.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/test5.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/test5.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test5/test5.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/child6.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/child6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/child6.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/child6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/test6.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/test6.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/test6.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForMultipleObjectsEx/test6/test6.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExMutexTest/WFSOExMutexTest.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExMutexTest/WFSOExMutexTest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExMutexTest/WFSOExMutexTest.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExMutexTest/WFSOExMutexTest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExSemaphoreTest/WFSOExSemaphoreTest.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExSemaphoreTest/WFSOExSemaphoreTest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExSemaphoreTest/WFSOExSemaphoreTest.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExSemaphoreTest/WFSOExSemaphoreTest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExThreadTest/WFSOExThreadTest.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExThreadTest/WFSOExThreadTest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExThreadTest/WFSOExThreadTest.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOExThreadTest/WFSOExThreadTest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOMutexTest/WFSOMutexTest.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOMutexTest/WFSOMutexTest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOMutexTest/WFSOMutexTest.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOMutexTest/WFSOMutexTest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/ChildProcess.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/ChildProcess.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/ChildProcess.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/ChildProcess.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/WFSOProcessTest.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/WFSOProcessTest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/WFSOProcessTest.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOProcessTest/WFSOProcessTest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOSemaphoreTest/WFSOSemaphoreTest.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOSemaphoreTest/WFSOSemaphoreTest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOSemaphoreTest/WFSOSemaphoreTest.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOSemaphoreTest/WFSOSemaphoreTest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOThreadTest/WFSOThreadTest.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOThreadTest/WFSOThreadTest.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/WFSOThreadTest/WFSOThreadTest.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/WFSOThreadTest/WFSOThreadTest.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/WaitForSingleObject/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/WaitForSingleObject/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/YieldProcessor/test1/test1.cpp b/src/coreclr/pal/tests/palsuite/threading/YieldProcessor/test1/test1.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/YieldProcessor/test1/test1.cpp rename to src/coreclr/pal/tests/palsuite/threading/YieldProcessor/test1/test1.cpp diff --git a/src/coreclr/src/pal/tests/palsuite/threading/releasesemaphore/test1/test.cpp b/src/coreclr/pal/tests/palsuite/threading/releasesemaphore/test1/test.cpp similarity index 100% rename from src/coreclr/src/pal/tests/palsuite/threading/releasesemaphore/test1/test.cpp rename to src/coreclr/pal/tests/palsuite/threading/releasesemaphore/test1/test.cpp diff --git a/src/coreclr/src/pal/tools/gen-dactable-rva.sh b/src/coreclr/pal/tools/gen-dactable-rva.sh similarity index 100% rename from src/coreclr/src/pal/tools/gen-dactable-rva.sh rename to src/coreclr/pal/tools/gen-dactable-rva.sh diff --git a/src/coreclr/src/pal/tools/preptests.sh b/src/coreclr/pal/tools/preptests.sh similarity index 100% rename from src/coreclr/src/pal/tools/preptests.sh rename to src/coreclr/pal/tools/preptests.sh diff --git a/src/coreclr/src/pal/tools/setup-ubuntuvm.sh b/src/coreclr/pal/tools/setup-ubuntuvm.sh similarity index 100% rename from src/coreclr/src/pal/tools/setup-ubuntuvm.sh rename to src/coreclr/pal/tools/setup-ubuntuvm.sh diff --git a/src/coreclr/src/pal/tools/smarty.sh b/src/coreclr/pal/tools/smarty.sh similarity index 100% rename from src/coreclr/src/pal/tools/smarty.sh rename to src/coreclr/pal/tools/smarty.sh diff --git a/src/coreclr/src/palrt/CMakeLists.txt b/src/coreclr/palrt/CMakeLists.txt similarity index 100% rename from src/coreclr/src/palrt/CMakeLists.txt rename to src/coreclr/palrt/CMakeLists.txt diff --git a/src/coreclr/src/palrt/bstr.cpp b/src/coreclr/palrt/bstr.cpp similarity index 100% rename from src/coreclr/src/palrt/bstr.cpp rename to src/coreclr/palrt/bstr.cpp diff --git a/src/coreclr/src/palrt/coguid.cpp b/src/coreclr/palrt/coguid.cpp similarity index 100% rename from src/coreclr/src/palrt/coguid.cpp rename to src/coreclr/palrt/coguid.cpp diff --git a/src/coreclr/src/palrt/comem.cpp b/src/coreclr/palrt/comem.cpp similarity index 100% rename from src/coreclr/src/palrt/comem.cpp rename to src/coreclr/palrt/comem.cpp diff --git a/src/coreclr/src/palrt/common.h b/src/coreclr/palrt/common.h similarity index 100% rename from src/coreclr/src/palrt/common.h rename to src/coreclr/palrt/common.h diff --git a/src/coreclr/src/palrt/guid.cpp b/src/coreclr/palrt/guid.cpp similarity index 100% rename from src/coreclr/src/palrt/guid.cpp rename to src/coreclr/palrt/guid.cpp diff --git a/src/coreclr/src/palrt/memorystream.cpp b/src/coreclr/palrt/memorystream.cpp similarity index 100% rename from src/coreclr/src/palrt/memorystream.cpp rename to src/coreclr/palrt/memorystream.cpp diff --git a/src/coreclr/src/palrt/path.cpp b/src/coreclr/palrt/path.cpp similarity index 100% rename from src/coreclr/src/palrt/path.cpp rename to src/coreclr/palrt/path.cpp diff --git a/src/coreclr/src/palrt/shlwapip.h b/src/coreclr/palrt/shlwapip.h similarity index 100% rename from src/coreclr/src/palrt/shlwapip.h rename to src/coreclr/palrt/shlwapip.h diff --git a/src/coreclr/src/palrt/variant.cpp b/src/coreclr/palrt/variant.cpp similarity index 100% rename from src/coreclr/src/palrt/variant.cpp rename to src/coreclr/palrt/variant.cpp diff --git a/src/coreclr/src/scripts/genDummyProvider.py b/src/coreclr/scripts/genDummyProvider.py similarity index 100% rename from src/coreclr/src/scripts/genDummyProvider.py rename to src/coreclr/scripts/genDummyProvider.py diff --git a/src/coreclr/src/scripts/genEtwProvider.py b/src/coreclr/scripts/genEtwProvider.py similarity index 100% rename from src/coreclr/src/scripts/genEtwProvider.py rename to src/coreclr/scripts/genEtwProvider.py diff --git a/src/coreclr/src/scripts/genEventPipe.py b/src/coreclr/scripts/genEventPipe.py similarity index 100% rename from src/coreclr/src/scripts/genEventPipe.py rename to src/coreclr/scripts/genEventPipe.py diff --git a/src/coreclr/src/scripts/genEventing.py b/src/coreclr/scripts/genEventing.py similarity index 100% rename from src/coreclr/src/scripts/genEventing.py rename to src/coreclr/scripts/genEventing.py diff --git a/src/coreclr/src/scripts/genEventingTests.py b/src/coreclr/scripts/genEventingTests.py similarity index 100% rename from src/coreclr/src/scripts/genEventingTests.py rename to src/coreclr/scripts/genEventingTests.py diff --git a/src/coreclr/src/scripts/genLttngProvider.py b/src/coreclr/scripts/genLttngProvider.py similarity index 100% rename from src/coreclr/src/scripts/genLttngProvider.py rename to src/coreclr/scripts/genLttngProvider.py diff --git a/src/coreclr/src/scripts/genRuntimeEventSources.py b/src/coreclr/scripts/genRuntimeEventSources.py similarity index 100% rename from src/coreclr/src/scripts/genRuntimeEventSources.py rename to src/coreclr/scripts/genRuntimeEventSources.py diff --git a/src/coreclr/src/scripts/pgocheck.py b/src/coreclr/scripts/pgocheck.py similarity index 100% rename from src/coreclr/src/scripts/pgocheck.py rename to src/coreclr/scripts/pgocheck.py diff --git a/src/coreclr/src/scripts/scripts.pyproj b/src/coreclr/scripts/scripts.pyproj similarity index 100% rename from src/coreclr/src/scripts/scripts.pyproj rename to src/coreclr/scripts/scripts.pyproj diff --git a/src/coreclr/scripts/superpmi.py b/src/coreclr/scripts/superpmi.py index 81b4019..2c91b2c 100755 --- a/src/coreclr/scripts/superpmi.py +++ b/src/coreclr/scripts/superpmi.py @@ -1817,7 +1817,7 @@ def determine_jit_ee_version(coreclr_args): """ Determine the JIT-EE version to use. The JIT-EE version is used for determining which MCH files to download and use. It is determined as follows: - 1. Try to parse it out of the source code. If we can find src\\coreclr\\src\\inc\\jiteeversionguid.h in the source + 1. Try to parse it out of the source code. If we can find src\\coreclr\\inc\\jiteeversionguid.h in the source tree (and we're already assuming we can find the repo root from the relative path of this script), then the JIT-EE version lives in jiteeversionguid.h as follows: @@ -1845,7 +1845,7 @@ def determine_jit_ee_version(coreclr_args): (str) The JIT-EE version to use """ - jiteeversionguid_h_path = os.path.join(coreclr_args.coreclr_dir, "src", "inc", "jiteeversionguid.h") + jiteeversionguid_h_path = os.path.join(coreclr_args.coreclr_dir, "inc", "jiteeversionguid.h") if os.path.isfile(jiteeversionguid_h_path): # The string is near the beginning of the somewhat large file, so just read a line at a time when searching. with open(jiteeversionguid_h_path, 'r') as file_handle: @@ -2403,7 +2403,7 @@ def process_base_jit_path_arg(coreclr_args): 3. If the `-base_git_hash` argument is used, use that directly as the exact git hash of the baseline JIT to use. 4. Otherwise, figure out the latest hash, starting with `base_git_hash`, that contains any changes to - the src\\coreclr\\src\\jit directory. (We do this because the JIT rolling build only includes + the src\\coreclr\\jit directory. (We do this because the JIT rolling build only includes builds for changes to this directory. So, this logic needs to stay in sync with the logic that determines what causes the JIT directory to be rebuilt. E.g., it should also get rebuilt if the JIT-EE interface GUID changes. Alternatively, we can take the entire list @@ -2468,7 +2468,7 @@ def process_base_jit_path_arg(coreclr_args): if coreclr_args.base_git_hash is None: # Enumerate the last 20 changes, starting with the baseline, that included JIT changes. - command = [ "git", "log", "--pretty=format:%H", baseline_hash, "-20", "--", "src/coreclr/src/jit/*" ] + command = [ "git", "log", "--pretty=format:%H", baseline_hash, "-20", "--", "src/coreclr/jit/*" ] logging.debug("Invoking: %s", " ".join(command)) proc = subprocess.Popen(command, stdout=subprocess.PIPE) stdout_change_list, _ = proc.communicate() diff --git a/src/coreclr/src/scripts/utilities.py b/src/coreclr/scripts/utilities.py similarity index 100% rename from src/coreclr/src/scripts/utilities.py rename to src/coreclr/scripts/utilities.py diff --git a/src/coreclr/src/CMakeLists.txt b/src/coreclr/src/CMakeLists.txt deleted file mode 100644 index 9285c57..0000000 --- a/src/coreclr/src/CMakeLists.txt +++ /dev/null @@ -1,102 +0,0 @@ -include_directories("inc") -include_directories("debug/inc") -include_directories("debug/inc/${ARCH_SOURCES_DIR}") -include_directories("debug/inc/dump") -include_directories("md/inc") -include_directories("classlibnative/bcltype") -include_directories("classlibnative/cryptography") -include_directories("classlibnative/inc") -include_directories("${GENERATED_INCLUDE_DIR}") -include_directories("hosts/inc") - -if(CLR_CMAKE_TARGET_WIN32 AND FEATURE_EVENT_TRACE) - include_directories("${GENERATED_INCLUDE_DIR}/etw") -endif(CLR_CMAKE_TARGET_WIN32 AND FEATURE_EVENT_TRACE) - -add_subdirectory(debug/dbgutil) - -if(CLR_CMAKE_HOST_UNIX) - if(CLR_CMAKE_BUILD_SUBSET_RUNTIME) - if(CLR_CMAKE_HOST_OSX OR (CLR_CMAKE_HOST_LINUX AND NOT CLR_CMAKE_HOST_UNIX_X86 AND NOT CLR_CMAKE_HOST_ANDROID)) - add_subdirectory(debug/createdump) - endif(CLR_CMAKE_HOST_OSX OR (CLR_CMAKE_HOST_LINUX AND NOT CLR_CMAKE_HOST_UNIX_X86 AND NOT CLR_CMAKE_HOST_ANDROID)) - endif(CLR_CMAKE_BUILD_SUBSET_RUNTIME) - - # Include the dummy c++ include files - include_directories("pal/inc/rt/cpp") - - # This prevents inclusion of standard C compiler headers - add_compile_options(-nostdinc) - - set (NATIVE_RESOURCE_DIR ${CMAKE_CURRENT_SOURCE_DIR}/nativeresources) - include_directories(${NATIVE_RESOURCE_DIR}) - set (RC_TO_CPP ${NATIVE_RESOURCE_DIR}/rctocpp.awk) - set (PROCESS_RC ${NATIVE_RESOURCE_DIR}/processrc.awk) - set (RESOURCE_STRING_HEADER_DIR ${NATIVE_RESOURCE_DIR}) - - # Create a command to create a C++ source file containing an array of - # NativeStringResource structs which represent the information from a - # given Windows .rc file. The target C++ file path is returned in the - # variable specified by the TARGET_FILE parameter. - function(build_resources SOURCE TARGET_NAME TARGET_FILE) - - set(PREPROCESSED_SOURCE ${CMAKE_CURRENT_BINARY_DIR}/${TARGET_NAME}.rc.i) - - preprocess_file(${SOURCE} ${PREPROCESSED_SOURCE}) - - set(RESOURCE_ENTRY_ARRAY_CPP ${CMAKE_CURRENT_BINARY_DIR}/${TARGET_NAME}.cpp) - - add_custom_command( - OUTPUT ${RESOURCE_ENTRY_ARRAY_CPP} - # Convert the preprocessed .rc file to a C++ file which will be used to make a static lib. - COMMAND ${AWK} -v name=${TARGET_NAME} -f ${RC_TO_CPP} -f ${PROCESS_RC} ${PREPROCESSED_SOURCE} >${RESOURCE_ENTRY_ARRAY_CPP} - DEPENDS ${PREPROCESSED_SOURCE} ${RC_TO_CPP} ${PROCESS_RC} - ) - - include_directories(${RESOURCE_STRING_HEADER_DIR}) - set(${TARGET_FILE} ${RESOURCE_ENTRY_ARRAY_CPP} PARENT_SCOPE) - - endfunction() - - add_subdirectory(nativeresources) -endif(CLR_CMAKE_HOST_UNIX) - -if ((CMAKE_CXX_COMPILER_ID STREQUAL "GNU") AND (CMAKE_CXX_COMPILER_VERSION VERSION_GREATER 10.0)) - add_compile_options(-Wno-error=stringop-overflow=) -endif() - -add_subdirectory(utilcode) -add_subdirectory(gcinfo) -add_subdirectory(jit) -add_subdirectory(inc) - -if(CLR_CMAKE_HOST_UNIX) - add_subdirectory(palrt) -endif(CLR_CMAKE_HOST_UNIX) - -add_subdirectory(vm) -if (CLR_CMAKE_BUILD_SUBSET_RUNTIME) - add_subdirectory(md) - add_subdirectory(debug) - add_subdirectory(binder) - add_subdirectory(classlibnative) - add_subdirectory(dlls) - add_subdirectory(ToolBox) - add_subdirectory(tools) - add_subdirectory(unwinder) - add_subdirectory(ildasm) - add_subdirectory(ilasm) - add_subdirectory(interop) - - if(CLR_CMAKE_HOST_WIN32) - add_subdirectory(hosts) - endif(CLR_CMAKE_HOST_WIN32) -else() - if(CLR_CMAKE_HOST_UNIX) - # this is needed to compile the jit on unix platforms. - # When the runtime subset is compiled, the add_subdirectory(dlls) above - # brings the mscorrc library into the build graph - add_subdirectory(dlls/mscorrc) - endif(CLR_CMAKE_HOST_UNIX) -endif(CLR_CMAKE_BUILD_SUBSET_RUNTIME) - diff --git a/src/coreclr/src/Directory.Build.props b/src/coreclr/src/Directory.Build.props deleted file mode 100644 index d07cd53..0000000 --- a/src/coreclr/src/Directory.Build.props +++ /dev/null @@ -1,9 +0,0 @@ - - - - - - Microsoft Corporation - Microsoft%AE .NET - - diff --git a/src/coreclr/src/Directory.Build.targets b/src/coreclr/src/Directory.Build.targets deleted file mode 100644 index bc0daa2..0000000 --- a/src/coreclr/src/Directory.Build.targets +++ /dev/null @@ -1,23 +0,0 @@ - - - - - - - - - - - - - - - - - - \ No newline at end of file diff --git a/src/coreclr/src/tools/CMakeLists.txt b/src/coreclr/tools/CMakeLists.txt similarity index 100% rename from src/coreclr/src/tools/CMakeLists.txt rename to src/coreclr/tools/CMakeLists.txt diff --git a/src/coreclr/src/tools/Common/CommandLine/Argument.cs b/src/coreclr/tools/Common/CommandLine/Argument.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/Argument.cs rename to src/coreclr/tools/Common/CommandLine/Argument.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentCommand.cs b/src/coreclr/tools/Common/CommandLine/ArgumentCommand.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentCommand.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentCommand.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentCommand_1.cs b/src/coreclr/tools/Common/CommandLine/ArgumentCommand_1.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentCommand_1.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentCommand_1.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentLexer.cs b/src/coreclr/tools/Common/CommandLine/ArgumentLexer.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentLexer.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentLexer.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentList_1.cs b/src/coreclr/tools/Common/CommandLine/ArgumentList_1.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentList_1.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentList_1.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentParser.cs b/src/coreclr/tools/Common/CommandLine/ArgumentParser.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentParser.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentParser.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentSyntax.cs b/src/coreclr/tools/Common/CommandLine/ArgumentSyntax.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentSyntax.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentSyntax.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentSyntaxException.cs b/src/coreclr/tools/Common/CommandLine/ArgumentSyntaxException.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentSyntaxException.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentSyntaxException.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentSyntax_Definers.cs b/src/coreclr/tools/Common/CommandLine/ArgumentSyntax_Definers.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentSyntax_Definers.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentSyntax_Definers.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/ArgumentToken.cs b/src/coreclr/tools/Common/CommandLine/ArgumentToken.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/ArgumentToken.cs rename to src/coreclr/tools/Common/CommandLine/ArgumentToken.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/Argument_1.cs b/src/coreclr/tools/Common/CommandLine/Argument_1.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/Argument_1.cs rename to src/coreclr/tools/Common/CommandLine/Argument_1.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/CommandLineException.cs b/src/coreclr/tools/Common/CommandLine/CommandLineException.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/CommandLineException.cs rename to src/coreclr/tools/Common/CommandLine/CommandLineException.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/CommandLineHelpers.cs b/src/coreclr/tools/Common/CommandLine/CommandLineHelpers.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/CommandLineHelpers.cs rename to src/coreclr/tools/Common/CommandLine/CommandLineHelpers.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/Enumerable.cs b/src/coreclr/tools/Common/CommandLine/Enumerable.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/Enumerable.cs rename to src/coreclr/tools/Common/CommandLine/Enumerable.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/HelpTextGenerator.cs b/src/coreclr/tools/Common/CommandLine/HelpTextGenerator.cs similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/HelpTextGenerator.cs rename to src/coreclr/tools/Common/CommandLine/HelpTextGenerator.cs diff --git a/src/coreclr/src/tools/Common/CommandLine/Resources/Strings.resx b/src/coreclr/tools/Common/CommandLine/Resources/Strings.resx similarity index 100% rename from src/coreclr/src/tools/Common/CommandLine/Resources/Strings.resx rename to src/coreclr/tools/Common/CommandLine/Resources/Strings.resx diff --git a/src/coreclr/src/tools/Common/Compiler/CodeGenerationFailedException.cs b/src/coreclr/tools/Common/Compiler/CodeGenerationFailedException.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/CodeGenerationFailedException.cs rename to src/coreclr/tools/Common/Compiler/CodeGenerationFailedException.cs diff --git a/src/coreclr/src/tools/Common/Compiler/CompilationBuilder.cs b/src/coreclr/tools/Common/Compiler/CompilationBuilder.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/CompilationBuilder.cs rename to src/coreclr/tools/Common/Compiler/CompilationBuilder.cs diff --git a/src/coreclr/src/tools/Common/Compiler/CompilationModuleGroup.cs b/src/coreclr/tools/Common/Compiler/CompilationModuleGroup.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/CompilationModuleGroup.cs rename to src/coreclr/tools/Common/Compiler/CompilationModuleGroup.cs diff --git a/src/coreclr/src/tools/Common/Compiler/CompilerTypeSystemContext.Validation.cs b/src/coreclr/tools/Common/Compiler/CompilerTypeSystemContext.Validation.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/CompilerTypeSystemContext.Validation.cs rename to src/coreclr/tools/Common/Compiler/CompilerTypeSystemContext.Validation.cs diff --git a/src/coreclr/src/tools/Common/Compiler/CompilerTypeSystemContext.cs b/src/coreclr/tools/Common/Compiler/CompilerTypeSystemContext.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/CompilerTypeSystemContext.cs rename to src/coreclr/tools/Common/Compiler/CompilerTypeSystemContext.cs diff --git a/src/coreclr/src/tools/Common/Compiler/CoreRTNameMangler.cs b/src/coreclr/tools/Common/Compiler/CoreRTNameMangler.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/CoreRTNameMangler.cs rename to src/coreclr/tools/Common/Compiler/CoreRTNameMangler.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/AssemblyStubNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/AssemblyStubNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/AssemblyStubNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/AssemblyStubNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/CompilerComparer.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/CompilerComparer.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/CompilerComparer.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/CompilerComparer.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/EmbeddedDataContainerNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/EmbeddedDataContainerNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/EmbeddedDataContainerNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/EmbeddedDataContainerNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/IMethodBodyNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/IMethodBodyNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/IMethodBodyNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/IMethodBodyNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/IMethodNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/IMethodNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/IMethodNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/IMethodNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/INodeWithCodeInfo.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/INodeWithCodeInfo.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/INodeWithCodeInfo.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/INodeWithCodeInfo.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/INodeWithRuntimeDeterminedDependencies.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/INodeWithRuntimeDeterminedDependencies.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/INodeWithRuntimeDeterminedDependencies.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/INodeWithRuntimeDeterminedDependencies.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ISortableNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/ISortableNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ISortableNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/ISortableNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ISymbolNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/ISymbolNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ISymbolNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/ISymbolNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/MethodReadOnlyDataNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/MethodReadOnlyDataNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/MethodReadOnlyDataNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/MethodReadOnlyDataNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ObjectAndOffsetSymbolNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/ObjectAndOffsetSymbolNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ObjectAndOffsetSymbolNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/ObjectAndOffsetSymbolNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ObjectDataBuilder.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/ObjectDataBuilder.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ObjectDataBuilder.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/ObjectDataBuilder.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ObjectNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/ObjectNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ObjectNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/ObjectNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ObjectNodeSection.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/ObjectNodeSection.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ObjectNodeSection.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/ObjectNodeSection.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Relocation.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Relocation.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Relocation.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Relocation.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ShadowConcreteMethodNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/ShadowConcreteMethodNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/ShadowConcreteMethodNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/ShadowConcreteMethodNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/SortableDependencyNode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/SortableDependencyNode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/SortableDependencyNode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/SortableDependencyNode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM/ARMEmitter.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM/ARMEmitter.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM/ARMEmitter.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM/ARMEmitter.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM/Register.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM/Register.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM/Register.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM/Register.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM/TargetRegisterMap.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM/TargetRegisterMap.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM/TargetRegisterMap.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM/TargetRegisterMap.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/ARM64Emitter.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/ARM64Emitter.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/ARM64Emitter.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/ARM64Emitter.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/AddrMode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/AddrMode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/AddrMode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/AddrMode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/Register.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/Register.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/Register.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/Register.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/TargetRegisterMap.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/TargetRegisterMap.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/TargetRegisterMap.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_ARM64/TargetRegisterMap.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X64/AddrMode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X64/AddrMode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X64/AddrMode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X64/AddrMode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X64/Register.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X64/Register.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X64/Register.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X64/Register.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X64/TargetRegisterMap.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X64/TargetRegisterMap.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X64/TargetRegisterMap.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X64/TargetRegisterMap.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X64/X64Emitter.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X64/X64Emitter.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X64/X64Emitter.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X64/X64Emitter.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X86/AddrMode.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X86/AddrMode.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X86/AddrMode.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X86/AddrMode.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X86/Register.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X86/Register.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X86/Register.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X86/Register.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X86/TargetRegisterMap.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X86/TargetRegisterMap.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X86/TargetRegisterMap.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X86/TargetRegisterMap.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X86/X86Emitter.cs b/src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X86/X86Emitter.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyAnalysis/Target_X86/X86Emitter.cs rename to src/coreclr/tools/Common/Compiler/DependencyAnalysis/Target_X86/X86Emitter.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DependencyTrackingLevel.cs b/src/coreclr/tools/Common/Compiler/DependencyTrackingLevel.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DependencyTrackingLevel.cs rename to src/coreclr/tools/Common/Compiler/DependencyTrackingLevel.cs diff --git a/src/coreclr/src/tools/Common/Compiler/DevirtualizationManager.cs b/src/coreclr/tools/Common/Compiler/DevirtualizationManager.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/DevirtualizationManager.cs rename to src/coreclr/tools/Common/Compiler/DevirtualizationManager.cs diff --git a/src/coreclr/src/tools/Common/Compiler/HardwareIntrinsicHelpers.cs b/src/coreclr/tools/Common/Compiler/HardwareIntrinsicHelpers.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/HardwareIntrinsicHelpers.cs rename to src/coreclr/tools/Common/Compiler/HardwareIntrinsicHelpers.cs diff --git a/src/coreclr/src/tools/Common/Compiler/ICompilationRootProvider.cs b/src/coreclr/tools/Common/Compiler/ICompilationRootProvider.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/ICompilationRootProvider.cs rename to src/coreclr/tools/Common/Compiler/ICompilationRootProvider.cs diff --git a/src/coreclr/src/tools/Common/Compiler/InstructionSetSupport.cs b/src/coreclr/tools/Common/Compiler/InstructionSetSupport.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/InstructionSetSupport.cs rename to src/coreclr/tools/Common/Compiler/InstructionSetSupport.cs diff --git a/src/coreclr/src/tools/Common/Compiler/InternalCompilerErrorException.cs b/src/coreclr/tools/Common/Compiler/InternalCompilerErrorException.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/InternalCompilerErrorException.cs rename to src/coreclr/tools/Common/Compiler/InternalCompilerErrorException.cs diff --git a/src/coreclr/src/tools/Common/Compiler/Logger.cs b/src/coreclr/tools/Common/Compiler/Logger.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/Logger.cs rename to src/coreclr/tools/Common/Compiler/Logger.cs diff --git a/src/coreclr/src/tools/Common/Compiler/NameMangler.cs b/src/coreclr/tools/Common/Compiler/NameMangler.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/NameMangler.cs rename to src/coreclr/tools/Common/Compiler/NameMangler.cs diff --git a/src/coreclr/src/tools/Common/Compiler/SingleMethodRootProvider.cs b/src/coreclr/tools/Common/Compiler/SingleMethodRootProvider.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/SingleMethodRootProvider.cs rename to src/coreclr/tools/Common/Compiler/SingleMethodRootProvider.cs diff --git a/src/coreclr/src/tools/Common/Compiler/TypeExtensions.cs b/src/coreclr/tools/Common/Compiler/TypeExtensions.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/TypeExtensions.cs rename to src/coreclr/tools/Common/Compiler/TypeExtensions.cs diff --git a/src/coreclr/src/tools/Common/Compiler/VectorFieldLayoutAlgorithm.cs b/src/coreclr/tools/Common/Compiler/VectorFieldLayoutAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/Compiler/VectorFieldLayoutAlgorithm.cs rename to src/coreclr/tools/Common/Compiler/VectorFieldLayoutAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/Internal/NativeFormat/NativeFormat.cs b/src/coreclr/tools/Common/Internal/NativeFormat/NativeFormat.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/NativeFormat/NativeFormat.cs rename to src/coreclr/tools/Common/Internal/NativeFormat/NativeFormat.cs diff --git a/src/coreclr/src/tools/Common/Internal/NativeFormat/NativeFormatWriter.Primitives.cs b/src/coreclr/tools/Common/Internal/NativeFormat/NativeFormatWriter.Primitives.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/NativeFormat/NativeFormatWriter.Primitives.cs rename to src/coreclr/tools/Common/Internal/NativeFormat/NativeFormatWriter.Primitives.cs diff --git a/src/coreclr/src/tools/Common/Internal/NativeFormat/NativeFormatWriter.cs b/src/coreclr/tools/Common/Internal/NativeFormat/NativeFormatWriter.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/NativeFormat/NativeFormatWriter.cs rename to src/coreclr/tools/Common/Internal/NativeFormat/NativeFormatWriter.cs diff --git a/src/coreclr/src/tools/Common/Internal/Runtime/CorConstants.cs b/src/coreclr/tools/Common/Internal/Runtime/CorConstants.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/Runtime/CorConstants.cs rename to src/coreclr/tools/Common/Internal/Runtime/CorConstants.cs diff --git a/src/coreclr/src/tools/Common/Internal/Runtime/ModuleHeaders.cs b/src/coreclr/tools/Common/Internal/Runtime/ModuleHeaders.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/Runtime/ModuleHeaders.cs rename to src/coreclr/tools/Common/Internal/Runtime/ModuleHeaders.cs diff --git a/src/coreclr/src/tools/Common/Internal/Runtime/ReadyToRunConstants.cs b/src/coreclr/tools/Common/Internal/Runtime/ReadyToRunConstants.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/Runtime/ReadyToRunConstants.cs rename to src/coreclr/tools/Common/Internal/Runtime/ReadyToRunConstants.cs diff --git a/src/coreclr/src/tools/Common/Internal/Runtime/ReadyToRunInstructionSet.cs b/src/coreclr/tools/Common/Internal/Runtime/ReadyToRunInstructionSet.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/Runtime/ReadyToRunInstructionSet.cs rename to src/coreclr/tools/Common/Internal/Runtime/ReadyToRunInstructionSet.cs diff --git a/src/coreclr/src/tools/Common/Internal/Runtime/ReadyToRunInstructionSetHelper.cs b/src/coreclr/tools/Common/Internal/Runtime/ReadyToRunInstructionSetHelper.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/Runtime/ReadyToRunInstructionSetHelper.cs rename to src/coreclr/tools/Common/Internal/Runtime/ReadyToRunInstructionSetHelper.cs diff --git a/src/coreclr/src/tools/Common/Internal/Text/Utf8String.cs b/src/coreclr/tools/Common/Internal/Text/Utf8String.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/Text/Utf8String.cs rename to src/coreclr/tools/Common/Internal/Text/Utf8String.cs diff --git a/src/coreclr/src/tools/Common/Internal/Text/Utf8StringBuilder.cs b/src/coreclr/tools/Common/Internal/Text/Utf8StringBuilder.cs similarity index 100% rename from src/coreclr/src/tools/Common/Internal/Text/Utf8StringBuilder.cs rename to src/coreclr/tools/Common/Internal/Text/Utf8StringBuilder.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/CorInfoBase.cs b/src/coreclr/tools/Common/JitInterface/CorInfoBase.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/CorInfoBase.cs rename to src/coreclr/tools/Common/JitInterface/CorInfoBase.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/CorInfoHelpFunc.cs b/src/coreclr/tools/Common/JitInterface/CorInfoHelpFunc.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/CorInfoHelpFunc.cs rename to src/coreclr/tools/Common/JitInterface/CorInfoHelpFunc.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/CorInfoImpl.Intrinsics.cs b/src/coreclr/tools/Common/JitInterface/CorInfoImpl.Intrinsics.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/CorInfoImpl.Intrinsics.cs rename to src/coreclr/tools/Common/JitInterface/CorInfoImpl.Intrinsics.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/CorInfoImpl.cs b/src/coreclr/tools/Common/JitInterface/CorInfoImpl.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/CorInfoImpl.cs rename to src/coreclr/tools/Common/JitInterface/CorInfoImpl.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/CorInfoInstructionSet.cs b/src/coreclr/tools/Common/JitInterface/CorInfoInstructionSet.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/CorInfoInstructionSet.cs rename to src/coreclr/tools/Common/JitInterface/CorInfoInstructionSet.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/CorInfoTypes.VarInfo.cs b/src/coreclr/tools/Common/JitInterface/CorInfoTypes.VarInfo.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/CorInfoTypes.VarInfo.cs rename to src/coreclr/tools/Common/JitInterface/CorInfoTypes.VarInfo.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/CorInfoTypes.cs b/src/coreclr/tools/Common/JitInterface/CorInfoTypes.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/CorInfoTypes.cs rename to src/coreclr/tools/Common/JitInterface/CorInfoTypes.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/JitConfigProvider.cs b/src/coreclr/tools/Common/JitInterface/JitConfigProvider.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/JitConfigProvider.cs rename to src/coreclr/tools/Common/JitInterface/JitConfigProvider.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/MemoryHelper.cs b/src/coreclr/tools/Common/JitInterface/MemoryHelper.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/MemoryHelper.cs rename to src/coreclr/tools/Common/JitInterface/MemoryHelper.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/SystemVStructClassificator.cs b/src/coreclr/tools/Common/JitInterface/SystemVStructClassificator.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/SystemVStructClassificator.cs rename to src/coreclr/tools/Common/JitInterface/SystemVStructClassificator.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/InstructionSetDesc.txt b/src/coreclr/tools/Common/JitInterface/ThunkGenerator/InstructionSetDesc.txt similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/InstructionSetDesc.txt rename to src/coreclr/tools/Common/JitInterface/ThunkGenerator/InstructionSetDesc.txt diff --git a/src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/InstructionSetGenerator.cs b/src/coreclr/tools/Common/JitInterface/ThunkGenerator/InstructionSetGenerator.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/InstructionSetGenerator.cs rename to src/coreclr/tools/Common/JitInterface/ThunkGenerator/InstructionSetGenerator.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/Program.cs b/src/coreclr/tools/Common/JitInterface/ThunkGenerator/Program.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/Program.cs rename to src/coreclr/tools/Common/JitInterface/ThunkGenerator/Program.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/ThunkGenerator.csproj b/src/coreclr/tools/Common/JitInterface/ThunkGenerator/ThunkGenerator.csproj similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/ThunkGenerator.csproj rename to src/coreclr/tools/Common/JitInterface/ThunkGenerator/ThunkGenerator.csproj diff --git a/src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/ThunkInput.txt b/src/coreclr/tools/Common/JitInterface/ThunkGenerator/ThunkInput.txt similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/ThunkInput.txt rename to src/coreclr/tools/Common/JitInterface/ThunkGenerator/ThunkInput.txt diff --git a/src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/gen.bat b/src/coreclr/tools/Common/JitInterface/ThunkGenerator/gen.bat similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/gen.bat rename to src/coreclr/tools/Common/JitInterface/ThunkGenerator/gen.bat diff --git a/src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/gen.sh b/src/coreclr/tools/Common/JitInterface/ThunkGenerator/gen.sh similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/ThunkGenerator/gen.sh rename to src/coreclr/tools/Common/JitInterface/ThunkGenerator/gen.sh diff --git a/src/coreclr/src/tools/Common/JitInterface/TypeString.cs b/src/coreclr/tools/Common/JitInterface/TypeString.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/TypeString.cs rename to src/coreclr/tools/Common/JitInterface/TypeString.cs diff --git a/src/coreclr/src/tools/Common/JitInterface/UnboxingMethodDesc.cs b/src/coreclr/tools/Common/JitInterface/UnboxingMethodDesc.cs similarity index 100% rename from src/coreclr/src/tools/Common/JitInterface/UnboxingMethodDesc.cs rename to src/coreclr/tools/Common/JitInterface/UnboxingMethodDesc.cs diff --git a/src/coreclr/src/tools/Common/Sorting/ArrayAccessor.cs b/src/coreclr/tools/Common/Sorting/ArrayAccessor.cs similarity index 100% rename from src/coreclr/src/tools/Common/Sorting/ArrayAccessor.cs rename to src/coreclr/tools/Common/Sorting/ArrayAccessor.cs diff --git a/src/coreclr/src/tools/Common/Sorting/ICompareAsEqualAction.cs b/src/coreclr/tools/Common/Sorting/ICompareAsEqualAction.cs similarity index 100% rename from src/coreclr/src/tools/Common/Sorting/ICompareAsEqualAction.cs rename to src/coreclr/tools/Common/Sorting/ICompareAsEqualAction.cs diff --git a/src/coreclr/src/tools/Common/Sorting/ISortableDataStructureAccessor.cs b/src/coreclr/tools/Common/Sorting/ISortableDataStructureAccessor.cs similarity index 100% rename from src/coreclr/src/tools/Common/Sorting/ISortableDataStructureAccessor.cs rename to src/coreclr/tools/Common/Sorting/ISortableDataStructureAccessor.cs diff --git a/src/coreclr/src/tools/Common/Sorting/ListAccessor.cs b/src/coreclr/tools/Common/Sorting/ListAccessor.cs similarity index 100% rename from src/coreclr/src/tools/Common/Sorting/ListAccessor.cs rename to src/coreclr/tools/Common/Sorting/ListAccessor.cs diff --git a/src/coreclr/src/tools/Common/Sorting/MergeSort.cs b/src/coreclr/tools/Common/Sorting/MergeSort.cs similarity index 100% rename from src/coreclr/src/tools/Common/Sorting/MergeSort.cs rename to src/coreclr/tools/Common/Sorting/MergeSort.cs diff --git a/src/coreclr/src/tools/Common/Sorting/MergeSortCore.cs b/src/coreclr/tools/Common/Sorting/MergeSortCore.cs similarity index 100% rename from src/coreclr/src/tools/Common/Sorting/MergeSortCore.cs rename to src/coreclr/tools/Common/Sorting/MergeSortCore.cs diff --git a/src/coreclr/src/tools/Common/System/Collections/Generic/ArrayBuilder.cs b/src/coreclr/tools/Common/System/Collections/Generic/ArrayBuilder.cs similarity index 100% rename from src/coreclr/src/tools/Common/System/Collections/Generic/ArrayBuilder.cs rename to src/coreclr/tools/Common/System/Collections/Generic/ArrayBuilder.cs diff --git a/src/coreclr/src/tools/Common/System/FormattingHelpers.cs b/src/coreclr/tools/Common/System/FormattingHelpers.cs similarity index 100% rename from src/coreclr/src/tools/Common/System/FormattingHelpers.cs rename to src/coreclr/tools/Common/System/FormattingHelpers.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/ArrayType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/ArrayType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/ArrayType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/ArrayType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/ByRefType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/ByRefType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/ByRefType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/ByRefType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/CanonTypes.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Canon/CanonTypes.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/CanonTypes.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/CanonTypes.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/CanonTypes.Interop.cs b/src/coreclr/tools/Common/TypeSystem/Canon/CanonTypes.Interop.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/CanonTypes.Interop.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/CanonTypes.Interop.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/CanonTypes.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Canon/CanonTypes.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/CanonTypes.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/CanonTypes.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/CanonTypes.cs b/src/coreclr/tools/Common/TypeSystem/Canon/CanonTypes.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/CanonTypes.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/CanonTypes.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/DefType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/DefType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/DefType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/DefType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/FunctionPointerType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/FunctionPointerType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/FunctionPointerType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/FunctionPointerType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/GenericParameterDesc.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/GenericParameterDesc.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/GenericParameterDesc.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/GenericParameterDesc.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/InstantiatedMethod.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/InstantiatedMethod.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/InstantiatedMethod.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/InstantiatedMethod.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/InstantiatedType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/InstantiatedType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/InstantiatedType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/InstantiatedType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/MetadataType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/MetadataType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/MetadataType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/MetadataType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/MethodDelegator.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/MethodDelegator.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/MethodDelegator.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/MethodDelegator.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/MethodDesc.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/MethodDesc.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/MethodDesc.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/MethodDesc.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/MethodForInstantiatedType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/MethodForInstantiatedType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/MethodForInstantiatedType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/MethodForInstantiatedType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/ParameterizedType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/ParameterizedType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/ParameterizedType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/ParameterizedType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/PointerType.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/PointerType.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/PointerType.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/PointerType.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/SignatureVariable.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/SignatureVariable.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/SignatureVariable.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/SignatureVariable.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/StandardCanonicalizationAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Canon/StandardCanonicalizationAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/StandardCanonicalizationAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/StandardCanonicalizationAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/TypeDesc.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/TypeDesc.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/TypeDesc.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/TypeDesc.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Canon/TypeSystemContext.Canon.cs b/src/coreclr/tools/Common/TypeSystem/Canon/TypeSystemContext.Canon.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Canon/TypeSystemContext.Canon.cs rename to src/coreclr/tools/Common/TypeSystem/Canon/TypeSystemContext.Canon.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/CodeGen/FieldDesc.CodeGen.cs b/src/coreclr/tools/Common/TypeSystem/CodeGen/FieldDesc.CodeGen.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/CodeGen/FieldDesc.CodeGen.cs rename to src/coreclr/tools/Common/TypeSystem/CodeGen/FieldDesc.CodeGen.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/CodeGen/MethodDelegator.CodeGen.cs b/src/coreclr/tools/Common/TypeSystem/CodeGen/MethodDelegator.CodeGen.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/CodeGen/MethodDelegator.CodeGen.cs rename to src/coreclr/tools/Common/TypeSystem/CodeGen/MethodDelegator.CodeGen.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/CodeGen/MethodDesc.CodeGen.cs b/src/coreclr/tools/Common/TypeSystem/CodeGen/MethodDesc.CodeGen.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/CodeGen/MethodDesc.CodeGen.cs rename to src/coreclr/tools/Common/TypeSystem/CodeGen/MethodDesc.CodeGen.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/CodeGen/TargetDetails.CodeGen.cs b/src/coreclr/tools/Common/TypeSystem/CodeGen/TargetDetails.CodeGen.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/CodeGen/TargetDetails.CodeGen.cs rename to src/coreclr/tools/Common/TypeSystem/CodeGen/TargetDetails.CodeGen.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/CodeGen/TypeDesc.CodeGen.cs b/src/coreclr/tools/Common/TypeSystem/CodeGen/TypeDesc.CodeGen.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/CodeGen/TypeDesc.CodeGen.cs rename to src/coreclr/tools/Common/TypeSystem/CodeGen/TypeDesc.CodeGen.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/AlignmentHelper.cs b/src/coreclr/tools/Common/TypeSystem/Common/AlignmentHelper.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/AlignmentHelper.cs rename to src/coreclr/tools/Common/TypeSystem/Common/AlignmentHelper.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ArrayMethod.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/ArrayMethod.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ArrayMethod.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ArrayMethod.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ArrayOfTRuntimeInterfacesAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/ArrayOfTRuntimeInterfacesAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ArrayOfTRuntimeInterfacesAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ArrayOfTRuntimeInterfacesAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ArrayType.cs b/src/coreclr/tools/Common/TypeSystem/Common/ArrayType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ArrayType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ArrayType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/BaseTypeRuntimeInterfacesAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/BaseTypeRuntimeInterfacesAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/BaseTypeRuntimeInterfacesAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/BaseTypeRuntimeInterfacesAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ByRefType.cs b/src/coreclr/tools/Common/TypeSystem/Common/ByRefType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ByRefType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ByRefType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/CastingHelper.cs b/src/coreclr/tools/Common/TypeSystem/Common/CastingHelper.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/CastingHelper.cs rename to src/coreclr/tools/Common/TypeSystem/Common/CastingHelper.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ConstructedTypeRewritingHelpers.cs b/src/coreclr/tools/Common/TypeSystem/Common/ConstructedTypeRewritingHelpers.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ConstructedTypeRewritingHelpers.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ConstructedTypeRewritingHelpers.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/DefType.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/DefType.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/DefType.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/DefType.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/DefType.Dummy.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/DefType.Dummy.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/DefType.Dummy.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/DefType.Dummy.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/DefType.FieldLayout.cs b/src/coreclr/tools/Common/TypeSystem/Common/DefType.FieldLayout.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/DefType.FieldLayout.cs rename to src/coreclr/tools/Common/TypeSystem/Common/DefType.FieldLayout.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/DefType.cs b/src/coreclr/tools/Common/TypeSystem/Common/DefType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/DefType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/DefType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ExceptionStringID.cs b/src/coreclr/tools/Common/TypeSystem/Common/ExceptionStringID.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ExceptionStringID.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ExceptionStringID.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ExplicitLayoutValidator.cs b/src/coreclr/tools/Common/TypeSystem/Common/ExplicitLayoutValidator.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ExplicitLayoutValidator.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ExplicitLayoutValidator.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/FieldDesc.FieldLayout.cs b/src/coreclr/tools/Common/TypeSystem/Common/FieldDesc.FieldLayout.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/FieldDesc.FieldLayout.cs rename to src/coreclr/tools/Common/TypeSystem/Common/FieldDesc.FieldLayout.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/FieldDesc.ToString.cs b/src/coreclr/tools/Common/TypeSystem/Common/FieldDesc.ToString.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/FieldDesc.ToString.cs rename to src/coreclr/tools/Common/TypeSystem/Common/FieldDesc.ToString.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/FieldDesc.cs b/src/coreclr/tools/Common/TypeSystem/Common/FieldDesc.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/FieldDesc.cs rename to src/coreclr/tools/Common/TypeSystem/Common/FieldDesc.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/FieldForInstantiatedType.cs b/src/coreclr/tools/Common/TypeSystem/Common/FieldForInstantiatedType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/FieldForInstantiatedType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/FieldForInstantiatedType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/FieldLayoutAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/FieldLayoutAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/FieldLayoutAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/FieldLayoutAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/FunctionPointerType.cs b/src/coreclr/tools/Common/TypeSystem/Common/FunctionPointerType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/FunctionPointerType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/FunctionPointerType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/GenericParameterDesc.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/GenericParameterDesc.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/GenericParameterDesc.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/GenericParameterDesc.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/GenericParameterDesc.Dummy.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/GenericParameterDesc.Dummy.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/GenericParameterDesc.Dummy.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/GenericParameterDesc.Dummy.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/GenericParameterDesc.cs b/src/coreclr/tools/Common/TypeSystem/Common/GenericParameterDesc.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/GenericParameterDesc.cs rename to src/coreclr/tools/Common/TypeSystem/Common/GenericParameterDesc.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/IAssemblyDesc.cs b/src/coreclr/tools/Common/TypeSystem/Common/IAssemblyDesc.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/IAssemblyDesc.cs rename to src/coreclr/tools/Common/TypeSystem/Common/IAssemblyDesc.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/IModuleResolver.cs b/src/coreclr/tools/Common/TypeSystem/Common/IModuleResolver.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/IModuleResolver.cs rename to src/coreclr/tools/Common/TypeSystem/Common/IModuleResolver.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedMethod.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/InstantiatedMethod.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedMethod.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/InstantiatedMethod.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedMethod.cs b/src/coreclr/tools/Common/TypeSystem/Common/InstantiatedMethod.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedMethod.cs rename to src/coreclr/tools/Common/TypeSystem/Common/InstantiatedMethod.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedType.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/InstantiatedType.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedType.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/InstantiatedType.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedType.Interfaces.cs b/src/coreclr/tools/Common/TypeSystem/Common/InstantiatedType.Interfaces.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedType.Interfaces.cs rename to src/coreclr/tools/Common/TypeSystem/Common/InstantiatedType.Interfaces.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedType.MethodImpls.cs b/src/coreclr/tools/Common/TypeSystem/Common/InstantiatedType.MethodImpls.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedType.MethodImpls.cs rename to src/coreclr/tools/Common/TypeSystem/Common/InstantiatedType.MethodImpls.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedType.cs b/src/coreclr/tools/Common/TypeSystem/Common/InstantiatedType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/InstantiatedType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/InstantiatedType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Instantiation.cs b/src/coreclr/tools/Common/TypeSystem/Common/Instantiation.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Instantiation.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Instantiation.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/LayoutInt.cs b/src/coreclr/tools/Common/TypeSystem/Common/LayoutInt.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/LayoutInt.cs rename to src/coreclr/tools/Common/TypeSystem/Common/LayoutInt.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/LinqPoison.cs b/src/coreclr/tools/Common/TypeSystem/Common/LinqPoison.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/LinqPoison.cs rename to src/coreclr/tools/Common/TypeSystem/Common/LinqPoison.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/LocalVariableDefinition.cs b/src/coreclr/tools/Common/TypeSystem/Common/LocalVariableDefinition.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/LocalVariableDefinition.cs rename to src/coreclr/tools/Common/TypeSystem/Common/LocalVariableDefinition.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MetadataFieldLayoutAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/MetadataFieldLayoutAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MetadataFieldLayoutAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MetadataFieldLayoutAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MetadataRuntimeInterfacesAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/MetadataRuntimeInterfacesAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MetadataRuntimeInterfacesAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MetadataRuntimeInterfacesAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MetadataType.Interfaces.cs b/src/coreclr/tools/Common/TypeSystem/Common/MetadataType.Interfaces.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MetadataType.Interfaces.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MetadataType.Interfaces.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MetadataType.MethodImpls.cs b/src/coreclr/tools/Common/TypeSystem/Common/MetadataType.MethodImpls.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MetadataType.MethodImpls.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MetadataType.MethodImpls.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MetadataType.cs b/src/coreclr/tools/Common/TypeSystem/Common/MetadataType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MetadataType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MetadataType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MetadataTypeSystemContext.cs b/src/coreclr/tools/Common/TypeSystem/Common/MetadataTypeSystemContext.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MetadataTypeSystemContext.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MetadataTypeSystemContext.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MetadataVirtualMethodAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/MetadataVirtualMethodAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MetadataVirtualMethodAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MetadataVirtualMethodAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MethodDelegator.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/MethodDelegator.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MethodDelegator.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MethodDelegator.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MethodDelegator.cs b/src/coreclr/tools/Common/TypeSystem/Common/MethodDelegator.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MethodDelegator.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MethodDelegator.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MethodDesc.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/MethodDesc.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MethodDesc.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MethodDesc.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MethodDesc.Dummy.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/MethodDesc.Dummy.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MethodDesc.Dummy.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MethodDesc.Dummy.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MethodDesc.ToString.cs b/src/coreclr/tools/Common/TypeSystem/Common/MethodDesc.ToString.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MethodDesc.ToString.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MethodDesc.ToString.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MethodDesc.cs b/src/coreclr/tools/Common/TypeSystem/Common/MethodDesc.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MethodDesc.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MethodDesc.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MethodForInstantiatedType.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Common/MethodForInstantiatedType.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MethodForInstantiatedType.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MethodForInstantiatedType.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/MethodForInstantiatedType.cs b/src/coreclr/tools/Common/TypeSystem/Common/MethodForInstantiatedType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/MethodForInstantiatedType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/MethodForInstantiatedType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ModuleDesc.cs b/src/coreclr/tools/Common/TypeSystem/Common/ModuleDesc.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ModuleDesc.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ModuleDesc.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ParameterizedType.cs b/src/coreclr/tools/Common/TypeSystem/Common/ParameterizedType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ParameterizedType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ParameterizedType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/PointerType.cs b/src/coreclr/tools/Common/TypeSystem/Common/PointerType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/PointerType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/PointerType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Properties/Resources.resx b/src/coreclr/tools/Common/TypeSystem/Common/Properties/Resources.resx similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Properties/Resources.resx rename to src/coreclr/tools/Common/TypeSystem/Common/Properties/Resources.resx diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/PropertySignature.cs b/src/coreclr/tools/Common/TypeSystem/Common/PropertySignature.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/PropertySignature.cs rename to src/coreclr/tools/Common/TypeSystem/Common/PropertySignature.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/RuntimeInterfacesAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/RuntimeInterfacesAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/RuntimeInterfacesAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/RuntimeInterfacesAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/SignatureVariable.cs b/src/coreclr/tools/Common/TypeSystem/Common/SignatureVariable.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/SignatureVariable.cs rename to src/coreclr/tools/Common/TypeSystem/Common/SignatureVariable.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TargetDetails.ToString.cs b/src/coreclr/tools/Common/TypeSystem/Common/TargetDetails.ToString.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TargetDetails.ToString.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TargetDetails.ToString.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TargetDetails.cs b/src/coreclr/tools/Common/TypeSystem/Common/TargetDetails.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TargetDetails.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TargetDetails.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ThreadSafeFlags.cs b/src/coreclr/tools/Common/TypeSystem/Common/ThreadSafeFlags.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ThreadSafeFlags.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ThreadSafeFlags.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ThrowHelper.Common.cs b/src/coreclr/tools/Common/TypeSystem/Common/ThrowHelper.Common.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ThrowHelper.Common.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ThrowHelper.Common.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/ThrowHelper.cs b/src/coreclr/tools/Common/TypeSystem/Common/ThrowHelper.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/ThrowHelper.cs rename to src/coreclr/tools/Common/TypeSystem/Common/ThrowHelper.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeDesc.Interfaces.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeDesc.Interfaces.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeDesc.Interfaces.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeDesc.Interfaces.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeDesc.ToString.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeDesc.ToString.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeDesc.ToString.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeDesc.ToString.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeDesc.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeDesc.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeDesc.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeDesc.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeFlags.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeFlags.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeFlags.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeFlags.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeHashingAlgorithms.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeHashingAlgorithms.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeHashingAlgorithms.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeHashingAlgorithms.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemConstraintsHelpers.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeSystemConstraintsHelpers.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemConstraintsHelpers.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeSystemConstraintsHelpers.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemContext.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeSystemContext.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemContext.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeSystemContext.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemEntity.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeSystemEntity.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemEntity.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeSystemEntity.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemException.Resources.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeSystemException.Resources.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemException.Resources.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeSystemException.Resources.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemException.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeSystemException.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemException.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeSystemException.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemHelpers.cs b/src/coreclr/tools/Common/TypeSystem/Common/TypeSystemHelpers.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/TypeSystemHelpers.cs rename to src/coreclr/tools/Common/TypeSystem/Common/TypeSystemHelpers.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/UniversalCanonLayoutAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/UniversalCanonLayoutAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/UniversalCanonLayoutAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/UniversalCanonLayoutAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameFormatter.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameFormatter.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameFormatter.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameFormatter.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameParser.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameParser.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameParser.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/CustomAttributeTypeNameParser.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/DebugNameFormatter.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/DebugNameFormatter.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/DebugNameFormatter.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/DebugNameFormatter.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.Metadata.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.Metadata.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.Metadata.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.Metadata.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/ExceptionTypeNameFormatter.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.Algorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.Algorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.Algorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.Algorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/GCPointerMap.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/LockFreeReaderHashtable.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/LockFreeReaderHashtable.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/LockFreeReaderHashtable.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/LockFreeReaderHashtable.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/TypeNameFormatter.cs b/src/coreclr/tools/Common/TypeSystem/Common/Utilities/TypeNameFormatter.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/Utilities/TypeNameFormatter.cs rename to src/coreclr/tools/Common/TypeSystem/Common/Utilities/TypeNameFormatter.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/VirtualMethodAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/Common/VirtualMethodAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/VirtualMethodAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/Common/VirtualMethodAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Common/WellKnownType.cs b/src/coreclr/tools/Common/TypeSystem/Common/WellKnownType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Common/WellKnownType.cs rename to src/coreclr/tools/Common/TypeSystem/Common/WellKnownType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/CachingMetadataStringDecoder.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/CachingMetadataStringDecoder.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/CachingMetadataStringDecoder.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/CachingMetadataStringDecoder.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/CustomAttributeTypeProvider.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/CustomAttributeTypeProvider.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/CustomAttributeTypeProvider.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/CustomAttributeTypeProvider.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaAssembly.Symbols.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaAssembly.Symbols.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaAssembly.Symbols.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaAssembly.Symbols.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaAssembly.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaAssembly.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaAssembly.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaAssembly.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaField.CodeGen.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaField.CodeGen.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaField.CodeGen.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaField.CodeGen.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaField.Serialization.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaField.Serialization.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaField.Serialization.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaField.Serialization.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaField.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaField.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaField.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaField.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaField.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaField.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaField.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaField.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaGenericParameter.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaMethod.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaMethod.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaMethod.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaMethod.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaMethod.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaMethod.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaMethod.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaMethod.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaMethod.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaMethod.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaMethod.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaMethod.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaModule.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaModule.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaModule.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaModule.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaModule.Symbols.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaModule.Symbols.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaModule.Symbols.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaModule.Symbols.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaModule.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaModule.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaModule.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaModule.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaSignatureParser.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaSignatureParser.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaSignatureParser.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaSignatureParser.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.Interfaces.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.Interfaces.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.Interfaces.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.Interfaces.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.MethodImpls.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.MethodImpls.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.MethodImpls.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.MethodImpls.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.Serialization.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.Serialization.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.Serialization.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.Serialization.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/EcmaType.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/EcmaType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/IMetadataStringDecoderProvider.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/IMetadataStringDecoderProvider.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/IMetadataStringDecoderProvider.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/IMetadataStringDecoderProvider.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/MetadataExtensions.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/MetadataExtensions.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/MetadataExtensions.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/MetadataExtensions.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/PrimitiveTypeProvider.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/PrimitiveTypeProvider.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/PrimitiveTypeProvider.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/PrimitiveTypeProvider.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/SymbolReader/PdbSymbolReader.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/SymbolReader/PdbSymbolReader.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/SymbolReader/PdbSymbolReader.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/SymbolReader/PdbSymbolReader.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/SymbolReader/PortablePdbSymbolReader.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/SymbolReader/PortablePdbSymbolReader.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/SymbolReader/PortablePdbSymbolReader.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/SymbolReader/PortablePdbSymbolReader.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Ecma/SymbolReader/UnmanagedPdbSymbolReader.cs b/src/coreclr/tools/Common/TypeSystem/Ecma/SymbolReader/UnmanagedPdbSymbolReader.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Ecma/SymbolReader/UnmanagedPdbSymbolReader.cs rename to src/coreclr/tools/Common/TypeSystem/Ecma/SymbolReader/UnmanagedPdbSymbolReader.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/EcmaMethodIL.Symbols.cs b/src/coreclr/tools/Common/TypeSystem/IL/EcmaMethodIL.Symbols.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/EcmaMethodIL.Symbols.cs rename to src/coreclr/tools/Common/TypeSystem/IL/EcmaMethodIL.Symbols.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/EcmaMethodIL.cs b/src/coreclr/tools/Common/TypeSystem/IL/EcmaMethodIL.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/EcmaMethodIL.cs rename to src/coreclr/tools/Common/TypeSystem/IL/EcmaMethodIL.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/HelperExtensions.cs b/src/coreclr/tools/Common/TypeSystem/IL/HelperExtensions.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/HelperExtensions.cs rename to src/coreclr/tools/Common/TypeSystem/IL/HelperExtensions.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/ILDisassembler.cs b/src/coreclr/tools/Common/TypeSystem/IL/ILDisassembler.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/ILDisassembler.cs rename to src/coreclr/tools/Common/TypeSystem/IL/ILDisassembler.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/ILImporter.cs b/src/coreclr/tools/Common/TypeSystem/IL/ILImporter.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/ILImporter.cs rename to src/coreclr/tools/Common/TypeSystem/IL/ILImporter.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/ILOpcode.cs b/src/coreclr/tools/Common/TypeSystem/IL/ILOpcode.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/ILOpcode.cs rename to src/coreclr/tools/Common/TypeSystem/IL/ILOpcode.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/ILOpcodeHelper.cs b/src/coreclr/tools/Common/TypeSystem/IL/ILOpcodeHelper.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/ILOpcodeHelper.cs rename to src/coreclr/tools/Common/TypeSystem/IL/ILOpcodeHelper.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/ILProvider.cs b/src/coreclr/tools/Common/TypeSystem/IL/ILProvider.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/ILProvider.cs rename to src/coreclr/tools/Common/TypeSystem/IL/ILProvider.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/ILStackHelper.cs b/src/coreclr/tools/Common/TypeSystem/IL/ILStackHelper.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/ILStackHelper.cs rename to src/coreclr/tools/Common/TypeSystem/IL/ILStackHelper.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/InstantiatedMethodIL.cs b/src/coreclr/tools/Common/TypeSystem/IL/InstantiatedMethodIL.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/InstantiatedMethodIL.cs rename to src/coreclr/tools/Common/TypeSystem/IL/InstantiatedMethodIL.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/MethodIL.Symbols.cs b/src/coreclr/tools/Common/TypeSystem/IL/MethodIL.Symbols.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/MethodIL.Symbols.cs rename to src/coreclr/tools/Common/TypeSystem/IL/MethodIL.Symbols.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/MethodIL.cs b/src/coreclr/tools/Common/TypeSystem/IL/MethodIL.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/MethodIL.cs rename to src/coreclr/tools/Common/TypeSystem/IL/MethodIL.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/MethodILDebugView.cs b/src/coreclr/tools/Common/TypeSystem/IL/MethodILDebugView.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/MethodILDebugView.cs rename to src/coreclr/tools/Common/TypeSystem/IL/MethodILDebugView.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/StackValueKind.cs b/src/coreclr/tools/Common/TypeSystem/IL/StackValueKind.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/StackValueKind.cs rename to src/coreclr/tools/Common/TypeSystem/IL/StackValueKind.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/ComparerIntrinsics.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/ComparerIntrinsics.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/ComparerIntrinsics.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/ComparerIntrinsics.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/ILEmitter.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/ILEmitter.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/ILEmitter.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/ILEmitter.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/InterlockedIntrinsics.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/InterlockedIntrinsics.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/InterlockedIntrinsics.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/InterlockedIntrinsics.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/MemoryMarshalIntrinsics.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/MemoryMarshalIntrinsics.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/MemoryMarshalIntrinsics.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/MemoryMarshalIntrinsics.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeILCodeStreams.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeILCodeStreams.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeILCodeStreams.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeILCodeStreams.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Mangling.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Mangling.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Mangling.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Mangling.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/PInvokeTargetNativeMethod.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/RuntimeHelpersIntrinsics.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/RuntimeHelpersIntrinsics.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/RuntimeHelpersIntrinsics.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/RuntimeHelpersIntrinsics.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/UnsafeIntrinsics.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/UnsafeIntrinsics.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/UnsafeIntrinsics.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/UnsafeIntrinsics.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/VolatileIntrinsics.cs b/src/coreclr/tools/Common/TypeSystem/IL/Stubs/VolatileIntrinsics.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/IL/Stubs/VolatileIntrinsics.cs rename to src/coreclr/tools/Common/TypeSystem/IL/Stubs/VolatileIntrinsics.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/FieldDesc.Interop.cs b/src/coreclr/tools/Common/TypeSystem/Interop/FieldDesc.Interop.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/FieldDesc.Interop.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/FieldDesc.Interop.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/IL/MarshalHelpers.cs b/src/coreclr/tools/Common/TypeSystem/Interop/IL/MarshalHelpers.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/IL/MarshalHelpers.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/IL/MarshalHelpers.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/IL/MarshalUtils.cs b/src/coreclr/tools/Common/TypeSystem/Interop/IL/MarshalUtils.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/IL/MarshalUtils.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/IL/MarshalUtils.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/IL/Marshaller.cs b/src/coreclr/tools/Common/TypeSystem/Interop/IL/Marshaller.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/IL/Marshaller.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/IL/Marshaller.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/InstantiatedType.Interop.cs b/src/coreclr/tools/Common/TypeSystem/Interop/InstantiatedType.Interop.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/InstantiatedType.Interop.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/InstantiatedType.Interop.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/InteropTypes.cs b/src/coreclr/tools/Common/TypeSystem/Interop/InteropTypes.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/InteropTypes.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/InteropTypes.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/MarshalAsDescriptor.cs b/src/coreclr/tools/Common/TypeSystem/Interop/MarshalAsDescriptor.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/MarshalAsDescriptor.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/MarshalAsDescriptor.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/MetadataType.Interop.cs b/src/coreclr/tools/Common/TypeSystem/Interop/MetadataType.Interop.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/MetadataType.Interop.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/MetadataType.Interop.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/MethodDelegator.Interop.cs b/src/coreclr/tools/Common/TypeSystem/Interop/MethodDelegator.Interop.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/MethodDelegator.Interop.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/MethodDelegator.Interop.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Interop/MethodDesc.Interop.cs b/src/coreclr/tools/Common/TypeSystem/Interop/MethodDesc.Interop.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Interop/MethodDesc.Interop.cs rename to src/coreclr/tools/Common/TypeSystem/Interop/MethodDesc.Interop.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Mangling/IPrefixMangledMethod.cs b/src/coreclr/tools/Common/TypeSystem/Mangling/IPrefixMangledMethod.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Mangling/IPrefixMangledMethod.cs rename to src/coreclr/tools/Common/TypeSystem/Mangling/IPrefixMangledMethod.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Mangling/IPrefixMangledSignature.cs b/src/coreclr/tools/Common/TypeSystem/Mangling/IPrefixMangledSignature.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Mangling/IPrefixMangledSignature.cs rename to src/coreclr/tools/Common/TypeSystem/Mangling/IPrefixMangledSignature.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Mangling/IPrefixMangledType.cs b/src/coreclr/tools/Common/TypeSystem/Mangling/IPrefixMangledType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Mangling/IPrefixMangledType.cs rename to src/coreclr/tools/Common/TypeSystem/Mangling/IPrefixMangledType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/ArrayType.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/ArrayType.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/ArrayType.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/ArrayType.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/ByRefType.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/ByRefType.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/ByRefType.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/ByRefType.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/DefType.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/DefType.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/DefType.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/DefType.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/FieldDesc.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/FieldDesc.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/FieldDesc.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/FieldDesc.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/FunctionPointerType.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/FunctionPointerType.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/FunctionPointerType.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/FunctionPointerType.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/GenericParameterDesc.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/GenericParameterDesc.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/GenericParameterDesc.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/GenericParameterDesc.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/MethodDesc.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/MethodDesc.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/MethodDesc.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/MethodDesc.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/MethodForRuntimeDeterminedType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/ParameterizedType.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/ParameterizedType.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/ParameterizedType.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/ParameterizedType.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/PointerType.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/PointerType.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/PointerType.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/PointerType.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedCanonicalizationAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedCanonicalizationAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedCanonicalizationAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedCanonicalizationAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedFieldLayoutAlgorithm.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedFieldLayoutAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedFieldLayoutAlgorithm.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedFieldLayoutAlgorithm.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Diagnostic.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Diagnostic.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Diagnostic.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Diagnostic.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedType.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedTypeUtilities.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedTypeUtilities.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedTypeUtilities.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/RuntimeDeterminedTypeUtilities.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/SignatureVariable.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/SignatureVariable.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/SignatureVariable.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/SignatureVariable.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/TypeDesc.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/TypeDesc.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/TypeDesc.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/TypeDesc.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/TypeSystemContext.RuntimeDetermined.cs b/src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/TypeSystemContext.RuntimeDetermined.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/RuntimeDetermined/TypeSystemContext.RuntimeDetermined.cs rename to src/coreclr/tools/Common/TypeSystem/RuntimeDetermined/TypeSystemContext.RuntimeDetermined.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Serialization/FieldDesc.Serialization.cs b/src/coreclr/tools/Common/TypeSystem/Serialization/FieldDesc.Serialization.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Serialization/FieldDesc.Serialization.cs rename to src/coreclr/tools/Common/TypeSystem/Serialization/FieldDesc.Serialization.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Serialization/TypeDesc.Serialization.cs b/src/coreclr/tools/Common/TypeSystem/Serialization/TypeDesc.Serialization.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Serialization/TypeDesc.Serialization.cs rename to src/coreclr/tools/Common/TypeSystem/Serialization/TypeDesc.Serialization.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/ArrayType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/ArrayType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/ArrayType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/ArrayType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/ByRefType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/ByRefType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/ByRefType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/ByRefType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/FieldDesc.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/FieldDesc.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/FieldDesc.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/FieldDesc.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/FieldForInstantiatedType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/FieldForInstantiatedType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/FieldForInstantiatedType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/FieldForInstantiatedType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/FunctionPointerType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/FunctionPointerType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/FunctionPointerType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/FunctionPointerType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/InstantiatedMethod.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/InstantiatedMethod.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/InstantiatedMethod.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/InstantiatedMethod.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/InstantiatedType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/InstantiatedType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/InstantiatedType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/InstantiatedType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/MethodDesc.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/MethodDesc.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/MethodDesc.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/MethodDesc.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/MethodForInstantiatedType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/MethodForInstantiatedType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/MethodForInstantiatedType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/MethodForInstantiatedType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/MethodSignature.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/MethodSignature.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/MethodSignature.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/MethodSignature.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/PointerType.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/PointerType.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/PointerType.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/PointerType.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/SignatureVariable.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/SignatureVariable.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/SignatureVariable.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/SignatureVariable.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/TypeDesc.Sorting.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/TypeDesc.Sorting.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/TypeDesc.Sorting.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/TypeDesc.Sorting.cs diff --git a/src/coreclr/src/tools/Common/TypeSystem/Sorting/TypeSystemComparer.cs b/src/coreclr/tools/Common/TypeSystem/Sorting/TypeSystemComparer.cs similarity index 100% rename from src/coreclr/src/tools/Common/TypeSystem/Sorting/TypeSystemComparer.cs rename to src/coreclr/tools/Common/TypeSystem/Sorting/TypeSystemComparer.cs diff --git a/src/coreclr/src/tools/Directory.Build.props b/src/coreclr/tools/Directory.Build.props similarity index 100% rename from src/coreclr/src/tools/Directory.Build.props rename to src/coreclr/tools/Directory.Build.props diff --git a/src/coreclr/src/tools/Directory.Build.targets b/src/coreclr/tools/Directory.Build.targets similarity index 100% rename from src/coreclr/src/tools/Directory.Build.targets rename to src/coreclr/tools/Directory.Build.targets diff --git a/src/coreclr/src/tools/GCLogParser/App.config b/src/coreclr/tools/GCLogParser/App.config similarity index 100% rename from src/coreclr/src/tools/GCLogParser/App.config rename to src/coreclr/tools/GCLogParser/App.config diff --git a/src/coreclr/src/tools/GCLogParser/Properties/AssemblyInfo.cs b/src/coreclr/tools/GCLogParser/Properties/AssemblyInfo.cs similarity index 100% rename from src/coreclr/src/tools/GCLogParser/Properties/AssemblyInfo.cs rename to src/coreclr/tools/GCLogParser/Properties/AssemblyInfo.cs diff --git a/src/coreclr/src/tools/GCLogParser/parse-hb-log.cs b/src/coreclr/tools/GCLogParser/parse-hb-log.cs similarity index 100% rename from src/coreclr/src/tools/GCLogParser/parse-hb-log.cs rename to src/coreclr/tools/GCLogParser/parse-hb-log.cs diff --git a/src/coreclr/src/tools/GCLogParser/parse-hb-log.csproj b/src/coreclr/tools/GCLogParser/parse-hb-log.csproj similarity index 100% rename from src/coreclr/src/tools/GCLogParser/parse-hb-log.csproj rename to src/coreclr/tools/GCLogParser/parse-hb-log.csproj diff --git a/src/coreclr/src/tools/GCLogParser/parse-hb-log.sln b/src/coreclr/tools/GCLogParser/parse-hb-log.sln similarity index 100% rename from src/coreclr/src/tools/GCLogParser/parse-hb-log.sln rename to src/coreclr/tools/GCLogParser/parse-hb-log.sln diff --git a/src/coreclr/src/tools/GenClrDebugResource/CMakeLists.txt b/src/coreclr/tools/GenClrDebugResource/CMakeLists.txt similarity index 100% rename from src/coreclr/src/tools/GenClrDebugResource/CMakeLists.txt rename to src/coreclr/tools/GenClrDebugResource/CMakeLists.txt diff --git a/src/coreclr/src/tools/GenClrDebugResource/GenClrDebugResource.cpp b/src/coreclr/tools/GenClrDebugResource/GenClrDebugResource.cpp similarity index 100% rename from src/coreclr/src/tools/GenClrDebugResource/GenClrDebugResource.cpp rename to src/coreclr/tools/GenClrDebugResource/GenClrDebugResource.cpp diff --git a/src/coreclr/src/tools/InjectResource/native.rc b/src/coreclr/tools/GenClrDebugResource/native.rc similarity index 100% rename from src/coreclr/src/tools/InjectResource/native.rc rename to src/coreclr/tools/GenClrDebugResource/native.rc diff --git a/src/coreclr/src/tools/ILVerification/AccessVerificationHelpers.cs b/src/coreclr/tools/ILVerification/AccessVerificationHelpers.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/AccessVerificationHelpers.cs rename to src/coreclr/tools/ILVerification/AccessVerificationHelpers.cs diff --git a/src/coreclr/src/tools/ILVerification/ILImporter.StackValue.cs b/src/coreclr/tools/ILVerification/ILImporter.StackValue.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/ILImporter.StackValue.cs rename to src/coreclr/tools/ILVerification/ILImporter.StackValue.cs diff --git a/src/coreclr/src/tools/ILVerification/ILImporter.Verify.cs b/src/coreclr/tools/ILVerification/ILImporter.Verify.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/ILImporter.Verify.cs rename to src/coreclr/tools/ILVerification/ILImporter.Verify.cs diff --git a/src/coreclr/src/tools/ILVerification/ILVerification.csproj b/src/coreclr/tools/ILVerification/ILVerification.csproj similarity index 100% rename from src/coreclr/src/tools/ILVerification/ILVerification.csproj rename to src/coreclr/tools/ILVerification/ILVerification.csproj diff --git a/src/coreclr/src/tools/ILVerification/ILVerification.projitems b/src/coreclr/tools/ILVerification/ILVerification.projitems similarity index 100% rename from src/coreclr/src/tools/ILVerification/ILVerification.projitems rename to src/coreclr/tools/ILVerification/ILVerification.projitems diff --git a/src/coreclr/src/tools/ILVerification/ILVerifyTypeSystemContext.cs b/src/coreclr/tools/ILVerification/ILVerifyTypeSystemContext.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/ILVerifyTypeSystemContext.cs rename to src/coreclr/tools/ILVerification/ILVerifyTypeSystemContext.cs diff --git a/src/coreclr/src/tools/ILVerification/IResolver.cs b/src/coreclr/tools/ILVerification/IResolver.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/IResolver.cs rename to src/coreclr/tools/ILVerification/IResolver.cs diff --git a/src/coreclr/src/tools/ILVerification/InstantiatedGenericParameter.cs b/src/coreclr/tools/ILVerification/InstantiatedGenericParameter.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/InstantiatedGenericParameter.cs rename to src/coreclr/tools/ILVerification/InstantiatedGenericParameter.cs diff --git a/src/coreclr/src/tools/ILVerification/README.md b/src/coreclr/tools/ILVerification/README.md similarity index 100% rename from src/coreclr/src/tools/ILVerification/README.md rename to src/coreclr/tools/ILVerification/README.md diff --git a/src/coreclr/src/tools/ILVerification/SimpleArrayOfTRuntimeInterfacesAlgorithm.cs b/src/coreclr/tools/ILVerification/SimpleArrayOfTRuntimeInterfacesAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/SimpleArrayOfTRuntimeInterfacesAlgorithm.cs rename to src/coreclr/tools/ILVerification/SimpleArrayOfTRuntimeInterfacesAlgorithm.cs diff --git a/src/coreclr/src/tools/ILVerification/Strings.resx b/src/coreclr/tools/ILVerification/Strings.resx similarity index 100% rename from src/coreclr/src/tools/ILVerification/Strings.resx rename to src/coreclr/tools/ILVerification/Strings.resx diff --git a/src/coreclr/src/tools/ILVerification/TypeSystemHelpers.cs b/src/coreclr/tools/ILVerification/TypeSystemHelpers.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/TypeSystemHelpers.cs rename to src/coreclr/tools/ILVerification/TypeSystemHelpers.cs diff --git a/src/coreclr/src/tools/ILVerification/TypeVerifier.cs b/src/coreclr/tools/ILVerification/TypeVerifier.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/TypeVerifier.cs rename to src/coreclr/tools/ILVerification/TypeVerifier.cs diff --git a/src/coreclr/src/tools/ILVerification/VerificationResult.cs b/src/coreclr/tools/ILVerification/VerificationResult.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/VerificationResult.cs rename to src/coreclr/tools/ILVerification/VerificationResult.cs diff --git a/src/coreclr/src/tools/ILVerification/Verifier.cs b/src/coreclr/tools/ILVerification/Verifier.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/Verifier.cs rename to src/coreclr/tools/ILVerification/Verifier.cs diff --git a/src/coreclr/src/tools/ILVerification/VerifierError.cs b/src/coreclr/tools/ILVerification/VerifierError.cs similarity index 100% rename from src/coreclr/src/tools/ILVerification/VerifierError.cs rename to src/coreclr/tools/ILVerification/VerifierError.cs diff --git a/src/coreclr/src/tools/ILVerify/ILVerify.csproj b/src/coreclr/tools/ILVerify/ILVerify.csproj similarity index 100% rename from src/coreclr/src/tools/ILVerify/ILVerify.csproj rename to src/coreclr/tools/ILVerify/ILVerify.csproj diff --git a/src/coreclr/src/tools/ILVerify/Program.cs b/src/coreclr/tools/ILVerify/Program.cs similarity index 100% rename from src/coreclr/src/tools/ILVerify/Program.cs rename to src/coreclr/tools/ILVerify/Program.cs diff --git a/src/coreclr/src/tools/ILVerify/README.md b/src/coreclr/tools/ILVerify/README.md similarity index 100% rename from src/coreclr/src/tools/ILVerify/README.md rename to src/coreclr/tools/ILVerify/README.md diff --git a/src/coreclr/src/tools/InjectResource/CMakeLists.txt b/src/coreclr/tools/InjectResource/CMakeLists.txt similarity index 100% rename from src/coreclr/src/tools/InjectResource/CMakeLists.txt rename to src/coreclr/tools/InjectResource/CMakeLists.txt diff --git a/src/coreclr/src/tools/InjectResource/InjectResource.cpp b/src/coreclr/tools/InjectResource/InjectResource.cpp similarity index 100% rename from src/coreclr/src/tools/InjectResource/InjectResource.cpp rename to src/coreclr/tools/InjectResource/InjectResource.cpp diff --git a/src/coreclr/src/tools/GenClrDebugResource/native.rc b/src/coreclr/tools/InjectResource/native.rc similarity index 100% rename from src/coreclr/src/tools/GenClrDebugResource/native.rc rename to src/coreclr/tools/InjectResource/native.rc diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/ComputedStaticDependencyNode.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/ComputedStaticDependencyNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/ComputedStaticDependencyNode.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/ComputedStaticDependencyNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzer.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzer.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzer.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzer.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzerBase.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzerBase.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzerBase.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyAnalyzerBase.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNode.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNode.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNodeCore.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNodeCore.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNodeCore.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DependencyNodeCore.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DgmlWriter.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DgmlWriter.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/DgmlWriter.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/DgmlWriter.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/EventSourceLogStrategy.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/EventSourceLogStrategy.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/EventSourceLogStrategy.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/EventSourceLogStrategy.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/FirstMarkLogStrategy.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/FirstMarkLogStrategy.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/FirstMarkLogStrategy.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/FirstMarkLogStrategy.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/FullGraphLogStrategy.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/FullGraphLogStrategy.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/FullGraphLogStrategy.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/FullGraphLogStrategy.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalysisMarkStrategy.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalysisMarkStrategy.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalysisMarkStrategy.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalysisMarkStrategy.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogEdgeVisitor.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogEdgeVisitor.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogEdgeVisitor.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogEdgeVisitor.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogNodeVisitor.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogNodeVisitor.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogNodeVisitor.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyAnalyzerLogNodeVisitor.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyNode.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyNode.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/IDependencyNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/ILCompiler.DependencyAnalysisFramework.csproj b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/ILCompiler.DependencyAnalysisFramework.csproj similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/ILCompiler.DependencyAnalysisFramework.csproj rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/ILCompiler.DependencyAnalysisFramework.csproj diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/NoLogStrategy.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/NoLogStrategy.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/NoLogStrategy.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/NoLogStrategy.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/PerfEventSource.cs b/src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/PerfEventSource.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.DependencyAnalysisFramework/PerfEventSource.cs rename to src/coreclr/tools/aot/ILCompiler.DependencyAnalysisFramework/PerfEventSource.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/CodeGen/ReadyToRunObjectWriter.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/CodeGen/ReadyToRunObjectWriter.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/CodeGen/ReadyToRunObjectWriter.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/CodeGen/ReadyToRunObjectWriter.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/CompilationModuleGroup.ReadyToRun.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/CompilationModuleGroup.ReadyToRun.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/CompilationModuleGroup.ReadyToRun.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/CompilationModuleGroup.ReadyToRun.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/CryptographicHashProvider.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/CryptographicHashProvider.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/CryptographicHashProvider.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/CryptographicHashProvider.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/AllMethodsOnTypeNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/AllMethodsOnTypeNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/AllMethodsOnTypeNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/AllMethodsOnTypeNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedDataNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedDataNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedDataNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedDataNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedPointersNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedPointersNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedPointersNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ArrayOfEmbeddedPointersNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedObjectNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedObjectNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedObjectNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedObjectNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedPointerIndirectionNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedPointerIndirectionNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedPointerIndirectionNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/EmbeddedPointerIndirectionNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ArgIterator.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ArgIterator.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ArgIterator.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ArgIterator.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AssemblyTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AssemblyTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AssemblyTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AssemblyTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AttributePresenceFilterNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AttributePresenceFilterNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AttributePresenceFilterNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/AttributePresenceFilterNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ByteArrayComparer.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ByteArrayComparer.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ByteArrayComparer.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ByteArrayComparer.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CompilerIdentifierNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CompilerIdentifierNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CompilerIdentifierNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CompilerIdentifierNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedCorHeaderNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedCorHeaderNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedCorHeaderNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedCorHeaderNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedFieldRvaNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedFieldRvaNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedFieldRvaNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedFieldRvaNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedManagedResourcesNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedManagedResourcesNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedManagedResourcesNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedManagedResourcesNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMetadataBlobNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMetadataBlobNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMetadataBlobNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMetadataBlobNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMethodILNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMethodILNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMethodILNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedMethodILNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedStrongNameSignatureNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedStrongNameSignatureNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedStrongNameSignatureNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/CopiedStrongNameSignatureNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryEntryNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryEntryNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryEntryNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryEntryNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugDirectoryNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugInfoTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugInfoTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugInfoTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DebugInfoTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperImport.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperImport.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperImport.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperImport.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperMethodImport.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperMethodImport.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperMethodImport.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadHelperMethodImport.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodCallThunkNodeRange.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodCallThunkNodeRange.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodCallThunkNodeRange.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodCallThunkNodeRange.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodImport.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodImport.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodImport.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelayLoadMethodImport.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelegateCtorSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelegateCtorSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelegateCtorSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DelegateCtorSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DevirtualizationManager.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DevirtualizationManager.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DevirtualizationManager.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/DevirtualizationManager.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ExceptionInfoLookupTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ExceptionInfoLookupTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ExceptionInfoLookupTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ExceptionInfoLookupTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/FieldFixupSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/FieldFixupSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/FieldFixupSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/FieldFixupSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapBuilder.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapBuilder.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapBuilder.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapBuilder.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GCRefMapNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GenericLookupSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GenericLookupSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GenericLookupSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/GenericLookupSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/HeaderNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/HeaderNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/HeaderNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/HeaderNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Import.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Import.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Import.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Import.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionsTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionsTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionsTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportSectionsTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportThunk.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportThunk.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportThunk.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ImportThunk.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InliningInfoNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InliningInfoNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InliningInfoNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InliningInfoNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InstanceEntryPointTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InstanceEntryPointTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InstanceEntryPointTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/InstanceEntryPointTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ManifestMetadataTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ManifestMetadataTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ManifestMetadataTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ManifestMetadataTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodEntryPointTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodEntryPointTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodEntryPointTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodEntryPointTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodFixupSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodFixupSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodFixupSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodFixupSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodGCInfoNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodGCInfoNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodGCInfoNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodGCInfoNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodWithGCInfo.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodWithGCInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodWithGCInfo.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/MethodWithGCInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleToken.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleToken.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleToken.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleToken.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleTokenResolver.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleTokenResolver.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleTokenResolver.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ModuleTokenResolver.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewArrayFixupSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewArrayFixupSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewArrayFixupSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewArrayFixupSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewObjectFixupSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewObjectFixupSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewObjectFixupSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NewObjectFixupSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NibbleWriter.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NibbleWriter.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NibbleWriter.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/NibbleWriter.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/OwnerCompositeExecutableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/OwnerCompositeExecutableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/OwnerCompositeExecutableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/OwnerCompositeExecutableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeHelperImport.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeHelperImport.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeHelperImport.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeHelperImport.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeMethodImport.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeMethodImport.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeMethodImport.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/PrecodeMethodImport.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataSectionNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataSectionNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataSectionNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ProfileDataSectionNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunHelperSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunHelperSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunHelperSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunHelperSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunInstructionSetSupportSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunInstructionSetSupportSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunInstructionSetSupportSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/ReadyToRunInstructionSetSupportSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsGCInfoNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsGCInfoNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsGCInfoNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsGCInfoNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/RuntimeFunctionsTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Signature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Signature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Signature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Signature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureBuilder.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureBuilder.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureBuilder.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureBuilder.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureContext.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureContext.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureContext.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureContext.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureEmbeddedPointerIndirectionNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureEmbeddedPointerIndirectionNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureEmbeddedPointerIndirectionNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/SignatureEmbeddedPointerIndirectionNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImport.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImport.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImport.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImport.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImportSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImportSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImportSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/StringImportSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM/ImportThunk.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM/ImportThunk.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM/ImportThunk.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM/ImportThunk.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM64/ImportThunk.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM64/ImportThunk.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM64/ImportThunk.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_ARM64/ImportThunk.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X64/ImportThunk.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X64/ImportThunk.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X64/ImportThunk.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X64/ImportThunk.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X86/ImportThunk.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X86/ImportThunk.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X86/ImportThunk.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Target_X86/ImportThunk.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TransitionBlock.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TransitionBlock.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TransitionBlock.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TransitionBlock.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypeFixupSignature.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypeFixupSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypeFixupSignature.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypeFixupSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypesTableNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypesTableNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypesTableNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/TypesTableNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Win32ResourcesNode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Win32ResourcesNode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Win32ResourcesNode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRun/Win32ResourcesNode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunCodegenNodeFactory.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunCodegenNodeFactory.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunCodegenNodeFactory.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunCodegenNodeFactory.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunSymbolNodeFactory.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunSymbolNodeFactory.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunSymbolNodeFactory.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/ReadyToRunSymbolNodeFactory.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/SortableDependencyNodeCompilerSpecific.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/SortableDependencyNodeCompilerSpecific.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/SortableDependencyNodeCompilerSpecific.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/SortableDependencyNodeCompilerSpecific.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/TypeAndMethod.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/TypeAndMethod.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/TypeAndMethod.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/DependencyAnalysis/TypeAndMethod.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/IRootingServiceProvider.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/IRootingServiceProvider.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/IRootingServiceProvider.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/IRootingServiceProvider.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/MethodExtensions.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/MethodExtensions.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/MethodExtensions.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/MethodExtensions.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/NoMethodsCompilationModuleGroup.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/NoMethodsCompilationModuleGroup.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/NoMethodsCompilationModuleGroup.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/NoMethodsCompilationModuleGroup.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/PerfEventSource.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/PerfEventSource.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/PerfEventSource.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/PerfEventSource.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ProfileData.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ProfileData.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ProfileData.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ProfileData.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilation.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilation.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilation.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilation.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilationBuilder.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilationBuilder.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilationBuilder.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCodegenCompilationBuilder.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilationModuleGroupBase.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilationModuleGroupBase.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilationModuleGroupBase.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilationModuleGroupBase.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilerContext.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilerContext.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilerContext.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunCompilerContext.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunFileLayoutOptimizer.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunFileLayoutOptimizer.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunFileLayoutOptimizer.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunFileLayoutOptimizer.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunHashCode.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunHashCode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunHashCode.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunHashCode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunLibraryRootProvider.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunLibraryRootProvider.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunLibraryRootProvider.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunLibraryRootProvider.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunMetadataFieldLayoutAlgorithm.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunMetadataFieldLayoutAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunMetadataFieldLayoutAlgorithm.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunMetadataFieldLayoutAlgorithm.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunSingleAssemblyCompilationModuleGroup.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunSingleAssemblyCompilationModuleGroup.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunSingleAssemblyCompilationModuleGroup.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunSingleAssemblyCompilationModuleGroup.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunTableManager.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunTableManager.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunTableManager.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/ReadyToRunTableManager.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/RuntimeDeterminedTypeHelper.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/RuntimeDeterminedTypeHelper.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/RuntimeDeterminedTypeHelper.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/RuntimeDeterminedTypeHelper.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/SingleMethodCompilationModuleGroup.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/SingleMethodCompilationModuleGroup.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/SingleMethodCompilationModuleGroup.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/SingleMethodCompilationModuleGroup.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/SystemObjectFieldLayoutAlgorithm.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/SystemObjectFieldLayoutAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Compiler/SystemObjectFieldLayoutAlgorithm.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Compiler/SystemObjectFieldLayoutAlgorithm.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataModel.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataModel.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataModel.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataModel.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataReader.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataReader.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataReader.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/IBCDataReader.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileData.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileData.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileData.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileData.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileParser.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileParser.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileParser.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/IBCProfileParser.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/MIbcProfileParser.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/MIbcProfileParser.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/MIbcProfileParser.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/MIbcProfileParser.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/ReaderExtensions.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/ReaderExtensions.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IBC/ReaderExtensions.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/IBC/ReaderExtensions.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IL/ReadyToRunILProvider.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/IL/ReadyToRunILProvider.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IL/ReadyToRunILProvider.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/IL/ReadyToRunILProvider.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IL/Stubs/PInvokeILEmitter.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/IL/Stubs/PInvokeILEmitter.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/IL/Stubs/PInvokeILEmitter.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/IL/Stubs/PInvokeILEmitter.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ILCompiler.ReadyToRun.csproj b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/ILCompiler.ReadyToRun.csproj similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ILCompiler.ReadyToRun.csproj rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/ILCompiler.ReadyToRun.csproj diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Interop/IL/Marshaller.ReadyToRun.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Interop/IL/Marshaller.ReadyToRun.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Interop/IL/Marshaller.ReadyToRun.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Interop/IL/Marshaller.ReadyToRun.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/JitInterface/CorInfoImpl.ReadyToRun.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/JitInterface/CorInfoImpl.ReadyToRun.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/JitInterface/CorInfoImpl.ReadyToRun.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/JitInterface/CorInfoImpl.ReadyToRun.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/JitInterface/UnboxingMethodDescFactory.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/JitInterface/UnboxingMethodDescFactory.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/JitInterface/UnboxingMethodDescFactory.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/JitInterface/UnboxingMethodDescFactory.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/MapFileBuilder.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/MapFileBuilder.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/MapFileBuilder.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/MapFileBuilder.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/R2RPEBuilder.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/R2RPEBuilder.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/R2RPEBuilder.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/R2RPEBuilder.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/RelocationHelper.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/RelocationHelper.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/RelocationHelper.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/RelocationHelper.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/SectionBuilder.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/SectionBuilder.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/SectionBuilder.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/SectionBuilder.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/TargetExtensions.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/TargetExtensions.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/TargetExtensions.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/ObjectWriter/TargetExtensions.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Reader.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Reader.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Reader.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Reader.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.ResourcesDataModel.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.ResourcesDataModel.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.ResourcesDataModel.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.ResourcesDataModel.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.UpdateResourceDataModel.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.UpdateResourceDataModel.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.UpdateResourceDataModel.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.UpdateResourceDataModel.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Win32Structs.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Win32Structs.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Win32Structs.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.Win32Structs.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.cs b/src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.cs rename to src/coreclr/tools/aot/ILCompiler.ReadyToRun/Win32Resources/ResourceData.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcInfo.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcInfo.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcSlotTable.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcSlotTable.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcSlotTable.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcSlotTable.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcTransition.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcTransition.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcTransition.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/GcTransition.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/Registers.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/Registers.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/Registers.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/Registers.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/UnwindInfo.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/UnwindInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/UnwindInfo.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Amd64/UnwindInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/Registers.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/Registers.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/Registers.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/Registers.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/UnwindInfo.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/UnwindInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/UnwindInfo.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm/UnwindInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/Registers.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/Registers.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/Registers.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/Registers.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/UnwindInfo.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/UnwindInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/UnwindInfo.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/Arm64/UnwindInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfo.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfo.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfoTypes.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfoTypes.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfoTypes.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/DebugInfoTypes.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/DisassemblingTypeProvider.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/DisassemblingTypeProvider.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/DisassemblingTypeProvider.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/DisassemblingTypeProvider.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/EHInfo.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/EHInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/EHInfo.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/EHInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/GCInfoTypes.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/GCInfoTypes.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/GCInfoTypes.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/GCInfoTypes.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/GCRefMap.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/GCRefMap.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/GCRefMap.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/GCRefMap.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyMetadata.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyMetadata.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyMetadata.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyMetadata.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyResolver.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyResolver.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyResolver.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/IAssemblyResolver.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ILCompiler.Reflection.ReadyToRun.csproj b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ILCompiler.Reflection.ReadyToRun.csproj similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ILCompiler.Reflection.ReadyToRun.csproj rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ILCompiler.Reflection.ReadyToRun.csproj diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection2.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection2.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection2.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/InliningInfoSection2.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeArray.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeArray.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeArray.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeArray.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeHashtable.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeHashtable.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeHashtable.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeHashtable.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeReader.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeReader.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeReader.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/NativeReader.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/NibbleReader.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/NibbleReader.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/NibbleReader.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/NibbleReader.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/PEReaderExtensions.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/PEReaderExtensions.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/PEReaderExtensions.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/PEReaderExtensions.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunHeader.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunHeader.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunHeader.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunHeader.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunImportSection.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunImportSection.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunImportSection.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunImportSection.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunMethod.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunMethod.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunMethod.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunMethod.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunReader.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunReader.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunReader.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunReader.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSection.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSection.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSection.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSection.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSignature.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSignature.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSignature.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/ReadyToRunSignature.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/StandaloneAssemblyMetadata.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/StandaloneAssemblyMetadata.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/StandaloneAssemblyMetadata.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/StandaloneAssemblyMetadata.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/StringExtensions.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/StringExtensions.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/StringExtensions.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/StringExtensions.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/TransitionBlock.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/TransitionBlock.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/TransitionBlock.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/TransitionBlock.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/CallPattern.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/CallPattern.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/CallPattern.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/CallPattern.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcInfo.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcInfo.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcSlotTable.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcSlotTable.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcSlotTable.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcSlotTable.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcTransition.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcTransition.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcTransition.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/GcTransition.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/InfoHdr.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/InfoHdr.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/InfoHdr.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/InfoHdr.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/Registers.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/Registers.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/Registers.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/Registers.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/UnwindInfo.cs b/src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/UnwindInfo.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/UnwindInfo.cs rename to src/coreclr/tools/aot/ILCompiler.Reflection.ReadyToRun/x86/UnwindInfo.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ArchitectureSpecificFieldLayoutTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ArchitectureSpecificFieldLayoutTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ArchitectureSpecificFieldLayoutTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ArchitectureSpecificFieldLayoutTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CanonicalizationTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CanonicalizationTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CanonicalizationTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CanonicalizationTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CastingTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CastingTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CastingTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CastingTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ConstraintsValidationTest.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ConstraintsValidationTest.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ConstraintsValidationTest.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ConstraintsValidationTest.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Canonicalization.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Canonicalization.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Canonicalization.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Canonicalization.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Casting.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Casting.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Casting.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Casting.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/CoreTestAssembly.csproj b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/CoreTestAssembly.csproj similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/CoreTestAssembly.csproj rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/CoreTestAssembly.csproj diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GCPointerMap.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GCPointerMap.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GCPointerMap.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GCPointerMap.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericConstraints.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericConstraints.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericConstraints.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericConstraints.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericTypes.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericTypes.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericTypes.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/GenericTypes.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Hashcode.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Hashcode.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Hashcode.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Hashcode.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InstanceFieldLayout.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InstanceFieldLayout.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InstanceFieldLayout.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InstanceFieldLayout.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InterfaceArrangements.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InterfaceArrangements.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InterfaceArrangements.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/InterfaceArrangements.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Platform.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Platform.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Platform.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/Platform.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/StaticFieldLayout.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/StaticFieldLayout.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/StaticFieldLayout.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/StaticFieldLayout.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/SyntheticVirtualOverride.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/SyntheticVirtualOverride.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/SyntheticVirtualOverride.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/SyntheticVirtualOverride.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/TypeNameParsing.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/TypeNameParsing.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/TypeNameParsing.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/TypeNameParsing.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/ValueTypeShapeCharacteristics.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/ValueTypeShapeCharacteristics.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/ValueTypeShapeCharacteristics.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/ValueTypeShapeCharacteristics.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/VirtualFunctionOverride.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/VirtualFunctionOverride.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/VirtualFunctionOverride.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/CoreTestAssembly/VirtualFunctionOverride.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ExceptionStringTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ExceptionStringTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ExceptionStringTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ExceptionStringTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GCPointerMapTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GCPointerMapTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GCPointerMapTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GCPointerMapTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GenericTypeAndMethodTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GenericTypeAndMethodTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GenericTypeAndMethodTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/GenericTypeAndMethodTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/HashcodeTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/HashcodeTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/HashcodeTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/HashcodeTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILCompiler.TypeSystem.ReadyToRun.Tests.csproj b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILCompiler.TypeSystem.ReadyToRun.Tests.csproj similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILCompiler.TypeSystem.ReadyToRun.Tests.csproj rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILCompiler.TypeSystem.ReadyToRun.Tests.csproj diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILDisassemblerTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILDisassemblerTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILDisassemblerTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILDisassemblerTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILDisassembler.il b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILDisassembler.il similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILDisassembler.il rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILDisassembler.il diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILTestAssembly.ilproj b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILTestAssembly.ilproj similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILTestAssembly.ilproj rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/ILTestAssembly.ilproj diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/InstanceFieldLayout.il b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/InstanceFieldLayout.il similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/InstanceFieldLayout.il rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/InstanceFieldLayout.il diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Main.il b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Main.il similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Main.il rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Main.il diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/MethodImplOverride1.il b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/MethodImplOverride1.il similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/MethodImplOverride1.il rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/MethodImplOverride1.il diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Signature.il b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Signature.il similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Signature.il rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/Signature.il diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/StaticFieldLayout.il b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/StaticFieldLayout.il similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/StaticFieldLayout.il rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/StaticFieldLayout.il diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/VirtualFunctionOverride.il b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/VirtualFunctionOverride.il similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/VirtualFunctionOverride.il rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ILTestAssembly/VirtualFunctionOverride.il diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InstanceFieldLayoutTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InstanceFieldLayoutTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InstanceFieldLayoutTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InstanceFieldLayoutTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InterfacesTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InterfacesTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InterfacesTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/InterfacesTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/RuntimeDeterminedTypesTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/RuntimeDeterminedTypesTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/RuntimeDeterminedTypesTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/RuntimeDeterminedTypesTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SignatureTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SignatureTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SignatureTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SignatureTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/StaticFieldLayoutTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/StaticFieldLayoutTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/StaticFieldLayoutTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/StaticFieldLayoutTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.DiagnosticName.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.DiagnosticName.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.DiagnosticName.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.DiagnosticName.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/SyntheticVirtualOverrideTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestMetadataFieldLayoutAlgorithm.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestMetadataFieldLayoutAlgorithm.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestMetadataFieldLayoutAlgorithm.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestMetadataFieldLayoutAlgorithm.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestTypeSystemContext.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestTypeSystemContext.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestTypeSystemContext.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TestTypeSystemContext.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TypeNameParsingTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TypeNameParsingTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TypeNameParsingTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/TypeNameParsingTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/UniversalGenericFieldLayoutTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/UniversalGenericFieldLayoutTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/UniversalGenericFieldLayoutTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/UniversalGenericFieldLayoutTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ValueTypeShapeCharacteristicsTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ValueTypeShapeCharacteristicsTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ValueTypeShapeCharacteristicsTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/ValueTypeShapeCharacteristicsTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/VirtualFunctionOverrideTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/VirtualFunctionOverrideTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/VirtualFunctionOverrideTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/VirtualFunctionOverrideTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/WellKnownTypeTests.cs b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/WellKnownTypeTests.cs similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/WellKnownTypeTests.cs rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun.Tests/WellKnownTypeTests.cs diff --git a/src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun/ILCompiler.TypeSystem.ReadyToRun.csproj b/src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun/ILCompiler.TypeSystem.ReadyToRun.csproj similarity index 100% rename from src/coreclr/src/tools/aot/ILCompiler.TypeSystem.ReadyToRun/ILCompiler.TypeSystem.ReadyToRun.csproj rename to src/coreclr/tools/aot/ILCompiler.TypeSystem.ReadyToRun/ILCompiler.TypeSystem.ReadyToRun.csproj diff --git a/src/coreclr/src/tools/aot/crossgen2.sln b/src/coreclr/tools/aot/crossgen2.sln similarity index 100% rename from src/coreclr/src/tools/aot/crossgen2.sln rename to src/coreclr/tools/aot/crossgen2.sln diff --git a/src/coreclr/src/tools/aot/crossgen2/CommandLineOptions.cs b/src/coreclr/tools/aot/crossgen2/CommandLineOptions.cs similarity index 100% rename from src/coreclr/src/tools/aot/crossgen2/CommandLineOptions.cs rename to src/coreclr/tools/aot/crossgen2/CommandLineOptions.cs diff --git a/src/coreclr/src/tools/aot/crossgen2/Program.cs b/src/coreclr/tools/aot/crossgen2/Program.cs similarity index 100% rename from src/coreclr/src/tools/aot/crossgen2/Program.cs rename to src/coreclr/tools/aot/crossgen2/Program.cs diff --git a/src/coreclr/src/tools/aot/crossgen2/Properties/Resources.resx b/src/coreclr/tools/aot/crossgen2/Properties/Resources.resx similarity index 100% rename from src/coreclr/src/tools/aot/crossgen2/Properties/Resources.resx rename to src/coreclr/tools/aot/crossgen2/Properties/Resources.resx diff --git a/src/coreclr/src/tools/aot/crossgen2/crossgen2.csproj b/src/coreclr/tools/aot/crossgen2/crossgen2.csproj similarity index 100% rename from src/coreclr/src/tools/aot/crossgen2/crossgen2.csproj rename to src/coreclr/tools/aot/crossgen2/crossgen2.csproj diff --git a/src/coreclr/src/tools/aot/jitinterface/CMakeLists.txt b/src/coreclr/tools/aot/jitinterface/CMakeLists.txt similarity index 100% rename from src/coreclr/src/tools/aot/jitinterface/CMakeLists.txt rename to src/coreclr/tools/aot/jitinterface/CMakeLists.txt diff --git a/src/coreclr/src/tools/aot/jitinterface/corinfoexception.cpp b/src/coreclr/tools/aot/jitinterface/corinfoexception.cpp similarity index 100% rename from src/coreclr/src/tools/aot/jitinterface/corinfoexception.cpp rename to src/coreclr/tools/aot/jitinterface/corinfoexception.cpp diff --git a/src/coreclr/src/tools/aot/jitinterface/corinfoexception.h b/src/coreclr/tools/aot/jitinterface/corinfoexception.h similarity index 100% rename from src/coreclr/src/tools/aot/jitinterface/corinfoexception.h rename to src/coreclr/tools/aot/jitinterface/corinfoexception.h diff --git a/src/coreclr/src/tools/aot/jitinterface/dllexport.h b/src/coreclr/tools/aot/jitinterface/dllexport.h similarity index 100% rename from src/coreclr/src/tools/aot/jitinterface/dllexport.h rename to src/coreclr/tools/aot/jitinterface/dllexport.h diff --git a/src/coreclr/src/tools/aot/jitinterface/jithost.cpp b/src/coreclr/tools/aot/jitinterface/jithost.cpp similarity index 100% rename from src/coreclr/src/tools/aot/jitinterface/jithost.cpp rename to src/coreclr/tools/aot/jitinterface/jithost.cpp diff --git a/src/coreclr/src/tools/aot/jitinterface/jitinterface.cpp b/src/coreclr/tools/aot/jitinterface/jitinterface.cpp similarity index 100% rename from src/coreclr/src/tools/aot/jitinterface/jitinterface.cpp rename to src/coreclr/tools/aot/jitinterface/jitinterface.cpp diff --git a/src/coreclr/src/tools/aot/jitinterface/jitinterface.h b/src/coreclr/tools/aot/jitinterface/jitinterface.h similarity index 100% rename from src/coreclr/src/tools/aot/jitinterface/jitinterface.h rename to src/coreclr/tools/aot/jitinterface/jitinterface.h diff --git a/src/coreclr/src/tools/aot/jitinterface/jitwrapper.cpp b/src/coreclr/tools/aot/jitinterface/jitwrapper.cpp similarity index 100% rename from src/coreclr/src/tools/aot/jitinterface/jitwrapper.cpp rename to src/coreclr/tools/aot/jitinterface/jitwrapper.cpp diff --git a/src/coreclr/src/tools/crossgen/CMakeLists.txt b/src/coreclr/tools/crossgen/CMakeLists.txt similarity index 100% rename from src/coreclr/src/tools/crossgen/CMakeLists.txt rename to src/coreclr/tools/crossgen/CMakeLists.txt diff --git a/src/coreclr/src/tools/crossgen/Native.rc b/src/coreclr/tools/crossgen/Native.rc similarity index 100% rename from src/coreclr/src/tools/crossgen/Native.rc rename to src/coreclr/tools/crossgen/Native.rc diff --git a/src/coreclr/src/tools/crossgen/compare.bat b/src/coreclr/tools/crossgen/compare.bat similarity index 100% rename from src/coreclr/src/tools/crossgen/compare.bat rename to src/coreclr/tools/crossgen/compare.bat diff --git a/src/coreclr/src/tools/crossgen/crossgen.cpp b/src/coreclr/tools/crossgen/crossgen.cpp similarity index 100% rename from src/coreclr/src/tools/crossgen/crossgen.cpp rename to src/coreclr/tools/crossgen/crossgen.cpp diff --git a/src/coreclr/src/tools/dotnet-pgo/Logger.cs b/src/coreclr/tools/dotnet-pgo/Logger.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/Logger.cs rename to src/coreclr/tools/dotnet-pgo/Logger.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/Microsoft.Diagnostics.JitTrace/JitTraceRuntime.cs b/src/coreclr/tools/dotnet-pgo/Microsoft.Diagnostics.JitTrace/JitTraceRuntime.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/Microsoft.Diagnostics.JitTrace/JitTraceRuntime.cs rename to src/coreclr/tools/dotnet-pgo/Microsoft.Diagnostics.JitTrace/JitTraceRuntime.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/ModuleLoadLogger.cs b/src/coreclr/tools/dotnet-pgo/ModuleLoadLogger.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/ModuleLoadLogger.cs rename to src/coreclr/tools/dotnet-pgo/ModuleLoadLogger.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/PgoTraceProcess.cs b/src/coreclr/tools/dotnet-pgo/PgoTraceProcess.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/PgoTraceProcess.cs rename to src/coreclr/tools/dotnet-pgo/PgoTraceProcess.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/Program.cs b/src/coreclr/tools/dotnet-pgo/Program.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/Program.cs rename to src/coreclr/tools/dotnet-pgo/Program.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/R2RSignatureTypeProvider.cs b/src/coreclr/tools/dotnet-pgo/R2RSignatureTypeProvider.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/R2RSignatureTypeProvider.cs rename to src/coreclr/tools/dotnet-pgo/R2RSignatureTypeProvider.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/README.md b/src/coreclr/tools/dotnet-pgo/README.md similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/README.md rename to src/coreclr/tools/dotnet-pgo/README.md diff --git a/src/coreclr/src/tools/dotnet-pgo/TraceRuntimeDescToTypeSystemDesc.cs b/src/coreclr/tools/dotnet-pgo/TraceRuntimeDescToTypeSystemDesc.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/TraceRuntimeDescToTypeSystemDesc.cs rename to src/coreclr/tools/dotnet-pgo/TraceRuntimeDescToTypeSystemDesc.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/TraceTypeSystemContext.cs b/src/coreclr/tools/dotnet-pgo/TraceTypeSystemContext.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/TraceTypeSystemContext.cs rename to src/coreclr/tools/dotnet-pgo/TraceTypeSystemContext.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/TypeSystemMetadataEmitter.cs b/src/coreclr/tools/dotnet-pgo/TypeSystemMetadataEmitter.cs similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/TypeSystemMetadataEmitter.cs rename to src/coreclr/tools/dotnet-pgo/TypeSystemMetadataEmitter.cs diff --git a/src/coreclr/src/tools/dotnet-pgo/dotnet-pgo-experiment.md b/src/coreclr/tools/dotnet-pgo/dotnet-pgo-experiment.md similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/dotnet-pgo-experiment.md rename to src/coreclr/tools/dotnet-pgo/dotnet-pgo-experiment.md diff --git a/src/coreclr/src/tools/dotnet-pgo/dotnet-pgo.csproj b/src/coreclr/tools/dotnet-pgo/dotnet-pgo.csproj similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/dotnet-pgo.csproj rename to src/coreclr/tools/dotnet-pgo/dotnet-pgo.csproj diff --git a/src/coreclr/src/tools/dotnet-pgo/dotnet-pgo.sln b/src/coreclr/tools/dotnet-pgo/dotnet-pgo.sln similarity index 100% rename from src/coreclr/src/tools/dotnet-pgo/dotnet-pgo.sln rename to src/coreclr/tools/dotnet-pgo/dotnet-pgo.sln diff --git a/src/coreclr/src/tools/metainfo/Native.rc b/src/coreclr/tools/metainfo/Native.rc similarity index 100% rename from src/coreclr/src/tools/metainfo/Native.rc rename to src/coreclr/tools/metainfo/Native.rc diff --git a/src/coreclr/src/tools/metainfo/mdinfo.cpp b/src/coreclr/tools/metainfo/mdinfo.cpp similarity index 100% rename from src/coreclr/src/tools/metainfo/mdinfo.cpp rename to src/coreclr/tools/metainfo/mdinfo.cpp diff --git a/src/coreclr/src/tools/metainfo/mdinfo.h b/src/coreclr/tools/metainfo/mdinfo.h similarity index 100% rename from src/coreclr/src/tools/metainfo/mdinfo.h rename to src/coreclr/tools/metainfo/mdinfo.h diff --git a/src/coreclr/src/tools/metainfo/mdobj.cpp b/src/coreclr/tools/metainfo/mdobj.cpp similarity index 100% rename from src/coreclr/src/tools/metainfo/mdobj.cpp rename to src/coreclr/tools/metainfo/mdobj.cpp diff --git a/src/coreclr/src/tools/r2rdump/CommandLineOptions.cs b/src/coreclr/tools/r2rdump/CommandLineOptions.cs similarity index 100% rename from src/coreclr/src/tools/r2rdump/CommandLineOptions.cs rename to src/coreclr/tools/r2rdump/CommandLineOptions.cs diff --git a/src/coreclr/src/tools/r2rdump/CoreDisTools.cs b/src/coreclr/tools/r2rdump/CoreDisTools.cs similarity index 100% rename from src/coreclr/src/tools/r2rdump/CoreDisTools.cs rename to src/coreclr/tools/r2rdump/CoreDisTools.cs diff --git a/src/coreclr/src/tools/r2rdump/Extensions.cs b/src/coreclr/tools/r2rdump/Extensions.cs similarity index 100% rename from src/coreclr/src/tools/r2rdump/Extensions.cs rename to src/coreclr/tools/r2rdump/Extensions.cs diff --git a/src/coreclr/src/tools/r2rdump/ISymNGenWriter.cs b/src/coreclr/tools/r2rdump/ISymNGenWriter.cs similarity index 100% rename from src/coreclr/src/tools/r2rdump/ISymNGenWriter.cs rename to src/coreclr/tools/r2rdump/ISymNGenWriter.cs diff --git a/src/coreclr/src/tools/r2rdump/PdbWriter.cs b/src/coreclr/tools/r2rdump/PdbWriter.cs similarity index 100% rename from src/coreclr/src/tools/r2rdump/PdbWriter.cs rename to src/coreclr/tools/r2rdump/PdbWriter.cs diff --git a/src/coreclr/src/tools/r2rdump/R2RDiff.cs b/src/coreclr/tools/r2rdump/R2RDiff.cs similarity index 100% rename from src/coreclr/src/tools/r2rdump/R2RDiff.cs rename to src/coreclr/tools/r2rdump/R2RDiff.cs diff --git a/src/coreclr/src/tools/r2rdump/R2RDump.cs b/src/coreclr/tools/r2rdump/R2RDump.cs similarity index 100% rename from src/coreclr/src/tools/r2rdump/R2RDump.cs rename to src/coreclr/tools/r2rdump/R2RDump.cs diff --git a/src/coreclr/src/tools/r2rdump/R2RDump.csproj b/src/coreclr/tools/r2rdump/R2RDump.csproj similarity index 100% rename from src/coreclr/src/tools/r2rdump/R2RDump.csproj rename to src/coreclr/tools/r2rdump/R2RDump.csproj diff --git a/src/coreclr/src/tools/r2rdump/R2RDump.sln b/src/coreclr/tools/r2rdump/R2RDump.sln similarity index 100% rename from src/coreclr/src/tools/r2rdump/R2RDump.sln rename to src/coreclr/tools/r2rdump/R2RDump.sln diff --git a/src/coreclr/src/tools/r2rdump/R2RFormat.png b/src/coreclr/tools/r2rdump/R2RFormat.png similarity index 100% rename from src/coreclr/src/tools/r2rdump/R2RFormat.png rename to src/coreclr/tools/r2rdump/R2RFormat.png diff --git a/src/coreclr/src/tools/r2rdump/README.md b/src/coreclr/tools/r2rdump/README.md similarity index 100% rename from src/coreclr/src/tools/r2rdump/README.md rename to src/coreclr/tools/r2rdump/README.md diff --git a/src/coreclr/src/tools/r2rdump/TextDumper.cs b/src/coreclr/tools/r2rdump/TextDumper.cs similarity index 100% rename from src/coreclr/src/tools/r2rdump/TextDumper.cs rename to src/coreclr/tools/r2rdump/TextDumper.cs diff --git a/src/coreclr/src/tools/r2rtest/Buckets.cs b/src/coreclr/tools/r2rtest/Buckets.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Buckets.cs rename to src/coreclr/tools/r2rtest/Buckets.cs diff --git a/src/coreclr/src/tools/r2rtest/BuildFolder.cs b/src/coreclr/tools/r2rtest/BuildFolder.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/BuildFolder.cs rename to src/coreclr/tools/r2rtest/BuildFolder.cs diff --git a/src/coreclr/src/tools/r2rtest/BuildFolderSet.cs b/src/coreclr/tools/r2rtest/BuildFolderSet.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/BuildFolderSet.cs rename to src/coreclr/tools/r2rtest/BuildFolderSet.cs diff --git a/src/coreclr/src/tools/r2rtest/BuildOptions.cs b/src/coreclr/tools/r2rtest/BuildOptions.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/BuildOptions.cs rename to src/coreclr/tools/r2rtest/BuildOptions.cs diff --git a/src/coreclr/src/tools/r2rtest/CommandLineOptions.cs b/src/coreclr/tools/r2rtest/CommandLineOptions.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/CommandLineOptions.cs rename to src/coreclr/tools/r2rtest/CommandLineOptions.cs diff --git a/src/coreclr/src/tools/r2rtest/Commands/CompileDirectoryCommand.cs b/src/coreclr/tools/r2rtest/Commands/CompileDirectoryCommand.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Commands/CompileDirectoryCommand.cs rename to src/coreclr/tools/r2rtest/Commands/CompileDirectoryCommand.cs diff --git a/src/coreclr/src/tools/r2rtest/Commands/CompileFrameworkCommand.cs b/src/coreclr/tools/r2rtest/Commands/CompileFrameworkCommand.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Commands/CompileFrameworkCommand.cs rename to src/coreclr/tools/r2rtest/Commands/CompileFrameworkCommand.cs diff --git a/src/coreclr/src/tools/r2rtest/Commands/CompileNugetCommand.cs b/src/coreclr/tools/r2rtest/Commands/CompileNugetCommand.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Commands/CompileNugetCommand.cs rename to src/coreclr/tools/r2rtest/Commands/CompileNugetCommand.cs diff --git a/src/coreclr/src/tools/r2rtest/Commands/CompileSerpCommand.cs b/src/coreclr/tools/r2rtest/Commands/CompileSerpCommand.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Commands/CompileSerpCommand.cs rename to src/coreclr/tools/r2rtest/Commands/CompileSerpCommand.cs diff --git a/src/coreclr/src/tools/r2rtest/Commands/CompileSubtreeCommand.cs b/src/coreclr/tools/r2rtest/Commands/CompileSubtreeCommand.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Commands/CompileSubtreeCommand.cs rename to src/coreclr/tools/r2rtest/Commands/CompileSubtreeCommand.cs diff --git a/src/coreclr/src/tools/r2rtest/CompilerRunner.cs b/src/coreclr/tools/r2rtest/CompilerRunner.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/CompilerRunner.cs rename to src/coreclr/tools/r2rtest/CompilerRunner.cs diff --git a/src/coreclr/src/tools/r2rtest/ComputeManagedAssemblies.cs b/src/coreclr/tools/r2rtest/ComputeManagedAssemblies.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/ComputeManagedAssemblies.cs rename to src/coreclr/tools/r2rtest/ComputeManagedAssemblies.cs diff --git a/src/coreclr/src/tools/r2rtest/Crossgen2Runner.cs b/src/coreclr/tools/r2rtest/Crossgen2Runner.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Crossgen2Runner.cs rename to src/coreclr/tools/r2rtest/Crossgen2Runner.cs diff --git a/src/coreclr/src/tools/r2rtest/CrossgenRunner.cs b/src/coreclr/tools/r2rtest/CrossgenRunner.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/CrossgenRunner.cs rename to src/coreclr/tools/r2rtest/CrossgenRunner.cs diff --git a/src/coreclr/src/tools/r2rtest/DotnetCli.cs b/src/coreclr/tools/r2rtest/DotnetCli.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/DotnetCli.cs rename to src/coreclr/tools/r2rtest/DotnetCli.cs diff --git a/src/coreclr/src/tools/r2rtest/JitRunner.cs b/src/coreclr/tools/r2rtest/JitRunner.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/JitRunner.cs rename to src/coreclr/tools/r2rtest/JitRunner.cs diff --git a/src/coreclr/src/tools/r2rtest/Linux.cs b/src/coreclr/tools/r2rtest/Linux.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Linux.cs rename to src/coreclr/tools/r2rtest/Linux.cs diff --git a/src/coreclr/src/tools/r2rtest/ParallelRunner.cs b/src/coreclr/tools/r2rtest/ParallelRunner.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/ParallelRunner.cs rename to src/coreclr/tools/r2rtest/ParallelRunner.cs diff --git a/src/coreclr/src/tools/r2rtest/PathHelpers.cs b/src/coreclr/tools/r2rtest/PathHelpers.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/PathHelpers.cs rename to src/coreclr/tools/r2rtest/PathHelpers.cs diff --git a/src/coreclr/src/tools/r2rtest/PerfEventSourceListener.cs b/src/coreclr/tools/r2rtest/PerfEventSourceListener.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/PerfEventSourceListener.cs rename to src/coreclr/tools/r2rtest/PerfEventSourceListener.cs diff --git a/src/coreclr/src/tools/r2rtest/ProcessRunner.cs b/src/coreclr/tools/r2rtest/ProcessRunner.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/ProcessRunner.cs rename to src/coreclr/tools/r2rtest/ProcessRunner.cs diff --git a/src/coreclr/src/tools/r2rtest/Program.cs b/src/coreclr/tools/r2rtest/Program.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/Program.cs rename to src/coreclr/tools/r2rtest/Program.cs diff --git a/src/coreclr/src/tools/r2rtest/R2RTest.csproj b/src/coreclr/tools/r2rtest/R2RTest.csproj similarity index 100% rename from src/coreclr/src/tools/r2rtest/R2RTest.csproj rename to src/coreclr/tools/r2rtest/R2RTest.csproj diff --git a/src/coreclr/src/tools/r2rtest/ReadyToRunJittedMethods.cs b/src/coreclr/tools/r2rtest/ReadyToRunJittedMethods.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/ReadyToRunJittedMethods.cs rename to src/coreclr/tools/r2rtest/ReadyToRunJittedMethods.cs diff --git a/src/coreclr/src/tools/r2rtest/TestExclusion.cs b/src/coreclr/tools/r2rtest/TestExclusion.cs similarity index 100% rename from src/coreclr/src/tools/r2rtest/TestExclusion.cs rename to src/coreclr/tools/r2rtest/TestExclusion.cs diff --git a/src/coreclr/src/tools/runincontext/runincontext.cs b/src/coreclr/tools/runincontext/runincontext.cs similarity index 100% rename from src/coreclr/src/tools/runincontext/runincontext.cs rename to src/coreclr/tools/runincontext/runincontext.cs diff --git a/src/coreclr/src/tools/runincontext/runincontext.csproj b/src/coreclr/tools/runincontext/runincontext.csproj similarity index 100% rename from src/coreclr/src/tools/runincontext/runincontext.csproj rename to src/coreclr/tools/runincontext/runincontext.csproj diff --git a/src/coreclr/src/tools/util/consoleargs.cpp b/src/coreclr/tools/util/consoleargs.cpp similarity index 100% rename from src/coreclr/src/tools/util/consoleargs.cpp rename to src/coreclr/tools/util/consoleargs.cpp diff --git a/src/coreclr/src/tools/util/consoleargs.h b/src/coreclr/tools/util/consoleargs.h similarity index 100% rename from src/coreclr/src/tools/util/consoleargs.h rename to src/coreclr/tools/util/consoleargs.h diff --git a/src/coreclr/src/tools/util/list.h b/src/coreclr/tools/util/list.h similarity index 100% rename from src/coreclr/src/tools/util/list.h rename to src/coreclr/tools/util/list.h diff --git a/src/coreclr/src/tools/util/tree.h b/src/coreclr/tools/util/tree.h similarity index 100% rename from src/coreclr/src/tools/util/tree.h rename to src/coreclr/tools/util/tree.h diff --git a/src/coreclr/src/unwinder/CMakeLists.txt b/src/coreclr/unwinder/CMakeLists.txt similarity index 81% rename from src/coreclr/src/unwinder/CMakeLists.txt rename to src/coreclr/unwinder/CMakeLists.txt index e9af245..e00e322 100644 --- a/src/coreclr/src/unwinder/CMakeLists.txt +++ b/src/coreclr/unwinder/CMakeLists.txt @@ -1,11 +1,11 @@ include_directories(BEFORE ${VM_DIR}) include_directories(BEFORE ${VM_DIR}/${ARCH_SOURCES_DIR}) include_directories(BEFORE ${CMAKE_CURRENT_SOURCE_DIR}) -include_directories(BEFORE ${CLR_DIR}/src/unwinder) -include_directories(${CLR_DIR}/src/debug/ee) -include_directories(${CLR_DIR}/src/gc) -include_directories(${CLR_DIR}/src/gcdump) -include_directories(${CLR_DIR}/src/debug/daccess) +include_directories(BEFORE ${CLR_DIR}/unwinder) +include_directories(${CLR_DIR}/debug/ee) +include_directories(${CLR_DIR}/gc) +include_directories(${CLR_DIR}/gcdump) +include_directories(${CLR_DIR}/debug/daccess) set(UNWINDER_SOURCES unwinder.cpp diff --git a/src/coreclr/src/unwinder/amd64/dbs_stack_x64.cpp b/src/coreclr/unwinder/amd64/dbs_stack_x64.cpp similarity index 100% rename from src/coreclr/src/unwinder/amd64/dbs_stack_x64.cpp rename to src/coreclr/unwinder/amd64/dbs_stack_x64.cpp diff --git a/src/coreclr/src/unwinder/amd64/unwinder_amd64.cpp b/src/coreclr/unwinder/amd64/unwinder_amd64.cpp similarity index 100% rename from src/coreclr/src/unwinder/amd64/unwinder_amd64.cpp rename to src/coreclr/unwinder/amd64/unwinder_amd64.cpp diff --git a/src/coreclr/src/unwinder/amd64/unwinder_amd64.h b/src/coreclr/unwinder/amd64/unwinder_amd64.h similarity index 100% rename from src/coreclr/src/unwinder/amd64/unwinder_amd64.h rename to src/coreclr/unwinder/amd64/unwinder_amd64.h diff --git a/src/coreclr/src/unwinder/arm/unwinder_arm.cpp b/src/coreclr/unwinder/arm/unwinder_arm.cpp similarity index 100% rename from src/coreclr/src/unwinder/arm/unwinder_arm.cpp rename to src/coreclr/unwinder/arm/unwinder_arm.cpp diff --git a/src/coreclr/src/unwinder/arm/unwinder_arm.h b/src/coreclr/unwinder/arm/unwinder_arm.h similarity index 100% rename from src/coreclr/src/unwinder/arm/unwinder_arm.h rename to src/coreclr/unwinder/arm/unwinder_arm.h diff --git a/src/coreclr/src/unwinder/arm64/unwinder_arm64.cpp b/src/coreclr/unwinder/arm64/unwinder_arm64.cpp similarity index 100% rename from src/coreclr/src/unwinder/arm64/unwinder_arm64.cpp rename to src/coreclr/unwinder/arm64/unwinder_arm64.cpp diff --git a/src/coreclr/src/unwinder/arm64/unwinder_arm64.h b/src/coreclr/unwinder/arm64/unwinder_arm64.h similarity index 100% rename from src/coreclr/src/unwinder/arm64/unwinder_arm64.h rename to src/coreclr/unwinder/arm64/unwinder_arm64.h diff --git a/src/coreclr/src/unwinder/i386/unwinder_i386.cpp b/src/coreclr/unwinder/i386/unwinder_i386.cpp similarity index 100% rename from src/coreclr/src/unwinder/i386/unwinder_i386.cpp rename to src/coreclr/unwinder/i386/unwinder_i386.cpp diff --git a/src/coreclr/src/unwinder/i386/unwinder_i386.h b/src/coreclr/unwinder/i386/unwinder_i386.h similarity index 100% rename from src/coreclr/src/unwinder/i386/unwinder_i386.h rename to src/coreclr/unwinder/i386/unwinder_i386.h diff --git a/src/coreclr/src/unwinder/stdafx.cpp b/src/coreclr/unwinder/stdafx.cpp similarity index 100% rename from src/coreclr/src/unwinder/stdafx.cpp rename to src/coreclr/unwinder/stdafx.cpp diff --git a/src/coreclr/src/unwinder/stdafx.h b/src/coreclr/unwinder/stdafx.h similarity index 100% rename from src/coreclr/src/unwinder/stdafx.h rename to src/coreclr/unwinder/stdafx.h diff --git a/src/coreclr/src/unwinder/unwinder.cpp b/src/coreclr/unwinder/unwinder.cpp similarity index 100% rename from src/coreclr/src/unwinder/unwinder.cpp rename to src/coreclr/unwinder/unwinder.cpp diff --git a/src/coreclr/src/unwinder/unwinder.h b/src/coreclr/unwinder/unwinder.h similarity index 100% rename from src/coreclr/src/unwinder/unwinder.h rename to src/coreclr/unwinder/unwinder.h diff --git a/src/coreclr/src/utilcode/CMakeLists.txt b/src/coreclr/utilcode/CMakeLists.txt similarity index 100% rename from src/coreclr/src/utilcode/CMakeLists.txt rename to src/coreclr/utilcode/CMakeLists.txt diff --git a/src/coreclr/src/utilcode/arraylist.cpp b/src/coreclr/utilcode/arraylist.cpp similarity index 100% rename from src/coreclr/src/utilcode/arraylist.cpp rename to src/coreclr/utilcode/arraylist.cpp diff --git a/src/coreclr/src/utilcode/bitvector.cpp b/src/coreclr/utilcode/bitvector.cpp similarity index 100% rename from src/coreclr/src/utilcode/bitvector.cpp rename to src/coreclr/utilcode/bitvector.cpp diff --git a/src/coreclr/src/utilcode/ccomprc.cpp b/src/coreclr/utilcode/ccomprc.cpp similarity index 100% rename from src/coreclr/src/utilcode/ccomprc.cpp rename to src/coreclr/utilcode/ccomprc.cpp diff --git a/src/coreclr/src/utilcode/check.cpp b/src/coreclr/utilcode/check.cpp similarity index 100% rename from src/coreclr/src/utilcode/check.cpp rename to src/coreclr/utilcode/check.cpp diff --git a/src/coreclr/src/utilcode/clrconfig.cpp b/src/coreclr/utilcode/clrconfig.cpp similarity index 100% rename from src/coreclr/src/utilcode/clrconfig.cpp rename to src/coreclr/utilcode/clrconfig.cpp diff --git a/src/coreclr/src/utilcode/clrhelpers.cpp b/src/coreclr/utilcode/clrhelpers.cpp similarity index 100% rename from src/coreclr/src/utilcode/clrhelpers.cpp rename to src/coreclr/utilcode/clrhelpers.cpp diff --git a/src/coreclr/src/utilcode/clrhost.cpp b/src/coreclr/utilcode/clrhost.cpp similarity index 100% rename from src/coreclr/src/utilcode/clrhost.cpp rename to src/coreclr/utilcode/clrhost.cpp diff --git a/src/coreclr/src/utilcode/clrhost_nodependencies.cpp b/src/coreclr/utilcode/clrhost_nodependencies.cpp similarity index 100% rename from src/coreclr/src/utilcode/clrhost_nodependencies.cpp rename to src/coreclr/utilcode/clrhost_nodependencies.cpp diff --git a/src/coreclr/src/utilcode/collections.cpp b/src/coreclr/utilcode/collections.cpp similarity index 100% rename from src/coreclr/src/utilcode/collections.cpp rename to src/coreclr/utilcode/collections.cpp diff --git a/src/coreclr/src/utilcode/comex.cpp b/src/coreclr/utilcode/comex.cpp similarity index 100% rename from src/coreclr/src/utilcode/comex.cpp rename to src/coreclr/utilcode/comex.cpp diff --git a/src/coreclr/src/utilcode/configuration.cpp b/src/coreclr/utilcode/configuration.cpp similarity index 100% rename from src/coreclr/src/utilcode/configuration.cpp rename to src/coreclr/utilcode/configuration.cpp diff --git a/src/coreclr/src/utilcode/corimage.cpp b/src/coreclr/utilcode/corimage.cpp similarity index 100% rename from src/coreclr/src/utilcode/corimage.cpp rename to src/coreclr/utilcode/corimage.cpp diff --git a/src/coreclr/src/utilcode/cycletimer.cpp b/src/coreclr/utilcode/cycletimer.cpp similarity index 100% rename from src/coreclr/src/utilcode/cycletimer.cpp rename to src/coreclr/utilcode/cycletimer.cpp diff --git a/src/coreclr/src/utilcode/dacutil.cpp b/src/coreclr/utilcode/dacutil.cpp similarity index 100% rename from src/coreclr/src/utilcode/dacutil.cpp rename to src/coreclr/utilcode/dacutil.cpp diff --git a/src/coreclr/src/utilcode/debug.cpp b/src/coreclr/utilcode/debug.cpp similarity index 100% rename from src/coreclr/src/utilcode/debug.cpp rename to src/coreclr/utilcode/debug.cpp diff --git a/src/coreclr/src/utilcode/dlwrap.cpp b/src/coreclr/utilcode/dlwrap.cpp similarity index 100% rename from src/coreclr/src/utilcode/dlwrap.cpp rename to src/coreclr/utilcode/dlwrap.cpp diff --git a/src/coreclr/src/utilcode/ex.cpp b/src/coreclr/utilcode/ex.cpp similarity index 100% rename from src/coreclr/src/utilcode/ex.cpp rename to src/coreclr/utilcode/ex.cpp diff --git a/src/coreclr/src/utilcode/format1.cpp b/src/coreclr/utilcode/format1.cpp similarity index 100% rename from src/coreclr/src/utilcode/format1.cpp rename to src/coreclr/utilcode/format1.cpp diff --git a/src/coreclr/src/utilcode/fstream.cpp b/src/coreclr/utilcode/fstream.cpp similarity index 100% rename from src/coreclr/src/utilcode/fstream.cpp rename to src/coreclr/utilcode/fstream.cpp diff --git a/src/coreclr/src/utilcode/fstring.cpp b/src/coreclr/utilcode/fstring.cpp similarity index 100% rename from src/coreclr/src/utilcode/fstring.cpp rename to src/coreclr/utilcode/fstring.cpp diff --git a/src/coreclr/src/utilcode/guidfromname.cpp b/src/coreclr/utilcode/guidfromname.cpp similarity index 100% rename from src/coreclr/src/utilcode/guidfromname.cpp rename to src/coreclr/utilcode/guidfromname.cpp diff --git a/src/coreclr/src/utilcode/hostimpl.cpp b/src/coreclr/utilcode/hostimpl.cpp similarity index 100% rename from src/coreclr/src/utilcode/hostimpl.cpp rename to src/coreclr/utilcode/hostimpl.cpp diff --git a/src/coreclr/src/utilcode/iallocator.cpp b/src/coreclr/utilcode/iallocator.cpp similarity index 100% rename from src/coreclr/src/utilcode/iallocator.cpp rename to src/coreclr/utilcode/iallocator.cpp diff --git a/src/coreclr/src/utilcode/ilformatter.cpp b/src/coreclr/utilcode/ilformatter.cpp similarity index 100% rename from src/coreclr/src/utilcode/ilformatter.cpp rename to src/coreclr/utilcode/ilformatter.cpp diff --git a/src/coreclr/src/utilcode/loaderheap.cpp b/src/coreclr/utilcode/loaderheap.cpp similarity index 100% rename from src/coreclr/src/utilcode/loaderheap.cpp rename to src/coreclr/utilcode/loaderheap.cpp diff --git a/src/coreclr/src/utilcode/log.cpp b/src/coreclr/utilcode/log.cpp similarity index 100% rename from src/coreclr/src/utilcode/log.cpp rename to src/coreclr/utilcode/log.cpp diff --git a/src/coreclr/src/utilcode/longfilepathwrappers.cpp b/src/coreclr/utilcode/longfilepathwrappers.cpp similarity index 100% rename from src/coreclr/src/utilcode/longfilepathwrappers.cpp rename to src/coreclr/utilcode/longfilepathwrappers.cpp diff --git a/src/coreclr/src/utilcode/makepath.cpp b/src/coreclr/utilcode/makepath.cpp similarity index 100% rename from src/coreclr/src/utilcode/makepath.cpp rename to src/coreclr/utilcode/makepath.cpp diff --git a/src/coreclr/src/utilcode/md5.cpp b/src/coreclr/utilcode/md5.cpp similarity index 100% rename from src/coreclr/src/utilcode/md5.cpp rename to src/coreclr/utilcode/md5.cpp diff --git a/src/coreclr/src/utilcode/memorypool.cpp b/src/coreclr/utilcode/memorypool.cpp similarity index 100% rename from src/coreclr/src/utilcode/memorypool.cpp rename to src/coreclr/utilcode/memorypool.cpp diff --git a/src/coreclr/src/utilcode/namespaceutil.cpp b/src/coreclr/utilcode/namespaceutil.cpp similarity index 100% rename from src/coreclr/src/utilcode/namespaceutil.cpp rename to src/coreclr/utilcode/namespaceutil.cpp diff --git a/src/coreclr/src/utilcode/opinfo.cpp b/src/coreclr/utilcode/opinfo.cpp similarity index 100% rename from src/coreclr/src/utilcode/opinfo.cpp rename to src/coreclr/utilcode/opinfo.cpp diff --git a/src/coreclr/src/utilcode/outstring.cpp b/src/coreclr/utilcode/outstring.cpp similarity index 100% rename from src/coreclr/src/utilcode/outstring.cpp rename to src/coreclr/utilcode/outstring.cpp diff --git a/src/coreclr/src/utilcode/pedecoder.cpp b/src/coreclr/utilcode/pedecoder.cpp similarity index 100% rename from src/coreclr/src/utilcode/pedecoder.cpp rename to src/coreclr/utilcode/pedecoder.cpp diff --git a/src/coreclr/src/utilcode/peinformation.cpp b/src/coreclr/utilcode/peinformation.cpp similarity index 100% rename from src/coreclr/src/utilcode/peinformation.cpp rename to src/coreclr/utilcode/peinformation.cpp diff --git a/src/coreclr/src/utilcode/posterror.cpp b/src/coreclr/utilcode/posterror.cpp similarity index 100% rename from src/coreclr/src/utilcode/posterror.cpp rename to src/coreclr/utilcode/posterror.cpp diff --git a/src/coreclr/src/utilcode/prettyprintsig.cpp b/src/coreclr/utilcode/prettyprintsig.cpp similarity index 100% rename from src/coreclr/src/utilcode/prettyprintsig.cpp rename to src/coreclr/utilcode/prettyprintsig.cpp diff --git a/src/coreclr/src/utilcode/regutil.cpp b/src/coreclr/utilcode/regutil.cpp similarity index 100% rename from src/coreclr/src/utilcode/regutil.cpp rename to src/coreclr/utilcode/regutil.cpp diff --git a/src/coreclr/src/utilcode/safewrap.cpp b/src/coreclr/utilcode/safewrap.cpp similarity index 100% rename from src/coreclr/src/utilcode/safewrap.cpp rename to src/coreclr/utilcode/safewrap.cpp diff --git a/src/coreclr/src/utilcode/sbuffer.cpp b/src/coreclr/utilcode/sbuffer.cpp similarity index 100% rename from src/coreclr/src/utilcode/sbuffer.cpp rename to src/coreclr/utilcode/sbuffer.cpp diff --git a/src/coreclr/src/utilcode/securityutil.cpp b/src/coreclr/utilcode/securityutil.cpp similarity index 100% rename from src/coreclr/src/utilcode/securityutil.cpp rename to src/coreclr/utilcode/securityutil.cpp diff --git a/src/coreclr/src/utilcode/securitywrapper.cpp b/src/coreclr/utilcode/securitywrapper.cpp similarity index 100% rename from src/coreclr/src/utilcode/securitywrapper.cpp rename to src/coreclr/utilcode/securitywrapper.cpp diff --git a/src/coreclr/src/utilcode/sha1.cpp b/src/coreclr/utilcode/sha1.cpp similarity index 100% rename from src/coreclr/src/utilcode/sha1.cpp rename to src/coreclr/utilcode/sha1.cpp diff --git a/src/coreclr/src/utilcode/sigbuilder.cpp b/src/coreclr/utilcode/sigbuilder.cpp similarity index 100% rename from src/coreclr/src/utilcode/sigbuilder.cpp rename to src/coreclr/utilcode/sigbuilder.cpp diff --git a/src/coreclr/src/utilcode/sigparser.cpp b/src/coreclr/utilcode/sigparser.cpp similarity index 100% rename from src/coreclr/src/utilcode/sigparser.cpp rename to src/coreclr/utilcode/sigparser.cpp diff --git a/src/coreclr/src/utilcode/splitpath.cpp b/src/coreclr/utilcode/splitpath.cpp similarity index 100% rename from src/coreclr/src/utilcode/splitpath.cpp rename to src/coreclr/utilcode/splitpath.cpp diff --git a/src/coreclr/src/utilcode/sstring.cpp b/src/coreclr/utilcode/sstring.cpp similarity index 100% rename from src/coreclr/src/utilcode/sstring.cpp rename to src/coreclr/utilcode/sstring.cpp diff --git a/src/coreclr/src/utilcode/sstring_com.cpp b/src/coreclr/utilcode/sstring_com.cpp similarity index 100% rename from src/coreclr/src/utilcode/sstring_com.cpp rename to src/coreclr/utilcode/sstring_com.cpp diff --git a/src/coreclr/src/utilcode/stacktrace.cpp b/src/coreclr/utilcode/stacktrace.cpp similarity index 100% rename from src/coreclr/src/utilcode/stacktrace.cpp rename to src/coreclr/utilcode/stacktrace.cpp diff --git a/src/coreclr/src/utilcode/stdafx.h b/src/coreclr/utilcode/stdafx.h similarity index 100% rename from src/coreclr/src/utilcode/stdafx.h rename to src/coreclr/utilcode/stdafx.h diff --git a/src/coreclr/src/utilcode/stgpool.cpp b/src/coreclr/utilcode/stgpool.cpp similarity index 100% rename from src/coreclr/src/utilcode/stgpool.cpp rename to src/coreclr/utilcode/stgpool.cpp diff --git a/src/coreclr/src/utilcode/stgpooli.cpp b/src/coreclr/utilcode/stgpooli.cpp similarity index 100% rename from src/coreclr/src/utilcode/stgpooli.cpp rename to src/coreclr/utilcode/stgpooli.cpp diff --git a/src/coreclr/src/utilcode/stgpoolreadonly.cpp b/src/coreclr/utilcode/stgpoolreadonly.cpp similarity index 100% rename from src/coreclr/src/utilcode/stgpoolreadonly.cpp rename to src/coreclr/utilcode/stgpoolreadonly.cpp diff --git a/src/coreclr/src/utilcode/stresslog.cpp b/src/coreclr/utilcode/stresslog.cpp similarity index 100% rename from src/coreclr/src/utilcode/stresslog.cpp rename to src/coreclr/utilcode/stresslog.cpp diff --git a/src/coreclr/src/utilcode/util.cpp b/src/coreclr/utilcode/util.cpp similarity index 100% rename from src/coreclr/src/utilcode/util.cpp rename to src/coreclr/utilcode/util.cpp diff --git a/src/coreclr/src/utilcode/util_nodependencies.cpp b/src/coreclr/utilcode/util_nodependencies.cpp similarity index 100% rename from src/coreclr/src/utilcode/util_nodependencies.cpp rename to src/coreclr/utilcode/util_nodependencies.cpp diff --git a/src/coreclr/src/utilcode/utilmessagebox.cpp b/src/coreclr/utilcode/utilmessagebox.cpp similarity index 100% rename from src/coreclr/src/utilcode/utilmessagebox.cpp rename to src/coreclr/utilcode/utilmessagebox.cpp diff --git a/src/coreclr/src/utilcode/utsem.cpp b/src/coreclr/utilcode/utsem.cpp similarity index 100% rename from src/coreclr/src/utilcode/utsem.cpp rename to src/coreclr/utilcode/utsem.cpp diff --git a/src/coreclr/src/utilcode/winfix.cpp b/src/coreclr/utilcode/winfix.cpp similarity index 100% rename from src/coreclr/src/utilcode/winfix.cpp rename to src/coreclr/utilcode/winfix.cpp diff --git a/src/coreclr/src/utilcode/yieldprocessornormalized.cpp b/src/coreclr/utilcode/yieldprocessornormalized.cpp similarity index 100% rename from src/coreclr/src/utilcode/yieldprocessornormalized.cpp rename to src/coreclr/utilcode/yieldprocessornormalized.cpp diff --git a/src/coreclr/src/vm/.vscode/c_cpp_properties.json b/src/coreclr/vm/.vscode/c_cpp_properties.json similarity index 100% rename from src/coreclr/src/vm/.vscode/c_cpp_properties.json rename to src/coreclr/vm/.vscode/c_cpp_properties.json diff --git a/src/coreclr/src/vm/CMakeLists.txt b/src/coreclr/vm/CMakeLists.txt similarity index 100% rename from src/coreclr/src/vm/CMakeLists.txt rename to src/coreclr/vm/CMakeLists.txt diff --git a/src/coreclr/src/vm/ClrEtwAll.man b/src/coreclr/vm/ClrEtwAll.man similarity index 100% rename from src/coreclr/src/vm/ClrEtwAll.man rename to src/coreclr/vm/ClrEtwAll.man diff --git a/src/coreclr/src/vm/ClrEtwAllMeta.lst b/src/coreclr/vm/ClrEtwAllMeta.lst similarity index 100% rename from src/coreclr/src/vm/ClrEtwAllMeta.lst rename to src/coreclr/vm/ClrEtwAllMeta.lst diff --git a/src/coreclr/src/vm/amd64/AsmHelpers.asm b/src/coreclr/vm/amd64/AsmHelpers.asm similarity index 100% rename from src/coreclr/src/vm/amd64/AsmHelpers.asm rename to src/coreclr/vm/amd64/AsmHelpers.asm diff --git a/src/coreclr/src/vm/amd64/AsmMacros.inc b/src/coreclr/vm/amd64/AsmMacros.inc similarity index 100% rename from src/coreclr/src/vm/amd64/AsmMacros.inc rename to src/coreclr/vm/amd64/AsmMacros.inc diff --git a/src/coreclr/src/vm/amd64/CallDescrWorkerAMD64.asm b/src/coreclr/vm/amd64/CallDescrWorkerAMD64.asm similarity index 100% rename from src/coreclr/src/vm/amd64/CallDescrWorkerAMD64.asm rename to src/coreclr/vm/amd64/CallDescrWorkerAMD64.asm diff --git a/src/coreclr/src/vm/amd64/ComCallPreStub.asm b/src/coreclr/vm/amd64/ComCallPreStub.asm similarity index 100% rename from src/coreclr/src/vm/amd64/ComCallPreStub.asm rename to src/coreclr/vm/amd64/ComCallPreStub.asm diff --git a/src/coreclr/src/vm/amd64/CrtHelpers.asm b/src/coreclr/vm/amd64/CrtHelpers.asm similarity index 100% rename from src/coreclr/src/vm/amd64/CrtHelpers.asm rename to src/coreclr/vm/amd64/CrtHelpers.asm diff --git a/src/coreclr/src/vm/amd64/ExternalMethodFixupThunk.asm b/src/coreclr/vm/amd64/ExternalMethodFixupThunk.asm similarity index 100% rename from src/coreclr/src/vm/amd64/ExternalMethodFixupThunk.asm rename to src/coreclr/vm/amd64/ExternalMethodFixupThunk.asm diff --git a/src/coreclr/src/vm/amd64/GenericComCallStubs.asm b/src/coreclr/vm/amd64/GenericComCallStubs.asm similarity index 100% rename from src/coreclr/src/vm/amd64/GenericComCallStubs.asm rename to src/coreclr/vm/amd64/GenericComCallStubs.asm diff --git a/src/coreclr/src/vm/amd64/GenericComPlusCallStubs.asm b/src/coreclr/vm/amd64/GenericComPlusCallStubs.asm similarity index 100% rename from src/coreclr/src/vm/amd64/GenericComPlusCallStubs.asm rename to src/coreclr/vm/amd64/GenericComPlusCallStubs.asm diff --git a/src/coreclr/src/vm/amd64/JitHelpers_Fast.asm b/src/coreclr/vm/amd64/JitHelpers_Fast.asm similarity index 100% rename from src/coreclr/src/vm/amd64/JitHelpers_Fast.asm rename to src/coreclr/vm/amd64/JitHelpers_Fast.asm diff --git a/src/coreclr/src/vm/amd64/JitHelpers_FastWriteBarriers.asm b/src/coreclr/vm/amd64/JitHelpers_FastWriteBarriers.asm similarity index 100% rename from src/coreclr/src/vm/amd64/JitHelpers_FastWriteBarriers.asm rename to src/coreclr/vm/amd64/JitHelpers_FastWriteBarriers.asm diff --git a/src/coreclr/src/vm/amd64/JitHelpers_InlineGetThread.asm b/src/coreclr/vm/amd64/JitHelpers_InlineGetThread.asm similarity index 100% rename from src/coreclr/src/vm/amd64/JitHelpers_InlineGetThread.asm rename to src/coreclr/vm/amd64/JitHelpers_InlineGetThread.asm diff --git a/src/coreclr/src/vm/amd64/JitHelpers_SingleAppDomain.asm b/src/coreclr/vm/amd64/JitHelpers_SingleAppDomain.asm similarity index 100% rename from src/coreclr/src/vm/amd64/JitHelpers_SingleAppDomain.asm rename to src/coreclr/vm/amd64/JitHelpers_SingleAppDomain.asm diff --git a/src/coreclr/src/vm/amd64/JitHelpers_Slow.asm b/src/coreclr/vm/amd64/JitHelpers_Slow.asm similarity index 100% rename from src/coreclr/src/vm/amd64/JitHelpers_Slow.asm rename to src/coreclr/vm/amd64/JitHelpers_Slow.asm diff --git a/src/coreclr/src/vm/amd64/PInvokeStubs.asm b/src/coreclr/vm/amd64/PInvokeStubs.asm similarity index 100% rename from src/coreclr/src/vm/amd64/PInvokeStubs.asm rename to src/coreclr/vm/amd64/PInvokeStubs.asm diff --git a/src/coreclr/src/vm/amd64/RedirectedHandledJITCase.asm b/src/coreclr/vm/amd64/RedirectedHandledJITCase.asm similarity index 100% rename from src/coreclr/src/vm/amd64/RedirectedHandledJITCase.asm rename to src/coreclr/vm/amd64/RedirectedHandledJITCase.asm diff --git a/src/coreclr/src/vm/amd64/ThePreStubAMD64.asm b/src/coreclr/vm/amd64/ThePreStubAMD64.asm similarity index 100% rename from src/coreclr/src/vm/amd64/ThePreStubAMD64.asm rename to src/coreclr/vm/amd64/ThePreStubAMD64.asm diff --git a/src/coreclr/src/vm/amd64/UMThunkStub.asm b/src/coreclr/vm/amd64/UMThunkStub.asm similarity index 100% rename from src/coreclr/src/vm/amd64/UMThunkStub.asm rename to src/coreclr/vm/amd64/UMThunkStub.asm diff --git a/src/coreclr/src/vm/amd64/VirtualCallStubAMD64.asm b/src/coreclr/vm/amd64/VirtualCallStubAMD64.asm similarity index 100% rename from src/coreclr/src/vm/amd64/VirtualCallStubAMD64.asm rename to src/coreclr/vm/amd64/VirtualCallStubAMD64.asm diff --git a/src/coreclr/src/vm/amd64/asmconstants.h b/src/coreclr/vm/amd64/asmconstants.h similarity index 100% rename from src/coreclr/src/vm/amd64/asmconstants.h rename to src/coreclr/vm/amd64/asmconstants.h diff --git a/src/coreclr/src/vm/amd64/asmhelpers.S b/src/coreclr/vm/amd64/asmhelpers.S similarity index 100% rename from src/coreclr/src/vm/amd64/asmhelpers.S rename to src/coreclr/vm/amd64/asmhelpers.S diff --git a/src/coreclr/src/vm/amd64/calldescrworkeramd64.S b/src/coreclr/vm/amd64/calldescrworkeramd64.S similarity index 100% rename from src/coreclr/src/vm/amd64/calldescrworkeramd64.S rename to src/coreclr/vm/amd64/calldescrworkeramd64.S diff --git a/src/coreclr/src/vm/amd64/cgenamd64.cpp b/src/coreclr/vm/amd64/cgenamd64.cpp similarity index 100% rename from src/coreclr/src/vm/amd64/cgenamd64.cpp rename to src/coreclr/vm/amd64/cgenamd64.cpp diff --git a/src/coreclr/src/vm/amd64/cgencpu.h b/src/coreclr/vm/amd64/cgencpu.h similarity index 100% rename from src/coreclr/src/vm/amd64/cgencpu.h rename to src/coreclr/vm/amd64/cgencpu.h diff --git a/src/coreclr/src/vm/amd64/crthelpers.S b/src/coreclr/vm/amd64/crthelpers.S similarity index 100% rename from src/coreclr/src/vm/amd64/crthelpers.S rename to src/coreclr/vm/amd64/crthelpers.S diff --git a/src/coreclr/src/vm/amd64/excepamd64.cpp b/src/coreclr/vm/amd64/excepamd64.cpp similarity index 100% rename from src/coreclr/src/vm/amd64/excepamd64.cpp rename to src/coreclr/vm/amd64/excepamd64.cpp diff --git a/src/coreclr/src/vm/amd64/excepcpu.h b/src/coreclr/vm/amd64/excepcpu.h similarity index 100% rename from src/coreclr/src/vm/amd64/excepcpu.h rename to src/coreclr/vm/amd64/excepcpu.h diff --git a/src/coreclr/src/vm/amd64/externalmethodfixupthunk.S b/src/coreclr/vm/amd64/externalmethodfixupthunk.S similarity index 100% rename from src/coreclr/src/vm/amd64/externalmethodfixupthunk.S rename to src/coreclr/vm/amd64/externalmethodfixupthunk.S diff --git a/src/coreclr/src/vm/amd64/getstate.S b/src/coreclr/vm/amd64/getstate.S similarity index 100% rename from src/coreclr/src/vm/amd64/getstate.S rename to src/coreclr/vm/amd64/getstate.S diff --git a/src/coreclr/src/vm/amd64/getstate.asm b/src/coreclr/vm/amd64/getstate.asm similarity index 100% rename from src/coreclr/src/vm/amd64/getstate.asm rename to src/coreclr/vm/amd64/getstate.asm diff --git a/src/coreclr/src/vm/amd64/gmsamd64.cpp b/src/coreclr/vm/amd64/gmsamd64.cpp similarity index 100% rename from src/coreclr/src/vm/amd64/gmsamd64.cpp rename to src/coreclr/vm/amd64/gmsamd64.cpp diff --git a/src/coreclr/src/vm/amd64/gmscpu.h b/src/coreclr/vm/amd64/gmscpu.h similarity index 100% rename from src/coreclr/src/vm/amd64/gmscpu.h rename to src/coreclr/vm/amd64/gmscpu.h diff --git a/src/coreclr/src/vm/amd64/jithelpers_fast.S b/src/coreclr/vm/amd64/jithelpers_fast.S similarity index 100% rename from src/coreclr/src/vm/amd64/jithelpers_fast.S rename to src/coreclr/vm/amd64/jithelpers_fast.S diff --git a/src/coreclr/src/vm/amd64/jithelpers_fastwritebarriers.S b/src/coreclr/vm/amd64/jithelpers_fastwritebarriers.S similarity index 100% rename from src/coreclr/src/vm/amd64/jithelpers_fastwritebarriers.S rename to src/coreclr/vm/amd64/jithelpers_fastwritebarriers.S diff --git a/src/coreclr/src/vm/amd64/jithelpers_singleappdomain.S b/src/coreclr/vm/amd64/jithelpers_singleappdomain.S similarity index 100% rename from src/coreclr/src/vm/amd64/jithelpers_singleappdomain.S rename to src/coreclr/vm/amd64/jithelpers_singleappdomain.S diff --git a/src/coreclr/src/vm/amd64/jithelpers_slow.S b/src/coreclr/vm/amd64/jithelpers_slow.S similarity index 100% rename from src/coreclr/src/vm/amd64/jithelpers_slow.S rename to src/coreclr/vm/amd64/jithelpers_slow.S diff --git a/src/coreclr/src/vm/amd64/jitinterfaceamd64.cpp b/src/coreclr/vm/amd64/jitinterfaceamd64.cpp similarity index 100% rename from src/coreclr/src/vm/amd64/jitinterfaceamd64.cpp rename to src/coreclr/vm/amd64/jitinterfaceamd64.cpp diff --git a/src/coreclr/src/vm/amd64/pinvokestubs.S b/src/coreclr/vm/amd64/pinvokestubs.S similarity index 100% rename from src/coreclr/src/vm/amd64/pinvokestubs.S rename to src/coreclr/vm/amd64/pinvokestubs.S diff --git a/src/coreclr/src/vm/amd64/profiler.cpp b/src/coreclr/vm/amd64/profiler.cpp similarity index 100% rename from src/coreclr/src/vm/amd64/profiler.cpp rename to src/coreclr/vm/amd64/profiler.cpp diff --git a/src/coreclr/src/vm/amd64/stublinkeramd64.cpp b/src/coreclr/vm/amd64/stublinkeramd64.cpp similarity index 100% rename from src/coreclr/src/vm/amd64/stublinkeramd64.cpp rename to src/coreclr/vm/amd64/stublinkeramd64.cpp diff --git a/src/coreclr/src/vm/amd64/stublinkeramd64.h b/src/coreclr/vm/amd64/stublinkeramd64.h similarity index 100% rename from src/coreclr/src/vm/amd64/stublinkeramd64.h rename to src/coreclr/vm/amd64/stublinkeramd64.h diff --git a/src/coreclr/src/vm/amd64/theprestubamd64.S b/src/coreclr/vm/amd64/theprestubamd64.S similarity index 100% rename from src/coreclr/src/vm/amd64/theprestubamd64.S rename to src/coreclr/vm/amd64/theprestubamd64.S diff --git a/src/coreclr/src/vm/amd64/umthunkstub.S b/src/coreclr/vm/amd64/umthunkstub.S similarity index 100% rename from src/coreclr/src/vm/amd64/umthunkstub.S rename to src/coreclr/vm/amd64/umthunkstub.S diff --git a/src/coreclr/src/vm/amd64/unixasmhelpers.S b/src/coreclr/vm/amd64/unixasmhelpers.S similarity index 100% rename from src/coreclr/src/vm/amd64/unixasmhelpers.S rename to src/coreclr/vm/amd64/unixasmhelpers.S diff --git a/src/coreclr/src/vm/amd64/unixstubs.cpp b/src/coreclr/vm/amd64/unixstubs.cpp similarity index 100% rename from src/coreclr/src/vm/amd64/unixstubs.cpp rename to src/coreclr/vm/amd64/unixstubs.cpp diff --git a/src/coreclr/src/vm/amd64/virtualcallstubamd64.S b/src/coreclr/vm/amd64/virtualcallstubamd64.S similarity index 100% rename from src/coreclr/src/vm/amd64/virtualcallstubamd64.S rename to src/coreclr/vm/amd64/virtualcallstubamd64.S diff --git a/src/coreclr/src/vm/amd64/virtualcallstubcpu.hpp b/src/coreclr/vm/amd64/virtualcallstubcpu.hpp similarity index 100% rename from src/coreclr/src/vm/amd64/virtualcallstubcpu.hpp rename to src/coreclr/vm/amd64/virtualcallstubcpu.hpp diff --git a/src/coreclr/src/vm/amsi.cpp b/src/coreclr/vm/amsi.cpp similarity index 100% rename from src/coreclr/src/vm/amsi.cpp rename to src/coreclr/vm/amsi.cpp diff --git a/src/coreclr/src/vm/amsi.h b/src/coreclr/vm/amsi.h similarity index 100% rename from src/coreclr/src/vm/amsi.h rename to src/coreclr/vm/amsi.h diff --git a/src/coreclr/src/vm/appdomain.cpp b/src/coreclr/vm/appdomain.cpp similarity index 100% rename from src/coreclr/src/vm/appdomain.cpp rename to src/coreclr/vm/appdomain.cpp diff --git a/src/coreclr/src/vm/appdomain.hpp b/src/coreclr/vm/appdomain.hpp similarity index 100% rename from src/coreclr/src/vm/appdomain.hpp rename to src/coreclr/vm/appdomain.hpp diff --git a/src/coreclr/src/vm/appdomain.inl b/src/coreclr/vm/appdomain.inl similarity index 100% rename from src/coreclr/src/vm/appdomain.inl rename to src/coreclr/vm/appdomain.inl diff --git a/src/coreclr/src/vm/appdomainnative.cpp b/src/coreclr/vm/appdomainnative.cpp similarity index 100% rename from src/coreclr/src/vm/appdomainnative.cpp rename to src/coreclr/vm/appdomainnative.cpp diff --git a/src/coreclr/src/vm/appdomainnative.hpp b/src/coreclr/vm/appdomainnative.hpp similarity index 100% rename from src/coreclr/src/vm/appdomainnative.hpp rename to src/coreclr/vm/appdomainnative.hpp diff --git a/src/coreclr/src/vm/argdestination.h b/src/coreclr/vm/argdestination.h similarity index 100% rename from src/coreclr/src/vm/argdestination.h rename to src/coreclr/vm/argdestination.h diff --git a/src/coreclr/src/vm/argslot.h b/src/coreclr/vm/argslot.h similarity index 100% rename from src/coreclr/src/vm/argslot.h rename to src/coreclr/vm/argslot.h diff --git a/src/coreclr/src/vm/arm/CrtHelpers.asm b/src/coreclr/vm/arm/CrtHelpers.asm similarity index 100% rename from src/coreclr/src/vm/arm/CrtHelpers.asm rename to src/coreclr/vm/arm/CrtHelpers.asm diff --git a/src/coreclr/src/vm/arm/PInvokeStubs.asm b/src/coreclr/vm/arm/PInvokeStubs.asm similarity index 100% rename from src/coreclr/src/vm/arm/PInvokeStubs.asm rename to src/coreclr/vm/arm/PInvokeStubs.asm diff --git a/src/coreclr/src/vm/arm/armsinglestepper.cpp b/src/coreclr/vm/arm/armsinglestepper.cpp similarity index 100% rename from src/coreclr/src/vm/arm/armsinglestepper.cpp rename to src/coreclr/vm/arm/armsinglestepper.cpp diff --git a/src/coreclr/src/vm/arm/asmconstants.h b/src/coreclr/vm/arm/asmconstants.h similarity index 100% rename from src/coreclr/src/vm/arm/asmconstants.h rename to src/coreclr/vm/arm/asmconstants.h diff --git a/src/coreclr/src/vm/arm/asmhelpers.S b/src/coreclr/vm/arm/asmhelpers.S similarity index 100% rename from src/coreclr/src/vm/arm/asmhelpers.S rename to src/coreclr/vm/arm/asmhelpers.S diff --git a/src/coreclr/src/vm/arm/asmhelpers.asm b/src/coreclr/vm/arm/asmhelpers.asm similarity index 100% rename from src/coreclr/src/vm/arm/asmhelpers.asm rename to src/coreclr/vm/arm/asmhelpers.asm diff --git a/src/coreclr/src/vm/arm/asmmacros.h b/src/coreclr/vm/arm/asmmacros.h similarity index 100% rename from src/coreclr/src/vm/arm/asmmacros.h rename to src/coreclr/vm/arm/asmmacros.h diff --git a/src/coreclr/src/vm/arm/cgencpu.h b/src/coreclr/vm/arm/cgencpu.h similarity index 100% rename from src/coreclr/src/vm/arm/cgencpu.h rename to src/coreclr/vm/arm/cgencpu.h diff --git a/src/coreclr/src/vm/arm/crthelpers.S b/src/coreclr/vm/arm/crthelpers.S similarity index 100% rename from src/coreclr/src/vm/arm/crthelpers.S rename to src/coreclr/vm/arm/crthelpers.S diff --git a/src/coreclr/src/vm/arm/ehhelpers.S b/src/coreclr/vm/arm/ehhelpers.S similarity index 100% rename from src/coreclr/src/vm/arm/ehhelpers.S rename to src/coreclr/vm/arm/ehhelpers.S diff --git a/src/coreclr/src/vm/arm/ehhelpers.asm b/src/coreclr/vm/arm/ehhelpers.asm similarity index 100% rename from src/coreclr/src/vm/arm/ehhelpers.asm rename to src/coreclr/vm/arm/ehhelpers.asm diff --git a/src/coreclr/src/vm/arm/exceparm.cpp b/src/coreclr/vm/arm/exceparm.cpp similarity index 100% rename from src/coreclr/src/vm/arm/exceparm.cpp rename to src/coreclr/vm/arm/exceparm.cpp diff --git a/src/coreclr/src/vm/arm/excepcpu.h b/src/coreclr/vm/arm/excepcpu.h similarity index 100% rename from src/coreclr/src/vm/arm/excepcpu.h rename to src/coreclr/vm/arm/excepcpu.h diff --git a/src/coreclr/src/vm/arm/gmscpu.h b/src/coreclr/vm/arm/gmscpu.h similarity index 100% rename from src/coreclr/src/vm/arm/gmscpu.h rename to src/coreclr/vm/arm/gmscpu.h diff --git a/src/coreclr/src/vm/arm/patchedcode.S b/src/coreclr/vm/arm/patchedcode.S similarity index 100% rename from src/coreclr/src/vm/arm/patchedcode.S rename to src/coreclr/vm/arm/patchedcode.S diff --git a/src/coreclr/src/vm/arm/patchedcode.asm b/src/coreclr/vm/arm/patchedcode.asm similarity index 100% rename from src/coreclr/src/vm/arm/patchedcode.asm rename to src/coreclr/vm/arm/patchedcode.asm diff --git a/src/coreclr/src/vm/arm/pinvokestubs.S b/src/coreclr/vm/arm/pinvokestubs.S similarity index 100% rename from src/coreclr/src/vm/arm/pinvokestubs.S rename to src/coreclr/vm/arm/pinvokestubs.S diff --git a/src/coreclr/src/vm/arm/profiler.cpp b/src/coreclr/vm/arm/profiler.cpp similarity index 100% rename from src/coreclr/src/vm/arm/profiler.cpp rename to src/coreclr/vm/arm/profiler.cpp diff --git a/src/coreclr/src/vm/arm/stubs.cpp b/src/coreclr/vm/arm/stubs.cpp similarity index 100% rename from src/coreclr/src/vm/arm/stubs.cpp rename to src/coreclr/vm/arm/stubs.cpp diff --git a/src/coreclr/src/vm/arm/unixstubs.cpp b/src/coreclr/vm/arm/unixstubs.cpp similarity index 100% rename from src/coreclr/src/vm/arm/unixstubs.cpp rename to src/coreclr/vm/arm/unixstubs.cpp diff --git a/src/coreclr/src/vm/arm/virtualcallstubcpu.hpp b/src/coreclr/vm/arm/virtualcallstubcpu.hpp similarity index 100% rename from src/coreclr/src/vm/arm/virtualcallstubcpu.hpp rename to src/coreclr/vm/arm/virtualcallstubcpu.hpp diff --git a/src/coreclr/src/vm/arm64/CallDescrWorkerARM64.asm b/src/coreclr/vm/arm64/CallDescrWorkerARM64.asm similarity index 100% rename from src/coreclr/src/vm/arm64/CallDescrWorkerARM64.asm rename to src/coreclr/vm/arm64/CallDescrWorkerARM64.asm diff --git a/src/coreclr/src/vm/arm64/PInvokeStubs.asm b/src/coreclr/vm/arm64/PInvokeStubs.asm similarity index 100% rename from src/coreclr/src/vm/arm64/PInvokeStubs.asm rename to src/coreclr/vm/arm64/PInvokeStubs.asm diff --git a/src/coreclr/src/vm/arm64/arm64singlestepper.cpp b/src/coreclr/vm/arm64/arm64singlestepper.cpp similarity index 100% rename from src/coreclr/src/vm/arm64/arm64singlestepper.cpp rename to src/coreclr/vm/arm64/arm64singlestepper.cpp diff --git a/src/coreclr/src/vm/arm64/asmconstants.h b/src/coreclr/vm/arm64/asmconstants.h similarity index 100% rename from src/coreclr/src/vm/arm64/asmconstants.h rename to src/coreclr/vm/arm64/asmconstants.h diff --git a/src/coreclr/src/vm/arm64/asmhelpers.S b/src/coreclr/vm/arm64/asmhelpers.S similarity index 100% rename from src/coreclr/src/vm/arm64/asmhelpers.S rename to src/coreclr/vm/arm64/asmhelpers.S diff --git a/src/coreclr/src/vm/arm64/asmhelpers.asm b/src/coreclr/vm/arm64/asmhelpers.asm similarity index 100% rename from src/coreclr/src/vm/arm64/asmhelpers.asm rename to src/coreclr/vm/arm64/asmhelpers.asm diff --git a/src/coreclr/src/vm/arm64/asmmacros.h b/src/coreclr/vm/arm64/asmmacros.h similarity index 100% rename from src/coreclr/src/vm/arm64/asmmacros.h rename to src/coreclr/vm/arm64/asmmacros.h diff --git a/src/coreclr/src/vm/arm64/calldescrworkerarm64.S b/src/coreclr/vm/arm64/calldescrworkerarm64.S similarity index 100% rename from src/coreclr/src/vm/arm64/calldescrworkerarm64.S rename to src/coreclr/vm/arm64/calldescrworkerarm64.S diff --git a/src/coreclr/src/vm/arm64/cgencpu.h b/src/coreclr/vm/arm64/cgencpu.h similarity index 100% rename from src/coreclr/src/vm/arm64/cgencpu.h rename to src/coreclr/vm/arm64/cgencpu.h diff --git a/src/coreclr/src/vm/arm64/crthelpers.S b/src/coreclr/vm/arm64/crthelpers.S similarity index 100% rename from src/coreclr/src/vm/arm64/crthelpers.S rename to src/coreclr/vm/arm64/crthelpers.S diff --git a/src/coreclr/src/vm/arm64/crthelpers.asm b/src/coreclr/vm/arm64/crthelpers.asm similarity index 100% rename from src/coreclr/src/vm/arm64/crthelpers.asm rename to src/coreclr/vm/arm64/crthelpers.asm diff --git a/src/coreclr/src/vm/arm64/excepcpu.h b/src/coreclr/vm/arm64/excepcpu.h similarity index 100% rename from src/coreclr/src/vm/arm64/excepcpu.h rename to src/coreclr/vm/arm64/excepcpu.h diff --git a/src/coreclr/src/vm/arm64/gmscpu.h b/src/coreclr/vm/arm64/gmscpu.h similarity index 100% rename from src/coreclr/src/vm/arm64/gmscpu.h rename to src/coreclr/vm/arm64/gmscpu.h diff --git a/src/coreclr/src/vm/arm64/pinvokestubs.S b/src/coreclr/vm/arm64/pinvokestubs.S similarity index 100% rename from src/coreclr/src/vm/arm64/pinvokestubs.S rename to src/coreclr/vm/arm64/pinvokestubs.S diff --git a/src/coreclr/src/vm/arm64/profiler.cpp b/src/coreclr/vm/arm64/profiler.cpp similarity index 100% rename from src/coreclr/src/vm/arm64/profiler.cpp rename to src/coreclr/vm/arm64/profiler.cpp diff --git a/src/coreclr/src/vm/arm64/stubs.cpp b/src/coreclr/vm/arm64/stubs.cpp similarity index 100% rename from src/coreclr/src/vm/arm64/stubs.cpp rename to src/coreclr/vm/arm64/stubs.cpp diff --git a/src/coreclr/src/vm/arm64/unixstubs.cpp b/src/coreclr/vm/arm64/unixstubs.cpp similarity index 100% rename from src/coreclr/src/vm/arm64/unixstubs.cpp rename to src/coreclr/vm/arm64/unixstubs.cpp diff --git a/src/coreclr/src/vm/arm64/virtualcallstubcpu.hpp b/src/coreclr/vm/arm64/virtualcallstubcpu.hpp similarity index 100% rename from src/coreclr/src/vm/arm64/virtualcallstubcpu.hpp rename to src/coreclr/vm/arm64/virtualcallstubcpu.hpp diff --git a/src/coreclr/src/vm/arm64singlestepper.h b/src/coreclr/vm/arm64singlestepper.h similarity index 100% rename from src/coreclr/src/vm/arm64singlestepper.h rename to src/coreclr/vm/arm64singlestepper.h diff --git a/src/coreclr/src/vm/armsinglestepper.h b/src/coreclr/vm/armsinglestepper.h similarity index 100% rename from src/coreclr/src/vm/armsinglestepper.h rename to src/coreclr/vm/armsinglestepper.h diff --git a/src/coreclr/src/vm/array.cpp b/src/coreclr/vm/array.cpp similarity index 100% rename from src/coreclr/src/vm/array.cpp rename to src/coreclr/vm/array.cpp diff --git a/src/coreclr/src/vm/array.h b/src/coreclr/vm/array.h similarity index 100% rename from src/coreclr/src/vm/array.h rename to src/coreclr/vm/array.h diff --git a/src/coreclr/src/vm/assembly.cpp b/src/coreclr/vm/assembly.cpp similarity index 100% rename from src/coreclr/src/vm/assembly.cpp rename to src/coreclr/vm/assembly.cpp diff --git a/src/coreclr/src/vm/assembly.hpp b/src/coreclr/vm/assembly.hpp similarity index 100% rename from src/coreclr/src/vm/assembly.hpp rename to src/coreclr/vm/assembly.hpp diff --git a/src/coreclr/src/vm/assemblyloadcontext.cpp b/src/coreclr/vm/assemblyloadcontext.cpp similarity index 100% rename from src/coreclr/src/vm/assemblyloadcontext.cpp rename to src/coreclr/vm/assemblyloadcontext.cpp diff --git a/src/coreclr/src/vm/assemblyloadcontext.h b/src/coreclr/vm/assemblyloadcontext.h similarity index 100% rename from src/coreclr/src/vm/assemblyloadcontext.h rename to src/coreclr/vm/assemblyloadcontext.h diff --git a/src/coreclr/src/vm/assemblyname.cpp b/src/coreclr/vm/assemblyname.cpp similarity index 100% rename from src/coreclr/src/vm/assemblyname.cpp rename to src/coreclr/vm/assemblyname.cpp diff --git a/src/coreclr/src/vm/assemblyname.hpp b/src/coreclr/vm/assemblyname.hpp similarity index 100% rename from src/coreclr/src/vm/assemblyname.hpp rename to src/coreclr/vm/assemblyname.hpp diff --git a/src/coreclr/src/vm/assemblynative.cpp b/src/coreclr/vm/assemblynative.cpp similarity index 100% rename from src/coreclr/src/vm/assemblynative.cpp rename to src/coreclr/vm/assemblynative.cpp diff --git a/src/coreclr/src/vm/assemblynative.hpp b/src/coreclr/vm/assemblynative.hpp similarity index 100% rename from src/coreclr/src/vm/assemblynative.hpp rename to src/coreclr/vm/assemblynative.hpp diff --git a/src/coreclr/src/vm/assemblyspec.cpp b/src/coreclr/vm/assemblyspec.cpp similarity index 100% rename from src/coreclr/src/vm/assemblyspec.cpp rename to src/coreclr/vm/assemblyspec.cpp diff --git a/src/coreclr/src/vm/assemblyspec.hpp b/src/coreclr/vm/assemblyspec.hpp similarity index 100% rename from src/coreclr/src/vm/assemblyspec.hpp rename to src/coreclr/vm/assemblyspec.hpp diff --git a/src/coreclr/src/vm/assemblyspecbase.h b/src/coreclr/vm/assemblyspecbase.h similarity index 100% rename from src/coreclr/src/vm/assemblyspecbase.h rename to src/coreclr/vm/assemblyspecbase.h diff --git a/src/coreclr/src/vm/autotrace.cpp b/src/coreclr/vm/autotrace.cpp similarity index 100% rename from src/coreclr/src/vm/autotrace.cpp rename to src/coreclr/vm/autotrace.cpp diff --git a/src/coreclr/src/vm/autotrace.h b/src/coreclr/vm/autotrace.h similarity index 100% rename from src/coreclr/src/vm/autotrace.h rename to src/coreclr/vm/autotrace.h diff --git a/src/coreclr/src/vm/baseassemblyspec.cpp b/src/coreclr/vm/baseassemblyspec.cpp similarity index 100% rename from src/coreclr/src/vm/baseassemblyspec.cpp rename to src/coreclr/vm/baseassemblyspec.cpp diff --git a/src/coreclr/src/vm/baseassemblyspec.h b/src/coreclr/vm/baseassemblyspec.h similarity index 100% rename from src/coreclr/src/vm/baseassemblyspec.h rename to src/coreclr/vm/baseassemblyspec.h diff --git a/src/coreclr/src/vm/baseassemblyspec.inl b/src/coreclr/vm/baseassemblyspec.inl similarity index 100% rename from src/coreclr/src/vm/baseassemblyspec.inl rename to src/coreclr/vm/baseassemblyspec.inl diff --git a/src/coreclr/src/vm/binder.cpp b/src/coreclr/vm/binder.cpp similarity index 100% rename from src/coreclr/src/vm/binder.cpp rename to src/coreclr/vm/binder.cpp diff --git a/src/coreclr/src/vm/binder.h b/src/coreclr/vm/binder.h similarity index 100% rename from src/coreclr/src/vm/binder.h rename to src/coreclr/vm/binder.h diff --git a/src/coreclr/src/vm/bundle.cpp b/src/coreclr/vm/bundle.cpp similarity index 100% rename from src/coreclr/src/vm/bundle.cpp rename to src/coreclr/vm/bundle.cpp diff --git a/src/coreclr/src/vm/cachelinealloc.cpp b/src/coreclr/vm/cachelinealloc.cpp similarity index 100% rename from src/coreclr/src/vm/cachelinealloc.cpp rename to src/coreclr/vm/cachelinealloc.cpp diff --git a/src/coreclr/src/vm/cachelinealloc.h b/src/coreclr/vm/cachelinealloc.h similarity index 100% rename from src/coreclr/src/vm/cachelinealloc.h rename to src/coreclr/vm/cachelinealloc.h diff --git a/src/coreclr/src/vm/callcounting.cpp b/src/coreclr/vm/callcounting.cpp similarity index 100% rename from src/coreclr/src/vm/callcounting.cpp rename to src/coreclr/vm/callcounting.cpp diff --git a/src/coreclr/src/vm/callcounting.h b/src/coreclr/vm/callcounting.h similarity index 100% rename from src/coreclr/src/vm/callcounting.h rename to src/coreclr/vm/callcounting.h diff --git a/src/coreclr/src/vm/callhelpers.cpp b/src/coreclr/vm/callhelpers.cpp similarity index 100% rename from src/coreclr/src/vm/callhelpers.cpp rename to src/coreclr/vm/callhelpers.cpp diff --git a/src/coreclr/src/vm/callhelpers.h b/src/coreclr/vm/callhelpers.h similarity index 100% rename from src/coreclr/src/vm/callhelpers.h rename to src/coreclr/vm/callhelpers.h diff --git a/src/coreclr/src/vm/callingconvention.h b/src/coreclr/vm/callingconvention.h similarity index 100% rename from src/coreclr/src/vm/callingconvention.h rename to src/coreclr/vm/callingconvention.h diff --git a/src/coreclr/src/vm/callsiteinspect.cpp b/src/coreclr/vm/callsiteinspect.cpp similarity index 100% rename from src/coreclr/src/vm/callsiteinspect.cpp rename to src/coreclr/vm/callsiteinspect.cpp diff --git a/src/coreclr/src/vm/callsiteinspect.h b/src/coreclr/vm/callsiteinspect.h similarity index 100% rename from src/coreclr/src/vm/callsiteinspect.h rename to src/coreclr/vm/callsiteinspect.h diff --git a/src/coreclr/src/vm/castcache.cpp b/src/coreclr/vm/castcache.cpp similarity index 100% rename from src/coreclr/src/vm/castcache.cpp rename to src/coreclr/vm/castcache.cpp diff --git a/src/coreclr/src/vm/castcache.h b/src/coreclr/vm/castcache.h similarity index 100% rename from src/coreclr/src/vm/castcache.h rename to src/coreclr/vm/castcache.h diff --git a/src/coreclr/src/vm/ceeload.cpp b/src/coreclr/vm/ceeload.cpp similarity index 100% rename from src/coreclr/src/vm/ceeload.cpp rename to src/coreclr/vm/ceeload.cpp diff --git a/src/coreclr/src/vm/ceeload.h b/src/coreclr/vm/ceeload.h similarity index 100% rename from src/coreclr/src/vm/ceeload.h rename to src/coreclr/vm/ceeload.h diff --git a/src/coreclr/src/vm/ceeload.inl b/src/coreclr/vm/ceeload.inl similarity index 100% rename from src/coreclr/src/vm/ceeload.inl rename to src/coreclr/vm/ceeload.inl diff --git a/src/coreclr/src/vm/ceemain.cpp b/src/coreclr/vm/ceemain.cpp similarity index 100% rename from src/coreclr/src/vm/ceemain.cpp rename to src/coreclr/vm/ceemain.cpp diff --git a/src/coreclr/src/vm/ceemain.h b/src/coreclr/vm/ceemain.h similarity index 100% rename from src/coreclr/src/vm/ceemain.h rename to src/coreclr/vm/ceemain.h diff --git a/src/coreclr/src/vm/cgensys.h b/src/coreclr/vm/cgensys.h similarity index 100% rename from src/coreclr/src/vm/cgensys.h rename to src/coreclr/vm/cgensys.h diff --git a/src/coreclr/src/vm/class.cpp b/src/coreclr/vm/class.cpp similarity index 100% rename from src/coreclr/src/vm/class.cpp rename to src/coreclr/vm/class.cpp diff --git a/src/coreclr/src/vm/class.h b/src/coreclr/vm/class.h similarity index 100% rename from src/coreclr/src/vm/class.h rename to src/coreclr/vm/class.h diff --git a/src/coreclr/src/vm/class.inl b/src/coreclr/vm/class.inl similarity index 100% rename from src/coreclr/src/vm/class.inl rename to src/coreclr/vm/class.inl diff --git a/src/coreclr/src/vm/classcompat.cpp b/src/coreclr/vm/classcompat.cpp similarity index 100% rename from src/coreclr/src/vm/classcompat.cpp rename to src/coreclr/vm/classcompat.cpp diff --git a/src/coreclr/src/vm/classcompat.h b/src/coreclr/vm/classcompat.h similarity index 100% rename from src/coreclr/src/vm/classcompat.h rename to src/coreclr/vm/classcompat.h diff --git a/src/coreclr/src/vm/classhash.cpp b/src/coreclr/vm/classhash.cpp similarity index 100% rename from src/coreclr/src/vm/classhash.cpp rename to src/coreclr/vm/classhash.cpp diff --git a/src/coreclr/src/vm/classhash.h b/src/coreclr/vm/classhash.h similarity index 100% rename from src/coreclr/src/vm/classhash.h rename to src/coreclr/vm/classhash.h diff --git a/src/coreclr/src/vm/classhash.inl b/src/coreclr/vm/classhash.inl similarity index 100% rename from src/coreclr/src/vm/classhash.inl rename to src/coreclr/vm/classhash.inl diff --git a/src/coreclr/src/vm/classlayoutinfo.cpp b/src/coreclr/vm/classlayoutinfo.cpp similarity index 100% rename from src/coreclr/src/vm/classlayoutinfo.cpp rename to src/coreclr/vm/classlayoutinfo.cpp diff --git a/src/coreclr/src/vm/classloadlevel.h b/src/coreclr/vm/classloadlevel.h similarity index 100% rename from src/coreclr/src/vm/classloadlevel.h rename to src/coreclr/vm/classloadlevel.h diff --git a/src/coreclr/src/vm/classnames.h b/src/coreclr/vm/classnames.h similarity index 100% rename from src/coreclr/src/vm/classnames.h rename to src/coreclr/vm/classnames.h diff --git a/src/coreclr/src/vm/clrconfignative.cpp b/src/coreclr/vm/clrconfignative.cpp similarity index 100% rename from src/coreclr/src/vm/clrconfignative.cpp rename to src/coreclr/vm/clrconfignative.cpp diff --git a/src/coreclr/src/vm/clrconfignative.h b/src/coreclr/vm/clrconfignative.h similarity index 100% rename from src/coreclr/src/vm/clrconfignative.h rename to src/coreclr/vm/clrconfignative.h diff --git a/src/coreclr/src/vm/clrex.cpp b/src/coreclr/vm/clrex.cpp similarity index 100% rename from src/coreclr/src/vm/clrex.cpp rename to src/coreclr/vm/clrex.cpp diff --git a/src/coreclr/src/vm/clrex.h b/src/coreclr/vm/clrex.h similarity index 100% rename from src/coreclr/src/vm/clrex.h rename to src/coreclr/vm/clrex.h diff --git a/src/coreclr/src/vm/clrex.inl b/src/coreclr/vm/clrex.inl similarity index 100% rename from src/coreclr/src/vm/clrex.inl rename to src/coreclr/vm/clrex.inl diff --git a/src/coreclr/src/vm/clrtocomcall.cpp b/src/coreclr/vm/clrtocomcall.cpp similarity index 100% rename from src/coreclr/src/vm/clrtocomcall.cpp rename to src/coreclr/vm/clrtocomcall.cpp diff --git a/src/coreclr/src/vm/clrtocomcall.h b/src/coreclr/vm/clrtocomcall.h similarity index 100% rename from src/coreclr/src/vm/clrtocomcall.h rename to src/coreclr/vm/clrtocomcall.h diff --git a/src/coreclr/src/vm/clrtracelogging.cpp b/src/coreclr/vm/clrtracelogging.cpp similarity index 100% rename from src/coreclr/src/vm/clrtracelogging.cpp rename to src/coreclr/vm/clrtracelogging.cpp diff --git a/src/coreclr/src/vm/clrvarargs.cpp b/src/coreclr/vm/clrvarargs.cpp similarity index 100% rename from src/coreclr/src/vm/clrvarargs.cpp rename to src/coreclr/vm/clrvarargs.cpp diff --git a/src/coreclr/src/vm/clrvarargs.h b/src/coreclr/vm/clrvarargs.h similarity index 100% rename from src/coreclr/src/vm/clrvarargs.h rename to src/coreclr/vm/clrvarargs.h diff --git a/src/coreclr/src/vm/clsload.cpp b/src/coreclr/vm/clsload.cpp similarity index 100% rename from src/coreclr/src/vm/clsload.cpp rename to src/coreclr/vm/clsload.cpp diff --git a/src/coreclr/src/vm/clsload.hpp b/src/coreclr/vm/clsload.hpp similarity index 100% rename from src/coreclr/src/vm/clsload.hpp rename to src/coreclr/vm/clsload.hpp diff --git a/src/coreclr/src/vm/clsload.inl b/src/coreclr/vm/clsload.inl similarity index 100% rename from src/coreclr/src/vm/clsload.inl rename to src/coreclr/vm/clsload.inl diff --git a/src/coreclr/src/vm/codeman.cpp b/src/coreclr/vm/codeman.cpp similarity index 100% rename from src/coreclr/src/vm/codeman.cpp rename to src/coreclr/vm/codeman.cpp diff --git a/src/coreclr/src/vm/codeman.h b/src/coreclr/vm/codeman.h similarity index 100% rename from src/coreclr/src/vm/codeman.h rename to src/coreclr/vm/codeman.h diff --git a/src/coreclr/src/vm/codeman.inl b/src/coreclr/vm/codeman.inl similarity index 100% rename from src/coreclr/src/vm/codeman.inl rename to src/coreclr/vm/codeman.inl diff --git a/src/coreclr/src/vm/codepitchingmanager.cpp b/src/coreclr/vm/codepitchingmanager.cpp similarity index 100% rename from src/coreclr/src/vm/codepitchingmanager.cpp rename to src/coreclr/vm/codepitchingmanager.cpp diff --git a/src/coreclr/src/vm/codeversion.cpp b/src/coreclr/vm/codeversion.cpp similarity index 100% rename from src/coreclr/src/vm/codeversion.cpp rename to src/coreclr/vm/codeversion.cpp diff --git a/src/coreclr/src/vm/codeversion.h b/src/coreclr/vm/codeversion.h similarity index 100% rename from src/coreclr/src/vm/codeversion.h rename to src/coreclr/vm/codeversion.h diff --git a/src/coreclr/src/vm/comcache.cpp b/src/coreclr/vm/comcache.cpp similarity index 100% rename from src/coreclr/src/vm/comcache.cpp rename to src/coreclr/vm/comcache.cpp diff --git a/src/coreclr/src/vm/comcache.h b/src/coreclr/vm/comcache.h similarity index 100% rename from src/coreclr/src/vm/comcache.h rename to src/coreclr/vm/comcache.h diff --git a/src/coreclr/src/vm/comcallablewrapper.cpp b/src/coreclr/vm/comcallablewrapper.cpp similarity index 100% rename from src/coreclr/src/vm/comcallablewrapper.cpp rename to src/coreclr/vm/comcallablewrapper.cpp diff --git a/src/coreclr/src/vm/comcallablewrapper.h b/src/coreclr/vm/comcallablewrapper.h similarity index 100% rename from src/coreclr/src/vm/comcallablewrapper.h rename to src/coreclr/vm/comcallablewrapper.h diff --git a/src/coreclr/src/vm/comconnectionpoints.cpp b/src/coreclr/vm/comconnectionpoints.cpp similarity index 100% rename from src/coreclr/src/vm/comconnectionpoints.cpp rename to src/coreclr/vm/comconnectionpoints.cpp diff --git a/src/coreclr/src/vm/comconnectionpoints.h b/src/coreclr/vm/comconnectionpoints.h similarity index 100% rename from src/coreclr/src/vm/comconnectionpoints.h rename to src/coreclr/vm/comconnectionpoints.h diff --git a/src/coreclr/src/vm/comdatetime.cpp b/src/coreclr/vm/comdatetime.cpp similarity index 100% rename from src/coreclr/src/vm/comdatetime.cpp rename to src/coreclr/vm/comdatetime.cpp diff --git a/src/coreclr/src/vm/comdatetime.h b/src/coreclr/vm/comdatetime.h similarity index 100% rename from src/coreclr/src/vm/comdatetime.h rename to src/coreclr/vm/comdatetime.h diff --git a/src/coreclr/src/vm/comdelegate.cpp b/src/coreclr/vm/comdelegate.cpp similarity index 100% rename from src/coreclr/src/vm/comdelegate.cpp rename to src/coreclr/vm/comdelegate.cpp diff --git a/src/coreclr/src/vm/comdelegate.h b/src/coreclr/vm/comdelegate.h similarity index 100% rename from src/coreclr/src/vm/comdelegate.h rename to src/coreclr/vm/comdelegate.h diff --git a/src/coreclr/src/vm/comdependenthandle.cpp b/src/coreclr/vm/comdependenthandle.cpp similarity index 100% rename from src/coreclr/src/vm/comdependenthandle.cpp rename to src/coreclr/vm/comdependenthandle.cpp diff --git a/src/coreclr/src/vm/comdependenthandle.h b/src/coreclr/vm/comdependenthandle.h similarity index 100% rename from src/coreclr/src/vm/comdependenthandle.h rename to src/coreclr/vm/comdependenthandle.h diff --git a/src/coreclr/src/vm/comdynamic.cpp b/src/coreclr/vm/comdynamic.cpp similarity index 100% rename from src/coreclr/src/vm/comdynamic.cpp rename to src/coreclr/vm/comdynamic.cpp diff --git a/src/coreclr/src/vm/comdynamic.h b/src/coreclr/vm/comdynamic.h similarity index 100% rename from src/coreclr/src/vm/comdynamic.h rename to src/coreclr/vm/comdynamic.h diff --git a/src/coreclr/src/vm/cominterfacemarshaler.cpp b/src/coreclr/vm/cominterfacemarshaler.cpp similarity index 100% rename from src/coreclr/src/vm/cominterfacemarshaler.cpp rename to src/coreclr/vm/cominterfacemarshaler.cpp diff --git a/src/coreclr/src/vm/cominterfacemarshaler.h b/src/coreclr/vm/cominterfacemarshaler.h similarity index 100% rename from src/coreclr/src/vm/cominterfacemarshaler.h rename to src/coreclr/vm/cominterfacemarshaler.h diff --git a/src/coreclr/src/vm/commodule.cpp b/src/coreclr/vm/commodule.cpp similarity index 100% rename from src/coreclr/src/vm/commodule.cpp rename to src/coreclr/vm/commodule.cpp diff --git a/src/coreclr/src/vm/commodule.h b/src/coreclr/vm/commodule.h similarity index 100% rename from src/coreclr/src/vm/commodule.h rename to src/coreclr/vm/commodule.h diff --git a/src/coreclr/src/vm/common.h b/src/coreclr/vm/common.h similarity index 100% rename from src/coreclr/src/vm/common.h rename to src/coreclr/vm/common.h diff --git a/src/coreclr/src/vm/commtmemberinfomap.cpp b/src/coreclr/vm/commtmemberinfomap.cpp similarity index 100% rename from src/coreclr/src/vm/commtmemberinfomap.cpp rename to src/coreclr/vm/commtmemberinfomap.cpp diff --git a/src/coreclr/src/vm/commtmemberinfomap.h b/src/coreclr/vm/commtmemberinfomap.h similarity index 100% rename from src/coreclr/src/vm/commtmemberinfomap.h rename to src/coreclr/vm/commtmemberinfomap.h diff --git a/src/coreclr/src/vm/compatibilityswitch.cpp b/src/coreclr/vm/compatibilityswitch.cpp similarity index 100% rename from src/coreclr/src/vm/compatibilityswitch.cpp rename to src/coreclr/vm/compatibilityswitch.cpp diff --git a/src/coreclr/src/vm/compatibilityswitch.h b/src/coreclr/vm/compatibilityswitch.h similarity index 100% rename from src/coreclr/src/vm/compatibilityswitch.h rename to src/coreclr/vm/compatibilityswitch.h diff --git a/src/coreclr/src/vm/compile.cpp b/src/coreclr/vm/compile.cpp similarity index 100% rename from src/coreclr/src/vm/compile.cpp rename to src/coreclr/vm/compile.cpp diff --git a/src/coreclr/src/vm/compile.h b/src/coreclr/vm/compile.h similarity index 100% rename from src/coreclr/src/vm/compile.h rename to src/coreclr/vm/compile.h diff --git a/src/coreclr/src/vm/comreflectioncache.hpp b/src/coreclr/vm/comreflectioncache.hpp similarity index 100% rename from src/coreclr/src/vm/comreflectioncache.hpp rename to src/coreclr/vm/comreflectioncache.hpp diff --git a/src/coreclr/src/vm/comreflectioncache.inl b/src/coreclr/vm/comreflectioncache.inl similarity index 100% rename from src/coreclr/src/vm/comreflectioncache.inl rename to src/coreclr/vm/comreflectioncache.inl diff --git a/src/coreclr/src/vm/comsynchronizable.cpp b/src/coreclr/vm/comsynchronizable.cpp similarity index 100% rename from src/coreclr/src/vm/comsynchronizable.cpp rename to src/coreclr/vm/comsynchronizable.cpp diff --git a/src/coreclr/src/vm/comsynchronizable.h b/src/coreclr/vm/comsynchronizable.h similarity index 100% rename from src/coreclr/src/vm/comsynchronizable.h rename to src/coreclr/vm/comsynchronizable.h diff --git a/src/coreclr/src/vm/comthreadpool.cpp b/src/coreclr/vm/comthreadpool.cpp similarity index 100% rename from src/coreclr/src/vm/comthreadpool.cpp rename to src/coreclr/vm/comthreadpool.cpp diff --git a/src/coreclr/src/vm/comthreadpool.h b/src/coreclr/vm/comthreadpool.h similarity index 100% rename from src/coreclr/src/vm/comthreadpool.h rename to src/coreclr/vm/comthreadpool.h diff --git a/src/coreclr/src/vm/comtoclrcall.cpp b/src/coreclr/vm/comtoclrcall.cpp similarity index 100% rename from src/coreclr/src/vm/comtoclrcall.cpp rename to src/coreclr/vm/comtoclrcall.cpp diff --git a/src/coreclr/src/vm/comtoclrcall.h b/src/coreclr/vm/comtoclrcall.h similarity index 100% rename from src/coreclr/src/vm/comtoclrcall.h rename to src/coreclr/vm/comtoclrcall.h diff --git a/src/coreclr/src/vm/comutilnative.cpp b/src/coreclr/vm/comutilnative.cpp similarity index 100% rename from src/coreclr/src/vm/comutilnative.cpp rename to src/coreclr/vm/comutilnative.cpp diff --git a/src/coreclr/src/vm/comutilnative.h b/src/coreclr/vm/comutilnative.h similarity index 100% rename from src/coreclr/src/vm/comutilnative.h rename to src/coreclr/vm/comutilnative.h diff --git a/src/coreclr/src/vm/comwaithandle.cpp b/src/coreclr/vm/comwaithandle.cpp similarity index 100% rename from src/coreclr/src/vm/comwaithandle.cpp rename to src/coreclr/vm/comwaithandle.cpp diff --git a/src/coreclr/src/vm/comwaithandle.h b/src/coreclr/vm/comwaithandle.h similarity index 100% rename from src/coreclr/src/vm/comwaithandle.h rename to src/coreclr/vm/comwaithandle.h diff --git a/src/coreclr/src/vm/contractimpl.cpp b/src/coreclr/vm/contractimpl.cpp similarity index 100% rename from src/coreclr/src/vm/contractimpl.cpp rename to src/coreclr/vm/contractimpl.cpp diff --git a/src/coreclr/src/vm/contractimpl.h b/src/coreclr/vm/contractimpl.h similarity index 100% rename from src/coreclr/src/vm/contractimpl.h rename to src/coreclr/vm/contractimpl.h diff --git a/src/coreclr/src/vm/coreassemblyspec.cpp b/src/coreclr/vm/coreassemblyspec.cpp similarity index 100% rename from src/coreclr/src/vm/coreassemblyspec.cpp rename to src/coreclr/vm/coreassemblyspec.cpp diff --git a/src/coreclr/src/vm/corebindresult.cpp b/src/coreclr/vm/corebindresult.cpp similarity index 100% rename from src/coreclr/src/vm/corebindresult.cpp rename to src/coreclr/vm/corebindresult.cpp diff --git a/src/coreclr/src/vm/coreclr/corebindresult.h b/src/coreclr/vm/coreclr/corebindresult.h similarity index 100% rename from src/coreclr/src/vm/coreclr/corebindresult.h rename to src/coreclr/vm/coreclr/corebindresult.h diff --git a/src/coreclr/src/vm/coreclr/corebindresult.inl b/src/coreclr/vm/coreclr/corebindresult.inl similarity index 100% rename from src/coreclr/src/vm/coreclr/corebindresult.inl rename to src/coreclr/vm/coreclr/corebindresult.inl diff --git a/src/coreclr/src/vm/corelib.cpp b/src/coreclr/vm/corelib.cpp similarity index 100% rename from src/coreclr/src/vm/corelib.cpp rename to src/coreclr/vm/corelib.cpp diff --git a/src/coreclr/src/vm/corelib.h b/src/coreclr/vm/corelib.h similarity index 100% rename from src/coreclr/src/vm/corelib.h rename to src/coreclr/vm/corelib.h diff --git a/src/coreclr/src/vm/corhost.cpp b/src/coreclr/vm/corhost.cpp similarity index 100% rename from src/coreclr/src/vm/corhost.cpp rename to src/coreclr/vm/corhost.cpp diff --git a/src/coreclr/src/vm/crossgen/CMakeLists.txt b/src/coreclr/vm/crossgen/CMakeLists.txt similarity index 99% rename from src/coreclr/src/vm/crossgen/CMakeLists.txt rename to src/coreclr/vm/crossgen/CMakeLists.txt index 96c2df2..75ae230 100644 --- a/src/coreclr/src/vm/crossgen/CMakeLists.txt +++ b/src/coreclr/vm/crossgen/CMakeLists.txt @@ -200,7 +200,7 @@ if(FEATURE_READYTORUN) endif(FEATURE_READYTORUN) include_directories(BEFORE ..) -include_directories(${CLR_DIR}/src/gc) +include_directories(${CLR_DIR}/gc) include_directories(../${ARCH_SOURCES_DIR}) if(CLR_CMAKE_TARGET_ARCH_AMD64) diff --git a/src/coreclr/src/vm/crossgencompile.cpp b/src/coreclr/vm/crossgencompile.cpp similarity index 100% rename from src/coreclr/src/vm/crossgencompile.cpp rename to src/coreclr/vm/crossgencompile.cpp diff --git a/src/coreclr/src/vm/crossloaderallocatorhash.h b/src/coreclr/vm/crossloaderallocatorhash.h similarity index 100% rename from src/coreclr/src/vm/crossloaderallocatorhash.h rename to src/coreclr/vm/crossloaderallocatorhash.h diff --git a/src/coreclr/src/vm/crossloaderallocatorhash.inl b/src/coreclr/vm/crossloaderallocatorhash.inl similarity index 100% rename from src/coreclr/src/vm/crossloaderallocatorhash.inl rename to src/coreclr/vm/crossloaderallocatorhash.inl diff --git a/src/coreclr/src/vm/crst.cpp b/src/coreclr/vm/crst.cpp similarity index 100% rename from src/coreclr/src/vm/crst.cpp rename to src/coreclr/vm/crst.cpp diff --git a/src/coreclr/src/vm/crst.h b/src/coreclr/vm/crst.h similarity index 100% rename from src/coreclr/src/vm/crst.h rename to src/coreclr/vm/crst.h diff --git a/src/coreclr/src/vm/ctxtcall.h b/src/coreclr/vm/ctxtcall.h similarity index 100% rename from src/coreclr/src/vm/ctxtcall.h rename to src/coreclr/vm/ctxtcall.h diff --git a/src/coreclr/src/vm/customattribute.cpp b/src/coreclr/vm/customattribute.cpp similarity index 100% rename from src/coreclr/src/vm/customattribute.cpp rename to src/coreclr/vm/customattribute.cpp diff --git a/src/coreclr/src/vm/customattribute.h b/src/coreclr/vm/customattribute.h similarity index 100% rename from src/coreclr/src/vm/customattribute.h rename to src/coreclr/vm/customattribute.h diff --git a/src/coreclr/src/vm/custommarshalerinfo.cpp b/src/coreclr/vm/custommarshalerinfo.cpp similarity index 100% rename from src/coreclr/src/vm/custommarshalerinfo.cpp rename to src/coreclr/vm/custommarshalerinfo.cpp diff --git a/src/coreclr/src/vm/custommarshalerinfo.h b/src/coreclr/vm/custommarshalerinfo.h similarity index 100% rename from src/coreclr/src/vm/custommarshalerinfo.h rename to src/coreclr/vm/custommarshalerinfo.h diff --git a/src/coreclr/src/vm/dataimage.cpp b/src/coreclr/vm/dataimage.cpp similarity index 100% rename from src/coreclr/src/vm/dataimage.cpp rename to src/coreclr/vm/dataimage.cpp diff --git a/src/coreclr/src/vm/dataimage.h b/src/coreclr/vm/dataimage.h similarity index 100% rename from src/coreclr/src/vm/dataimage.h rename to src/coreclr/vm/dataimage.h diff --git a/src/coreclr/src/vm/dataimagesection.h b/src/coreclr/vm/dataimagesection.h similarity index 100% rename from src/coreclr/src/vm/dataimagesection.h rename to src/coreclr/vm/dataimagesection.h diff --git a/src/coreclr/src/vm/dbginterface.h b/src/coreclr/vm/dbginterface.h similarity index 100% rename from src/coreclr/src/vm/dbginterface.h rename to src/coreclr/vm/dbginterface.h diff --git a/src/coreclr/src/vm/debugdebugger.cpp b/src/coreclr/vm/debugdebugger.cpp similarity index 100% rename from src/coreclr/src/vm/debugdebugger.cpp rename to src/coreclr/vm/debugdebugger.cpp diff --git a/src/coreclr/src/vm/debugdebugger.h b/src/coreclr/vm/debugdebugger.h similarity index 100% rename from src/coreclr/src/vm/debugdebugger.h rename to src/coreclr/vm/debugdebugger.h diff --git a/src/coreclr/src/vm/debughelp.cpp b/src/coreclr/vm/debughelp.cpp similarity index 100% rename from src/coreclr/src/vm/debughelp.cpp rename to src/coreclr/vm/debughelp.cpp diff --git a/src/coreclr/src/vm/debuginfostore.cpp b/src/coreclr/vm/debuginfostore.cpp similarity index 100% rename from src/coreclr/src/vm/debuginfostore.cpp rename to src/coreclr/vm/debuginfostore.cpp diff --git a/src/coreclr/src/vm/debuginfostore.h b/src/coreclr/vm/debuginfostore.h similarity index 100% rename from src/coreclr/src/vm/debuginfostore.h rename to src/coreclr/vm/debuginfostore.h diff --git a/src/coreclr/src/vm/decodemd.cpp b/src/coreclr/vm/decodemd.cpp similarity index 100% rename from src/coreclr/src/vm/decodemd.cpp rename to src/coreclr/vm/decodemd.cpp diff --git a/src/coreclr/src/vm/decodemd.h b/src/coreclr/vm/decodemd.h similarity index 100% rename from src/coreclr/src/vm/decodemd.h rename to src/coreclr/vm/decodemd.h diff --git a/src/coreclr/src/vm/delegateinfo.h b/src/coreclr/vm/delegateinfo.h similarity index 100% rename from src/coreclr/src/vm/delegateinfo.h rename to src/coreclr/vm/delegateinfo.h diff --git a/src/coreclr/src/vm/diagnosticserver.cpp b/src/coreclr/vm/diagnosticserver.cpp similarity index 100% rename from src/coreclr/src/vm/diagnosticserver.cpp rename to src/coreclr/vm/diagnosticserver.cpp diff --git a/src/coreclr/src/vm/diagnosticserver.h b/src/coreclr/vm/diagnosticserver.h similarity index 100% rename from src/coreclr/src/vm/diagnosticserver.h rename to src/coreclr/vm/diagnosticserver.h diff --git a/src/coreclr/src/vm/diagnosticsprotocol.h b/src/coreclr/vm/diagnosticsprotocol.h similarity index 100% rename from src/coreclr/src/vm/diagnosticsprotocol.h rename to src/coreclr/vm/diagnosticsprotocol.h diff --git a/src/coreclr/src/vm/disassembler.cpp b/src/coreclr/vm/disassembler.cpp similarity index 100% rename from src/coreclr/src/vm/disassembler.cpp rename to src/coreclr/vm/disassembler.cpp diff --git a/src/coreclr/src/vm/disassembler.h b/src/coreclr/vm/disassembler.h similarity index 100% rename from src/coreclr/src/vm/disassembler.h rename to src/coreclr/vm/disassembler.h diff --git a/src/coreclr/src/vm/dispatchinfo.cpp b/src/coreclr/vm/dispatchinfo.cpp similarity index 100% rename from src/coreclr/src/vm/dispatchinfo.cpp rename to src/coreclr/vm/dispatchinfo.cpp diff --git a/src/coreclr/src/vm/dispatchinfo.h b/src/coreclr/vm/dispatchinfo.h similarity index 100% rename from src/coreclr/src/vm/dispatchinfo.h rename to src/coreclr/vm/dispatchinfo.h diff --git a/src/coreclr/src/vm/dispparammarshaler.cpp b/src/coreclr/vm/dispparammarshaler.cpp similarity index 100% rename from src/coreclr/src/vm/dispparammarshaler.cpp rename to src/coreclr/vm/dispparammarshaler.cpp diff --git a/src/coreclr/src/vm/dispparammarshaler.h b/src/coreclr/vm/dispparammarshaler.h similarity index 100% rename from src/coreclr/src/vm/dispparammarshaler.h rename to src/coreclr/vm/dispparammarshaler.h diff --git a/src/coreclr/src/vm/dllimport.cpp b/src/coreclr/vm/dllimport.cpp similarity index 100% rename from src/coreclr/src/vm/dllimport.cpp rename to src/coreclr/vm/dllimport.cpp diff --git a/src/coreclr/src/vm/dllimport.h b/src/coreclr/vm/dllimport.h similarity index 100% rename from src/coreclr/src/vm/dllimport.h rename to src/coreclr/vm/dllimport.h diff --git a/src/coreclr/src/vm/dllimportcallback.cpp b/src/coreclr/vm/dllimportcallback.cpp similarity index 100% rename from src/coreclr/src/vm/dllimportcallback.cpp rename to src/coreclr/vm/dllimportcallback.cpp diff --git a/src/coreclr/src/vm/dllimportcallback.h b/src/coreclr/vm/dllimportcallback.h similarity index 100% rename from src/coreclr/src/vm/dllimportcallback.h rename to src/coreclr/vm/dllimportcallback.h diff --git a/src/coreclr/src/vm/domainfile.cpp b/src/coreclr/vm/domainfile.cpp similarity index 100% rename from src/coreclr/src/vm/domainfile.cpp rename to src/coreclr/vm/domainfile.cpp diff --git a/src/coreclr/src/vm/domainfile.h b/src/coreclr/vm/domainfile.h similarity index 100% rename from src/coreclr/src/vm/domainfile.h rename to src/coreclr/vm/domainfile.h diff --git a/src/coreclr/src/vm/domainfile.inl b/src/coreclr/vm/domainfile.inl similarity index 100% rename from src/coreclr/src/vm/domainfile.inl rename to src/coreclr/vm/domainfile.inl diff --git a/src/coreclr/src/vm/dumpdiagnosticprotocolhelper.cpp b/src/coreclr/vm/dumpdiagnosticprotocolhelper.cpp similarity index 100% rename from src/coreclr/src/vm/dumpdiagnosticprotocolhelper.cpp rename to src/coreclr/vm/dumpdiagnosticprotocolhelper.cpp diff --git a/src/coreclr/src/vm/dumpdiagnosticprotocolhelper.h b/src/coreclr/vm/dumpdiagnosticprotocolhelper.h similarity index 100% rename from src/coreclr/src/vm/dumpdiagnosticprotocolhelper.h rename to src/coreclr/vm/dumpdiagnosticprotocolhelper.h diff --git a/src/coreclr/src/vm/dwbucketmanager.hpp b/src/coreclr/vm/dwbucketmanager.hpp similarity index 100% rename from src/coreclr/src/vm/dwbucketmanager.hpp rename to src/coreclr/vm/dwbucketmanager.hpp diff --git a/src/coreclr/src/vm/dwreport.cpp b/src/coreclr/vm/dwreport.cpp similarity index 100% rename from src/coreclr/src/vm/dwreport.cpp rename to src/coreclr/vm/dwreport.cpp diff --git a/src/coreclr/src/vm/dwreport.h b/src/coreclr/vm/dwreport.h similarity index 100% rename from src/coreclr/src/vm/dwreport.h rename to src/coreclr/vm/dwreport.h diff --git a/src/coreclr/src/vm/dynamicinterfacecastable.cpp b/src/coreclr/vm/dynamicinterfacecastable.cpp similarity index 100% rename from src/coreclr/src/vm/dynamicinterfacecastable.cpp rename to src/coreclr/vm/dynamicinterfacecastable.cpp diff --git a/src/coreclr/src/vm/dynamicinterfacecastable.h b/src/coreclr/vm/dynamicinterfacecastable.h similarity index 100% rename from src/coreclr/src/vm/dynamicinterfacecastable.h rename to src/coreclr/vm/dynamicinterfacecastable.h diff --git a/src/coreclr/src/vm/dynamicmethod.cpp b/src/coreclr/vm/dynamicmethod.cpp similarity index 100% rename from src/coreclr/src/vm/dynamicmethod.cpp rename to src/coreclr/vm/dynamicmethod.cpp diff --git a/src/coreclr/src/vm/dynamicmethod.h b/src/coreclr/vm/dynamicmethod.h similarity index 100% rename from src/coreclr/src/vm/dynamicmethod.h rename to src/coreclr/vm/dynamicmethod.h diff --git a/src/coreclr/src/vm/ecall.cpp b/src/coreclr/vm/ecall.cpp similarity index 100% rename from src/coreclr/src/vm/ecall.cpp rename to src/coreclr/vm/ecall.cpp diff --git a/src/coreclr/src/vm/ecall.h b/src/coreclr/vm/ecall.h similarity index 100% rename from src/coreclr/src/vm/ecall.h rename to src/coreclr/vm/ecall.h diff --git a/src/coreclr/src/vm/ecalllist.h b/src/coreclr/vm/ecalllist.h similarity index 100% rename from src/coreclr/src/vm/ecalllist.h rename to src/coreclr/vm/ecalllist.h diff --git a/src/coreclr/src/vm/eeconfig.cpp b/src/coreclr/vm/eeconfig.cpp similarity index 100% rename from src/coreclr/src/vm/eeconfig.cpp rename to src/coreclr/vm/eeconfig.cpp diff --git a/src/coreclr/src/vm/eeconfig.h b/src/coreclr/vm/eeconfig.h similarity index 100% rename from src/coreclr/src/vm/eeconfig.h rename to src/coreclr/vm/eeconfig.h diff --git a/src/coreclr/src/vm/eecontract.cpp b/src/coreclr/vm/eecontract.cpp similarity index 100% rename from src/coreclr/src/vm/eecontract.cpp rename to src/coreclr/vm/eecontract.cpp diff --git a/src/coreclr/src/vm/eecontract.h b/src/coreclr/vm/eecontract.h similarity index 100% rename from src/coreclr/src/vm/eecontract.h rename to src/coreclr/vm/eecontract.h diff --git a/src/coreclr/src/vm/eedbginterface.h b/src/coreclr/vm/eedbginterface.h similarity index 100% rename from src/coreclr/src/vm/eedbginterface.h rename to src/coreclr/vm/eedbginterface.h diff --git a/src/coreclr/src/vm/eedbginterfaceimpl.cpp b/src/coreclr/vm/eedbginterfaceimpl.cpp similarity index 100% rename from src/coreclr/src/vm/eedbginterfaceimpl.cpp rename to src/coreclr/vm/eedbginterfaceimpl.cpp diff --git a/src/coreclr/src/vm/eedbginterfaceimpl.h b/src/coreclr/vm/eedbginterfaceimpl.h similarity index 100% rename from src/coreclr/src/vm/eedbginterfaceimpl.h rename to src/coreclr/vm/eedbginterfaceimpl.h diff --git a/src/coreclr/src/vm/eedbginterfaceimpl.inl b/src/coreclr/vm/eedbginterfaceimpl.inl similarity index 100% rename from src/coreclr/src/vm/eedbginterfaceimpl.inl rename to src/coreclr/vm/eedbginterfaceimpl.inl diff --git a/src/coreclr/src/vm/eehash.cpp b/src/coreclr/vm/eehash.cpp similarity index 100% rename from src/coreclr/src/vm/eehash.cpp rename to src/coreclr/vm/eehash.cpp diff --git a/src/coreclr/src/vm/eehash.h b/src/coreclr/vm/eehash.h similarity index 100% rename from src/coreclr/src/vm/eehash.h rename to src/coreclr/vm/eehash.h diff --git a/src/coreclr/src/vm/eehash.inl b/src/coreclr/vm/eehash.inl similarity index 100% rename from src/coreclr/src/vm/eehash.inl rename to src/coreclr/vm/eehash.inl diff --git a/src/coreclr/src/vm/eemessagebox.cpp b/src/coreclr/vm/eemessagebox.cpp similarity index 100% rename from src/coreclr/src/vm/eemessagebox.cpp rename to src/coreclr/vm/eemessagebox.cpp diff --git a/src/coreclr/src/vm/eemessagebox.h b/src/coreclr/vm/eemessagebox.h similarity index 100% rename from src/coreclr/src/vm/eemessagebox.h rename to src/coreclr/vm/eemessagebox.h diff --git a/src/coreclr/src/vm/eepolicy.cpp b/src/coreclr/vm/eepolicy.cpp similarity index 100% rename from src/coreclr/src/vm/eepolicy.cpp rename to src/coreclr/vm/eepolicy.cpp diff --git a/src/coreclr/src/vm/eepolicy.h b/src/coreclr/vm/eepolicy.h similarity index 100% rename from src/coreclr/src/vm/eepolicy.h rename to src/coreclr/vm/eepolicy.h diff --git a/src/coreclr/src/vm/eeprofinterfaces.h b/src/coreclr/vm/eeprofinterfaces.h similarity index 100% rename from src/coreclr/src/vm/eeprofinterfaces.h rename to src/coreclr/vm/eeprofinterfaces.h diff --git a/src/coreclr/src/vm/eeprofinterfaces.inl b/src/coreclr/vm/eeprofinterfaces.inl similarity index 100% rename from src/coreclr/src/vm/eeprofinterfaces.inl rename to src/coreclr/vm/eeprofinterfaces.inl diff --git a/src/coreclr/src/vm/eetoprofinterfaceimpl.cpp b/src/coreclr/vm/eetoprofinterfaceimpl.cpp similarity index 100% rename from src/coreclr/src/vm/eetoprofinterfaceimpl.cpp rename to src/coreclr/vm/eetoprofinterfaceimpl.cpp diff --git a/src/coreclr/src/vm/eetoprofinterfaceimpl.h b/src/coreclr/vm/eetoprofinterfaceimpl.h similarity index 100% rename from src/coreclr/src/vm/eetoprofinterfaceimpl.h rename to src/coreclr/vm/eetoprofinterfaceimpl.h diff --git a/src/coreclr/src/vm/eetoprofinterfaceimpl.inl b/src/coreclr/vm/eetoprofinterfaceimpl.inl similarity index 100% rename from src/coreclr/src/vm/eetoprofinterfaceimpl.inl rename to src/coreclr/vm/eetoprofinterfaceimpl.inl diff --git a/src/coreclr/src/vm/eetoprofinterfacewrapper.inl b/src/coreclr/vm/eetoprofinterfacewrapper.inl similarity index 100% rename from src/coreclr/src/vm/eetoprofinterfacewrapper.inl rename to src/coreclr/vm/eetoprofinterfacewrapper.inl diff --git a/src/coreclr/src/vm/eetwain.cpp b/src/coreclr/vm/eetwain.cpp similarity index 100% rename from src/coreclr/src/vm/eetwain.cpp rename to src/coreclr/vm/eetwain.cpp diff --git a/src/coreclr/src/vm/encee.cpp b/src/coreclr/vm/encee.cpp similarity index 100% rename from src/coreclr/src/vm/encee.cpp rename to src/coreclr/vm/encee.cpp diff --git a/src/coreclr/src/vm/encee.h b/src/coreclr/vm/encee.h similarity index 100% rename from src/coreclr/src/vm/encee.h rename to src/coreclr/vm/encee.h diff --git a/src/coreclr/src/vm/eventing/CMakeLists.txt b/src/coreclr/vm/eventing/CMakeLists.txt similarity index 94% rename from src/coreclr/src/vm/eventing/CMakeLists.txt rename to src/coreclr/vm/eventing/CMakeLists.txt index e2bf024..fccfa86 100644 --- a/src/coreclr/src/vm/eventing/CMakeLists.txt +++ b/src/coreclr/vm/eventing/CMakeLists.txt @@ -22,7 +22,7 @@ if (NEED_XPLAT_HEADER) ${GENERATED_INCLUDE_DIR}/clrxplatevents.h) endif() -set(GENEVENTING_SCRIPT ${CLR_DIR}/src/scripts/genEventing.py) +set(GENEVENTING_SCRIPT ${CLR_DIR}/scripts/genEventing.py) add_custom_target(eventing_headers ${PYTHON_EXECUTABLE} ${GENEVENTING_SCRIPT} --man ${EVENT_MANIFEST} --inc ${GENERATED_INCLUDE_DIR} --dummy ${GENERATED_INCLUDE_DIR}/etmdummy.h ${NONEXTERN_ARG} ${NOXPLATHEADER_ARG} diff --git a/src/coreclr/src/vm/eventing/EtwProvider/CMakeLists.txt b/src/coreclr/vm/eventing/EtwProvider/CMakeLists.txt similarity index 90% rename from src/coreclr/src/vm/eventing/EtwProvider/CMakeLists.txt rename to src/coreclr/vm/eventing/EtwProvider/CMakeLists.txt index 4c7df2f..6545b03 100644 --- a/src/coreclr/src/vm/eventing/EtwProvider/CMakeLists.txt +++ b/src/coreclr/vm/eventing/EtwProvider/CMakeLists.txt @@ -1,6 +1,6 @@ include(FindPythonInterp) -set(ETW_PROVIDER_SCRIPT ${CLR_DIR}/src/scripts/genEtwProvider.py) +set(ETW_PROVIDER_SCRIPT ${CLR_DIR}/scripts/genEtwProvider.py) set (ETW_PROVIDER_OUTPUTS ${GENERATED_INCLUDE_DIR}/etw/ClrEtwAll.h diff --git a/src/coreclr/src/vm/eventing/eventpipe/CMakeLists.txt b/src/coreclr/vm/eventing/eventpipe/CMakeLists.txt similarity index 95% rename from src/coreclr/src/vm/eventing/eventpipe/CMakeLists.txt rename to src/coreclr/vm/eventing/eventpipe/CMakeLists.txt index 00d7973..19e2911 100644 --- a/src/coreclr/src/vm/eventing/eventpipe/CMakeLists.txt +++ b/src/coreclr/vm/eventing/eventpipe/CMakeLists.txt @@ -2,7 +2,7 @@ include(FindPythonInterp) set(CMAKE_INCLUDE_CURRENT_DIR ON) -set(GENERATE_SCRIPT ${CLR_DIR}/src/scripts/genEventPipe.py) +set(GENERATE_SCRIPT ${CLR_DIR}/scripts/genEventPipe.py) set(GENERATE_COMMAND ${PYTHON_EXECUTABLE} ${GENERATE_SCRIPT} --man ${EVENT_MANIFEST} --exc ${EVENT_EXCLUSIONS} --intermediate ${CMAKE_CURRENT_BINARY_DIR} ${NONEXTERN_ARG}) execute_process( diff --git a/src/coreclr/src/vm/eventpipe.cpp b/src/coreclr/vm/eventpipe.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipe.cpp rename to src/coreclr/vm/eventpipe.cpp diff --git a/src/coreclr/src/vm/eventpipe.h b/src/coreclr/vm/eventpipe.h similarity index 100% rename from src/coreclr/src/vm/eventpipe.h rename to src/coreclr/vm/eventpipe.h diff --git a/src/coreclr/src/vm/eventpipeblock.cpp b/src/coreclr/vm/eventpipeblock.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeblock.cpp rename to src/coreclr/vm/eventpipeblock.cpp diff --git a/src/coreclr/src/vm/eventpipeblock.h b/src/coreclr/vm/eventpipeblock.h similarity index 100% rename from src/coreclr/src/vm/eventpipeblock.h rename to src/coreclr/vm/eventpipeblock.h diff --git a/src/coreclr/src/vm/eventpipebuffer.cpp b/src/coreclr/vm/eventpipebuffer.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipebuffer.cpp rename to src/coreclr/vm/eventpipebuffer.cpp diff --git a/src/coreclr/src/vm/eventpipebuffer.h b/src/coreclr/vm/eventpipebuffer.h similarity index 100% rename from src/coreclr/src/vm/eventpipebuffer.h rename to src/coreclr/vm/eventpipebuffer.h diff --git a/src/coreclr/src/vm/eventpipebuffermanager.cpp b/src/coreclr/vm/eventpipebuffermanager.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipebuffermanager.cpp rename to src/coreclr/vm/eventpipebuffermanager.cpp diff --git a/src/coreclr/src/vm/eventpipebuffermanager.h b/src/coreclr/vm/eventpipebuffermanager.h similarity index 100% rename from src/coreclr/src/vm/eventpipebuffermanager.h rename to src/coreclr/vm/eventpipebuffermanager.h diff --git a/src/coreclr/src/vm/eventpipecommontypes.cpp b/src/coreclr/vm/eventpipecommontypes.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipecommontypes.cpp rename to src/coreclr/vm/eventpipecommontypes.cpp diff --git a/src/coreclr/src/vm/eventpipecommontypes.h b/src/coreclr/vm/eventpipecommontypes.h similarity index 100% rename from src/coreclr/src/vm/eventpipecommontypes.h rename to src/coreclr/vm/eventpipecommontypes.h diff --git a/src/coreclr/src/vm/eventpipeconfiguration.cpp b/src/coreclr/vm/eventpipeconfiguration.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeconfiguration.cpp rename to src/coreclr/vm/eventpipeconfiguration.cpp diff --git a/src/coreclr/src/vm/eventpipeconfiguration.h b/src/coreclr/vm/eventpipeconfiguration.h similarity index 100% rename from src/coreclr/src/vm/eventpipeconfiguration.h rename to src/coreclr/vm/eventpipeconfiguration.h diff --git a/src/coreclr/src/vm/eventpipeevent.cpp b/src/coreclr/vm/eventpipeevent.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeevent.cpp rename to src/coreclr/vm/eventpipeevent.cpp diff --git a/src/coreclr/src/vm/eventpipeevent.h b/src/coreclr/vm/eventpipeevent.h similarity index 100% rename from src/coreclr/src/vm/eventpipeevent.h rename to src/coreclr/vm/eventpipeevent.h diff --git a/src/coreclr/src/vm/eventpipeeventinstance.cpp b/src/coreclr/vm/eventpipeeventinstance.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeeventinstance.cpp rename to src/coreclr/vm/eventpipeeventinstance.cpp diff --git a/src/coreclr/src/vm/eventpipeeventinstance.h b/src/coreclr/vm/eventpipeeventinstance.h similarity index 100% rename from src/coreclr/src/vm/eventpipeeventinstance.h rename to src/coreclr/vm/eventpipeeventinstance.h diff --git a/src/coreclr/src/vm/eventpipeeventpayload.cpp b/src/coreclr/vm/eventpipeeventpayload.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeeventpayload.cpp rename to src/coreclr/vm/eventpipeeventpayload.cpp diff --git a/src/coreclr/src/vm/eventpipeeventpayload.h b/src/coreclr/vm/eventpipeeventpayload.h similarity index 100% rename from src/coreclr/src/vm/eventpipeeventpayload.h rename to src/coreclr/vm/eventpipeeventpayload.h diff --git a/src/coreclr/src/vm/eventpipeeventsource.cpp b/src/coreclr/vm/eventpipeeventsource.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeeventsource.cpp rename to src/coreclr/vm/eventpipeeventsource.cpp diff --git a/src/coreclr/src/vm/eventpipeeventsource.h b/src/coreclr/vm/eventpipeeventsource.h similarity index 100% rename from src/coreclr/src/vm/eventpipeeventsource.h rename to src/coreclr/vm/eventpipeeventsource.h diff --git a/src/coreclr/src/vm/eventpipefile.cpp b/src/coreclr/vm/eventpipefile.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipefile.cpp rename to src/coreclr/vm/eventpipefile.cpp diff --git a/src/coreclr/src/vm/eventpipefile.h b/src/coreclr/vm/eventpipefile.h similarity index 100% rename from src/coreclr/src/vm/eventpipefile.h rename to src/coreclr/vm/eventpipefile.h diff --git a/src/coreclr/src/vm/eventpipeinternal.cpp b/src/coreclr/vm/eventpipeinternal.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeinternal.cpp rename to src/coreclr/vm/eventpipeinternal.cpp diff --git a/src/coreclr/src/vm/eventpipeinternal.h b/src/coreclr/vm/eventpipeinternal.h similarity index 100% rename from src/coreclr/src/vm/eventpipeinternal.h rename to src/coreclr/vm/eventpipeinternal.h diff --git a/src/coreclr/src/vm/eventpipejsonfile.cpp b/src/coreclr/vm/eventpipejsonfile.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipejsonfile.cpp rename to src/coreclr/vm/eventpipejsonfile.cpp diff --git a/src/coreclr/src/vm/eventpipejsonfile.h b/src/coreclr/vm/eventpipejsonfile.h similarity index 100% rename from src/coreclr/src/vm/eventpipejsonfile.h rename to src/coreclr/vm/eventpipejsonfile.h diff --git a/src/coreclr/src/vm/eventpipemetadatagenerator.cpp b/src/coreclr/vm/eventpipemetadatagenerator.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipemetadatagenerator.cpp rename to src/coreclr/vm/eventpipemetadatagenerator.cpp diff --git a/src/coreclr/src/vm/eventpipemetadatagenerator.h b/src/coreclr/vm/eventpipemetadatagenerator.h similarity index 100% rename from src/coreclr/src/vm/eventpipemetadatagenerator.h rename to src/coreclr/vm/eventpipemetadatagenerator.h diff --git a/src/coreclr/src/vm/eventpipeprotocolhelper.cpp b/src/coreclr/vm/eventpipeprotocolhelper.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeprotocolhelper.cpp rename to src/coreclr/vm/eventpipeprotocolhelper.cpp diff --git a/src/coreclr/src/vm/eventpipeprotocolhelper.h b/src/coreclr/vm/eventpipeprotocolhelper.h similarity index 100% rename from src/coreclr/src/vm/eventpipeprotocolhelper.h rename to src/coreclr/vm/eventpipeprotocolhelper.h diff --git a/src/coreclr/src/vm/eventpipeprovider.cpp b/src/coreclr/vm/eventpipeprovider.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipeprovider.cpp rename to src/coreclr/vm/eventpipeprovider.cpp diff --git a/src/coreclr/src/vm/eventpipeprovider.h b/src/coreclr/vm/eventpipeprovider.h similarity index 100% rename from src/coreclr/src/vm/eventpipeprovider.h rename to src/coreclr/vm/eventpipeprovider.h diff --git a/src/coreclr/src/vm/eventpipesession.cpp b/src/coreclr/vm/eventpipesession.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipesession.cpp rename to src/coreclr/vm/eventpipesession.cpp diff --git a/src/coreclr/src/vm/eventpipesession.h b/src/coreclr/vm/eventpipesession.h similarity index 100% rename from src/coreclr/src/vm/eventpipesession.h rename to src/coreclr/vm/eventpipesession.h diff --git a/src/coreclr/src/vm/eventpipesessionprovider.cpp b/src/coreclr/vm/eventpipesessionprovider.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipesessionprovider.cpp rename to src/coreclr/vm/eventpipesessionprovider.cpp diff --git a/src/coreclr/src/vm/eventpipesessionprovider.h b/src/coreclr/vm/eventpipesessionprovider.h similarity index 100% rename from src/coreclr/src/vm/eventpipesessionprovider.h rename to src/coreclr/vm/eventpipesessionprovider.h diff --git a/src/coreclr/src/vm/eventpipethread.cpp b/src/coreclr/vm/eventpipethread.cpp similarity index 100% rename from src/coreclr/src/vm/eventpipethread.cpp rename to src/coreclr/vm/eventpipethread.cpp diff --git a/src/coreclr/src/vm/eventpipethread.h b/src/coreclr/vm/eventpipethread.h similarity index 100% rename from src/coreclr/src/vm/eventpipethread.h rename to src/coreclr/vm/eventpipethread.h diff --git a/src/coreclr/src/vm/eventreporter.cpp b/src/coreclr/vm/eventreporter.cpp similarity index 100% rename from src/coreclr/src/vm/eventreporter.cpp rename to src/coreclr/vm/eventreporter.cpp diff --git a/src/coreclr/src/vm/eventreporter.h b/src/coreclr/vm/eventreporter.h similarity index 100% rename from src/coreclr/src/vm/eventreporter.h rename to src/coreclr/vm/eventreporter.h diff --git a/src/coreclr/src/vm/eventstore.cpp b/src/coreclr/vm/eventstore.cpp similarity index 100% rename from src/coreclr/src/vm/eventstore.cpp rename to src/coreclr/vm/eventstore.cpp diff --git a/src/coreclr/src/vm/eventstore.hpp b/src/coreclr/vm/eventstore.hpp similarity index 100% rename from src/coreclr/src/vm/eventstore.hpp rename to src/coreclr/vm/eventstore.hpp diff --git a/src/coreclr/src/vm/eventtrace.cpp b/src/coreclr/vm/eventtrace.cpp similarity index 100% rename from src/coreclr/src/vm/eventtrace.cpp rename to src/coreclr/vm/eventtrace.cpp diff --git a/src/coreclr/src/vm/eventtrace.inl b/src/coreclr/vm/eventtrace.inl similarity index 100% rename from src/coreclr/src/vm/eventtrace.inl rename to src/coreclr/vm/eventtrace.inl diff --git a/src/coreclr/src/vm/eventtracepriv.h b/src/coreclr/vm/eventtracepriv.h similarity index 100% rename from src/coreclr/src/vm/eventtracepriv.h rename to src/coreclr/vm/eventtracepriv.h diff --git a/src/coreclr/src/vm/excep.cpp b/src/coreclr/vm/excep.cpp similarity index 100% rename from src/coreclr/src/vm/excep.cpp rename to src/coreclr/vm/excep.cpp diff --git a/src/coreclr/src/vm/excep.h b/src/coreclr/vm/excep.h similarity index 100% rename from src/coreclr/src/vm/excep.h rename to src/coreclr/vm/excep.h diff --git a/src/coreclr/src/vm/exceptionhandling.cpp b/src/coreclr/vm/exceptionhandling.cpp similarity index 100% rename from src/coreclr/src/vm/exceptionhandling.cpp rename to src/coreclr/vm/exceptionhandling.cpp diff --git a/src/coreclr/src/vm/exceptionhandling.h b/src/coreclr/vm/exceptionhandling.h similarity index 100% rename from src/coreclr/src/vm/exceptionhandling.h rename to src/coreclr/vm/exceptionhandling.h diff --git a/src/coreclr/src/vm/exceptmacros.h b/src/coreclr/vm/exceptmacros.h similarity index 100% rename from src/coreclr/src/vm/exceptmacros.h rename to src/coreclr/vm/exceptmacros.h diff --git a/src/coreclr/src/vm/exinfo.cpp b/src/coreclr/vm/exinfo.cpp similarity index 100% rename from src/coreclr/src/vm/exinfo.cpp rename to src/coreclr/vm/exinfo.cpp diff --git a/src/coreclr/src/vm/exinfo.h b/src/coreclr/vm/exinfo.h similarity index 100% rename from src/coreclr/src/vm/exinfo.h rename to src/coreclr/vm/exinfo.h diff --git a/src/coreclr/src/vm/exstate.cpp b/src/coreclr/vm/exstate.cpp similarity index 100% rename from src/coreclr/src/vm/exstate.cpp rename to src/coreclr/vm/exstate.cpp diff --git a/src/coreclr/src/vm/exstate.h b/src/coreclr/vm/exstate.h similarity index 100% rename from src/coreclr/src/vm/exstate.h rename to src/coreclr/vm/exstate.h diff --git a/src/coreclr/src/vm/exstatecommon.h b/src/coreclr/vm/exstatecommon.h similarity index 100% rename from src/coreclr/src/vm/exstatecommon.h rename to src/coreclr/vm/exstatecommon.h diff --git a/src/coreclr/src/vm/fastserializableobject.h b/src/coreclr/vm/fastserializableobject.h similarity index 100% rename from src/coreclr/src/vm/fastserializableobject.h rename to src/coreclr/vm/fastserializableobject.h diff --git a/src/coreclr/src/vm/fastserializer.cpp b/src/coreclr/vm/fastserializer.cpp similarity index 100% rename from src/coreclr/src/vm/fastserializer.cpp rename to src/coreclr/vm/fastserializer.cpp diff --git a/src/coreclr/src/vm/fastserializer.h b/src/coreclr/vm/fastserializer.h similarity index 100% rename from src/coreclr/src/vm/fastserializer.h rename to src/coreclr/vm/fastserializer.h diff --git a/src/coreclr/src/vm/fcall.cpp b/src/coreclr/vm/fcall.cpp similarity index 100% rename from src/coreclr/src/vm/fcall.cpp rename to src/coreclr/vm/fcall.cpp diff --git a/src/coreclr/src/vm/fcall.h b/src/coreclr/vm/fcall.h similarity index 100% rename from src/coreclr/src/vm/fcall.h rename to src/coreclr/vm/fcall.h diff --git a/src/coreclr/src/vm/field.cpp b/src/coreclr/vm/field.cpp similarity index 100% rename from src/coreclr/src/vm/field.cpp rename to src/coreclr/vm/field.cpp diff --git a/src/coreclr/src/vm/field.h b/src/coreclr/vm/field.h similarity index 100% rename from src/coreclr/src/vm/field.h rename to src/coreclr/vm/field.h diff --git a/src/coreclr/src/vm/fieldmarshaler.cpp b/src/coreclr/vm/fieldmarshaler.cpp similarity index 100% rename from src/coreclr/src/vm/fieldmarshaler.cpp rename to src/coreclr/vm/fieldmarshaler.cpp diff --git a/src/coreclr/src/vm/fieldmarshaler.h b/src/coreclr/vm/fieldmarshaler.h similarity index 100% rename from src/coreclr/src/vm/fieldmarshaler.h rename to src/coreclr/vm/fieldmarshaler.h diff --git a/src/coreclr/src/vm/finalizerthread.cpp b/src/coreclr/vm/finalizerthread.cpp similarity index 100% rename from src/coreclr/src/vm/finalizerthread.cpp rename to src/coreclr/vm/finalizerthread.cpp diff --git a/src/coreclr/src/vm/finalizerthread.h b/src/coreclr/vm/finalizerthread.h similarity index 100% rename from src/coreclr/src/vm/finalizerthread.h rename to src/coreclr/vm/finalizerthread.h diff --git a/src/coreclr/src/vm/formattype.cpp b/src/coreclr/vm/formattype.cpp similarity index 100% rename from src/coreclr/src/vm/formattype.cpp rename to src/coreclr/vm/formattype.cpp diff --git a/src/coreclr/src/vm/fptrstubs.cpp b/src/coreclr/vm/fptrstubs.cpp similarity index 100% rename from src/coreclr/src/vm/fptrstubs.cpp rename to src/coreclr/vm/fptrstubs.cpp diff --git a/src/coreclr/src/vm/fptrstubs.h b/src/coreclr/vm/fptrstubs.h similarity index 100% rename from src/coreclr/src/vm/fptrstubs.h rename to src/coreclr/vm/fptrstubs.h diff --git a/src/coreclr/src/vm/frames.cpp b/src/coreclr/vm/frames.cpp similarity index 100% rename from src/coreclr/src/vm/frames.cpp rename to src/coreclr/vm/frames.cpp diff --git a/src/coreclr/src/vm/frames.h b/src/coreclr/vm/frames.h similarity index 100% rename from src/coreclr/src/vm/frames.h rename to src/coreclr/vm/frames.h diff --git a/src/coreclr/src/vm/gccover.cpp b/src/coreclr/vm/gccover.cpp similarity index 100% rename from src/coreclr/src/vm/gccover.cpp rename to src/coreclr/vm/gccover.cpp diff --git a/src/coreclr/src/vm/gccover.h b/src/coreclr/vm/gccover.h similarity index 100% rename from src/coreclr/src/vm/gccover.h rename to src/coreclr/vm/gccover.h diff --git a/src/coreclr/src/vm/gcdecode.cpp b/src/coreclr/vm/gcdecode.cpp similarity index 100% rename from src/coreclr/src/vm/gcdecode.cpp rename to src/coreclr/vm/gcdecode.cpp diff --git a/src/coreclr/src/vm/gcdesc.h b/src/coreclr/vm/gcdesc.h similarity index 100% rename from src/coreclr/src/vm/gcdesc.h rename to src/coreclr/vm/gcdesc.h diff --git a/src/coreclr/src/vm/gcenv.ee.common.cpp b/src/coreclr/vm/gcenv.ee.common.cpp similarity index 100% rename from src/coreclr/src/vm/gcenv.ee.common.cpp rename to src/coreclr/vm/gcenv.ee.common.cpp diff --git a/src/coreclr/src/vm/gcenv.ee.cpp b/src/coreclr/vm/gcenv.ee.cpp similarity index 100% rename from src/coreclr/src/vm/gcenv.ee.cpp rename to src/coreclr/vm/gcenv.ee.cpp diff --git a/src/coreclr/src/vm/gcenv.ee.h b/src/coreclr/vm/gcenv.ee.h similarity index 100% rename from src/coreclr/src/vm/gcenv.ee.h rename to src/coreclr/vm/gcenv.ee.h diff --git a/src/coreclr/src/vm/gcenv.ee.standalone.cpp b/src/coreclr/vm/gcenv.ee.standalone.cpp similarity index 100% rename from src/coreclr/src/vm/gcenv.ee.standalone.cpp rename to src/coreclr/vm/gcenv.ee.standalone.cpp diff --git a/src/coreclr/src/vm/gcenv.ee.static.cpp b/src/coreclr/vm/gcenv.ee.static.cpp similarity index 100% rename from src/coreclr/src/vm/gcenv.ee.static.cpp rename to src/coreclr/vm/gcenv.ee.static.cpp diff --git a/src/coreclr/src/vm/gcenv.h b/src/coreclr/vm/gcenv.h similarity index 100% rename from src/coreclr/src/vm/gcenv.h rename to src/coreclr/vm/gcenv.h diff --git a/src/coreclr/src/vm/gcenv.interlocked.h b/src/coreclr/vm/gcenv.interlocked.h similarity index 100% rename from src/coreclr/src/vm/gcenv.interlocked.h rename to src/coreclr/vm/gcenv.interlocked.h diff --git a/src/coreclr/src/vm/gcenv.interlocked.inl b/src/coreclr/vm/gcenv.interlocked.inl similarity index 100% rename from src/coreclr/src/vm/gcenv.interlocked.inl rename to src/coreclr/vm/gcenv.interlocked.inl diff --git a/src/coreclr/src/vm/gcenv.os.cpp b/src/coreclr/vm/gcenv.os.cpp similarity index 100% rename from src/coreclr/src/vm/gcenv.os.cpp rename to src/coreclr/vm/gcenv.os.cpp diff --git a/src/coreclr/src/vm/gcenv.os.h b/src/coreclr/vm/gcenv.os.h similarity index 100% rename from src/coreclr/src/vm/gcenv.os.h rename to src/coreclr/vm/gcenv.os.h diff --git a/src/coreclr/src/vm/gcenv.unix.inl b/src/coreclr/vm/gcenv.unix.inl similarity index 100% rename from src/coreclr/src/vm/gcenv.unix.inl rename to src/coreclr/vm/gcenv.unix.inl diff --git a/src/coreclr/src/vm/gcenv.windows.inl b/src/coreclr/vm/gcenv.windows.inl similarity index 100% rename from src/coreclr/src/vm/gcenv.windows.inl rename to src/coreclr/vm/gcenv.windows.inl diff --git a/src/coreclr/src/vm/gchandleutilities.cpp b/src/coreclr/vm/gchandleutilities.cpp similarity index 100% rename from src/coreclr/src/vm/gchandleutilities.cpp rename to src/coreclr/vm/gchandleutilities.cpp diff --git a/src/coreclr/src/vm/gchandleutilities.h b/src/coreclr/vm/gchandleutilities.h similarity index 100% rename from src/coreclr/src/vm/gchandleutilities.h rename to src/coreclr/vm/gchandleutilities.h diff --git a/src/coreclr/src/vm/gcheaphashtable.h b/src/coreclr/vm/gcheaphashtable.h similarity index 100% rename from src/coreclr/src/vm/gcheaphashtable.h rename to src/coreclr/vm/gcheaphashtable.h diff --git a/src/coreclr/src/vm/gcheaphashtable.inl b/src/coreclr/vm/gcheaphashtable.inl similarity index 100% rename from src/coreclr/src/vm/gcheaphashtable.inl rename to src/coreclr/vm/gcheaphashtable.inl diff --git a/src/coreclr/src/vm/gcheaputilities.cpp b/src/coreclr/vm/gcheaputilities.cpp similarity index 100% rename from src/coreclr/src/vm/gcheaputilities.cpp rename to src/coreclr/vm/gcheaputilities.cpp diff --git a/src/coreclr/src/vm/gcheaputilities.h b/src/coreclr/vm/gcheaputilities.h similarity index 100% rename from src/coreclr/src/vm/gcheaputilities.h rename to src/coreclr/vm/gcheaputilities.h diff --git a/src/coreclr/src/vm/gchelpers.cpp b/src/coreclr/vm/gchelpers.cpp similarity index 100% rename from src/coreclr/src/vm/gchelpers.cpp rename to src/coreclr/vm/gchelpers.cpp diff --git a/src/coreclr/src/vm/gchelpers.h b/src/coreclr/vm/gchelpers.h similarity index 100% rename from src/coreclr/src/vm/gchelpers.h rename to src/coreclr/vm/gchelpers.h diff --git a/src/coreclr/src/vm/gchelpers.inl b/src/coreclr/vm/gchelpers.inl similarity index 100% rename from src/coreclr/src/vm/gchelpers.inl rename to src/coreclr/vm/gchelpers.inl diff --git a/src/coreclr/src/vm/gcinfodecoder.cpp b/src/coreclr/vm/gcinfodecoder.cpp similarity index 100% rename from src/coreclr/src/vm/gcinfodecoder.cpp rename to src/coreclr/vm/gcinfodecoder.cpp diff --git a/src/coreclr/src/vm/gcinterface.h b/src/coreclr/vm/gcinterface.h similarity index 100% rename from src/coreclr/src/vm/gcinterface.h rename to src/coreclr/vm/gcinterface.h diff --git a/src/coreclr/src/vm/gcstress.h b/src/coreclr/vm/gcstress.h similarity index 100% rename from src/coreclr/src/vm/gcstress.h rename to src/coreclr/vm/gcstress.h diff --git a/src/coreclr/src/vm/gctoclreventsink.cpp b/src/coreclr/vm/gctoclreventsink.cpp similarity index 100% rename from src/coreclr/src/vm/gctoclreventsink.cpp rename to src/coreclr/vm/gctoclreventsink.cpp diff --git a/src/coreclr/src/vm/gctoclreventsink.h b/src/coreclr/vm/gctoclreventsink.h similarity index 100% rename from src/coreclr/src/vm/gctoclreventsink.h rename to src/coreclr/vm/gctoclreventsink.h diff --git a/src/coreclr/src/vm/gdbjit.cpp b/src/coreclr/vm/gdbjit.cpp similarity index 100% rename from src/coreclr/src/vm/gdbjit.cpp rename to src/coreclr/vm/gdbjit.cpp diff --git a/src/coreclr/src/vm/gdbjit.h b/src/coreclr/vm/gdbjit.h similarity index 100% rename from src/coreclr/src/vm/gdbjit.h rename to src/coreclr/vm/gdbjit.h diff --git a/src/coreclr/src/vm/gdbjithelpers.h b/src/coreclr/vm/gdbjithelpers.h similarity index 100% rename from src/coreclr/src/vm/gdbjithelpers.h rename to src/coreclr/vm/gdbjithelpers.h diff --git a/src/coreclr/src/vm/genanalysis.cpp b/src/coreclr/vm/genanalysis.cpp similarity index 100% rename from src/coreclr/src/vm/genanalysis.cpp rename to src/coreclr/vm/genanalysis.cpp diff --git a/src/coreclr/src/vm/genanalysis.h b/src/coreclr/vm/genanalysis.h similarity index 100% rename from src/coreclr/src/vm/genanalysis.h rename to src/coreclr/vm/genanalysis.h diff --git a/src/coreclr/src/vm/genericdict.cpp b/src/coreclr/vm/genericdict.cpp similarity index 100% rename from src/coreclr/src/vm/genericdict.cpp rename to src/coreclr/vm/genericdict.cpp diff --git a/src/coreclr/src/vm/genericdict.h b/src/coreclr/vm/genericdict.h similarity index 100% rename from src/coreclr/src/vm/genericdict.h rename to src/coreclr/vm/genericdict.h diff --git a/src/coreclr/src/vm/generics.cpp b/src/coreclr/vm/generics.cpp similarity index 100% rename from src/coreclr/src/vm/generics.cpp rename to src/coreclr/vm/generics.cpp diff --git a/src/coreclr/src/vm/generics.h b/src/coreclr/vm/generics.h similarity index 100% rename from src/coreclr/src/vm/generics.h rename to src/coreclr/vm/generics.h diff --git a/src/coreclr/src/vm/genmeth.cpp b/src/coreclr/vm/genmeth.cpp similarity index 100% rename from src/coreclr/src/vm/genmeth.cpp rename to src/coreclr/vm/genmeth.cpp diff --git a/src/coreclr/src/vm/gms.h b/src/coreclr/vm/gms.h similarity index 100% rename from src/coreclr/src/vm/gms.h rename to src/coreclr/vm/gms.h diff --git a/src/coreclr/src/vm/h2inc.pl b/src/coreclr/vm/h2inc.pl similarity index 100% rename from src/coreclr/src/vm/h2inc.pl rename to src/coreclr/vm/h2inc.pl diff --git a/src/coreclr/src/vm/h2inc.ps1 b/src/coreclr/vm/h2inc.ps1 similarity index 100% rename from src/coreclr/src/vm/h2inc.ps1 rename to src/coreclr/vm/h2inc.ps1 diff --git a/src/coreclr/src/vm/hash.cpp b/src/coreclr/vm/hash.cpp similarity index 100% rename from src/coreclr/src/vm/hash.cpp rename to src/coreclr/vm/hash.cpp diff --git a/src/coreclr/src/vm/hash.h b/src/coreclr/vm/hash.h similarity index 100% rename from src/coreclr/src/vm/hash.h rename to src/coreclr/vm/hash.h diff --git a/src/coreclr/src/vm/hillclimbing.cpp b/src/coreclr/vm/hillclimbing.cpp similarity index 100% rename from src/coreclr/src/vm/hillclimbing.cpp rename to src/coreclr/vm/hillclimbing.cpp diff --git a/src/coreclr/src/vm/hillclimbing.h b/src/coreclr/vm/hillclimbing.h similarity index 100% rename from src/coreclr/src/vm/hillclimbing.h rename to src/coreclr/vm/hillclimbing.h diff --git a/src/coreclr/src/vm/hosting.cpp b/src/coreclr/vm/hosting.cpp similarity index 100% rename from src/coreclr/src/vm/hosting.cpp rename to src/coreclr/vm/hosting.cpp diff --git a/src/coreclr/src/vm/i386/AsmMacros.inc b/src/coreclr/vm/i386/AsmMacros.inc similarity index 100% rename from src/coreclr/src/vm/i386/AsmMacros.inc rename to src/coreclr/vm/i386/AsmMacros.inc diff --git a/src/coreclr/src/vm/i386/PInvokeStubs.asm b/src/coreclr/vm/i386/PInvokeStubs.asm similarity index 100% rename from src/coreclr/src/vm/i386/PInvokeStubs.asm rename to src/coreclr/vm/i386/PInvokeStubs.asm diff --git a/src/coreclr/src/vm/i386/RedirectedHandledJITCase.asm b/src/coreclr/vm/i386/RedirectedHandledJITCase.asm similarity index 100% rename from src/coreclr/src/vm/i386/RedirectedHandledJITCase.asm rename to src/coreclr/vm/i386/RedirectedHandledJITCase.asm diff --git a/src/coreclr/src/vm/i386/asmconstants.h b/src/coreclr/vm/i386/asmconstants.h similarity index 100% rename from src/coreclr/src/vm/i386/asmconstants.h rename to src/coreclr/vm/i386/asmconstants.h diff --git a/src/coreclr/src/vm/i386/asmhelpers.S b/src/coreclr/vm/i386/asmhelpers.S similarity index 100% rename from src/coreclr/src/vm/i386/asmhelpers.S rename to src/coreclr/vm/i386/asmhelpers.S diff --git a/src/coreclr/src/vm/i386/asmhelpers.asm b/src/coreclr/vm/i386/asmhelpers.asm similarity index 100% rename from src/coreclr/src/vm/i386/asmhelpers.asm rename to src/coreclr/vm/i386/asmhelpers.asm diff --git a/src/coreclr/src/vm/i386/cgencpu.h b/src/coreclr/vm/i386/cgencpu.h similarity index 100% rename from src/coreclr/src/vm/i386/cgencpu.h rename to src/coreclr/vm/i386/cgencpu.h diff --git a/src/coreclr/src/vm/i386/cgenx86.cpp b/src/coreclr/vm/i386/cgenx86.cpp similarity index 100% rename from src/coreclr/src/vm/i386/cgenx86.cpp rename to src/coreclr/vm/i386/cgenx86.cpp diff --git a/src/coreclr/src/vm/i386/ehhelpers.S b/src/coreclr/vm/i386/ehhelpers.S similarity index 100% rename from src/coreclr/src/vm/i386/ehhelpers.S rename to src/coreclr/vm/i386/ehhelpers.S diff --git a/src/coreclr/src/vm/i386/excepcpu.h b/src/coreclr/vm/i386/excepcpu.h similarity index 100% rename from src/coreclr/src/vm/i386/excepcpu.h rename to src/coreclr/vm/i386/excepcpu.h diff --git a/src/coreclr/src/vm/i386/excepx86.cpp b/src/coreclr/vm/i386/excepx86.cpp similarity index 100% rename from src/coreclr/src/vm/i386/excepx86.cpp rename to src/coreclr/vm/i386/excepx86.cpp diff --git a/src/coreclr/src/vm/i386/gmsasm.S b/src/coreclr/vm/i386/gmsasm.S similarity index 100% rename from src/coreclr/src/vm/i386/gmsasm.S rename to src/coreclr/vm/i386/gmsasm.S diff --git a/src/coreclr/src/vm/i386/gmsasm.asm b/src/coreclr/vm/i386/gmsasm.asm similarity index 100% rename from src/coreclr/src/vm/i386/gmsasm.asm rename to src/coreclr/vm/i386/gmsasm.asm diff --git a/src/coreclr/src/vm/i386/gmscpu.h b/src/coreclr/vm/i386/gmscpu.h similarity index 100% rename from src/coreclr/src/vm/i386/gmscpu.h rename to src/coreclr/vm/i386/gmscpu.h diff --git a/src/coreclr/src/vm/i386/gmsx86.cpp b/src/coreclr/vm/i386/gmsx86.cpp similarity index 100% rename from src/coreclr/src/vm/i386/gmsx86.cpp rename to src/coreclr/vm/i386/gmsx86.cpp diff --git a/src/coreclr/src/vm/i386/jithelp.S b/src/coreclr/vm/i386/jithelp.S similarity index 100% rename from src/coreclr/src/vm/i386/jithelp.S rename to src/coreclr/vm/i386/jithelp.S diff --git a/src/coreclr/src/vm/i386/jithelp.asm b/src/coreclr/vm/i386/jithelp.asm similarity index 100% rename from src/coreclr/src/vm/i386/jithelp.asm rename to src/coreclr/vm/i386/jithelp.asm diff --git a/src/coreclr/src/vm/i386/jitinterfacex86.cpp b/src/coreclr/vm/i386/jitinterfacex86.cpp similarity index 100% rename from src/coreclr/src/vm/i386/jitinterfacex86.cpp rename to src/coreclr/vm/i386/jitinterfacex86.cpp diff --git a/src/coreclr/src/vm/i386/pinvokestubs.S b/src/coreclr/vm/i386/pinvokestubs.S similarity index 100% rename from src/coreclr/src/vm/i386/pinvokestubs.S rename to src/coreclr/vm/i386/pinvokestubs.S diff --git a/src/coreclr/src/vm/i386/profiler.cpp b/src/coreclr/vm/i386/profiler.cpp similarity index 100% rename from src/coreclr/src/vm/i386/profiler.cpp rename to src/coreclr/vm/i386/profiler.cpp diff --git a/src/coreclr/src/vm/i386/stublinkerx86.cpp b/src/coreclr/vm/i386/stublinkerx86.cpp similarity index 100% rename from src/coreclr/src/vm/i386/stublinkerx86.cpp rename to src/coreclr/vm/i386/stublinkerx86.cpp diff --git a/src/coreclr/src/vm/i386/stublinkerx86.h b/src/coreclr/vm/i386/stublinkerx86.h similarity index 100% rename from src/coreclr/src/vm/i386/stublinkerx86.h rename to src/coreclr/vm/i386/stublinkerx86.h diff --git a/src/coreclr/src/vm/i386/umthunkstub.S b/src/coreclr/vm/i386/umthunkstub.S similarity index 100% rename from src/coreclr/src/vm/i386/umthunkstub.S rename to src/coreclr/vm/i386/umthunkstub.S diff --git a/src/coreclr/src/vm/i386/unixstubs.cpp b/src/coreclr/vm/i386/unixstubs.cpp similarity index 100% rename from src/coreclr/src/vm/i386/unixstubs.cpp rename to src/coreclr/vm/i386/unixstubs.cpp diff --git a/src/coreclr/src/vm/i386/virtualcallstubcpu.hpp b/src/coreclr/vm/i386/virtualcallstubcpu.hpp similarity index 100% rename from src/coreclr/src/vm/i386/virtualcallstubcpu.hpp rename to src/coreclr/vm/i386/virtualcallstubcpu.hpp diff --git a/src/coreclr/src/vm/ibclogger.cpp b/src/coreclr/vm/ibclogger.cpp similarity index 100% rename from src/coreclr/src/vm/ibclogger.cpp rename to src/coreclr/vm/ibclogger.cpp diff --git a/src/coreclr/src/vm/ibclogger.h b/src/coreclr/vm/ibclogger.h similarity index 100% rename from src/coreclr/src/vm/ibclogger.h rename to src/coreclr/vm/ibclogger.h diff --git a/src/coreclr/src/vm/ildump.h b/src/coreclr/vm/ildump.h similarity index 100% rename from src/coreclr/src/vm/ildump.h rename to src/coreclr/vm/ildump.h diff --git a/src/coreclr/src/vm/ilinstrumentation.cpp b/src/coreclr/vm/ilinstrumentation.cpp similarity index 100% rename from src/coreclr/src/vm/ilinstrumentation.cpp rename to src/coreclr/vm/ilinstrumentation.cpp diff --git a/src/coreclr/src/vm/ilinstrumentation.h b/src/coreclr/vm/ilinstrumentation.h similarity index 100% rename from src/coreclr/src/vm/ilinstrumentation.h rename to src/coreclr/vm/ilinstrumentation.h diff --git a/src/coreclr/src/vm/ilmarshalers.cpp b/src/coreclr/vm/ilmarshalers.cpp similarity index 100% rename from src/coreclr/src/vm/ilmarshalers.cpp rename to src/coreclr/vm/ilmarshalers.cpp diff --git a/src/coreclr/src/vm/ilmarshalers.h b/src/coreclr/vm/ilmarshalers.h similarity index 100% rename from src/coreclr/src/vm/ilmarshalers.h rename to src/coreclr/vm/ilmarshalers.h diff --git a/src/coreclr/src/vm/ilstubcache.cpp b/src/coreclr/vm/ilstubcache.cpp similarity index 100% rename from src/coreclr/src/vm/ilstubcache.cpp rename to src/coreclr/vm/ilstubcache.cpp diff --git a/src/coreclr/src/vm/ilstubcache.h b/src/coreclr/vm/ilstubcache.h similarity index 100% rename from src/coreclr/src/vm/ilstubcache.h rename to src/coreclr/vm/ilstubcache.h diff --git a/src/coreclr/src/vm/ilstubresolver.cpp b/src/coreclr/vm/ilstubresolver.cpp similarity index 100% rename from src/coreclr/src/vm/ilstubresolver.cpp rename to src/coreclr/vm/ilstubresolver.cpp diff --git a/src/coreclr/src/vm/ilstubresolver.h b/src/coreclr/vm/ilstubresolver.h similarity index 100% rename from src/coreclr/src/vm/ilstubresolver.h rename to src/coreclr/vm/ilstubresolver.h diff --git a/src/coreclr/src/vm/inlinetracking.cpp b/src/coreclr/vm/inlinetracking.cpp similarity index 100% rename from src/coreclr/src/vm/inlinetracking.cpp rename to src/coreclr/vm/inlinetracking.cpp diff --git a/src/coreclr/src/vm/inlinetracking.h b/src/coreclr/vm/inlinetracking.h similarity index 100% rename from src/coreclr/src/vm/inlinetracking.h rename to src/coreclr/vm/inlinetracking.h diff --git a/src/coreclr/src/vm/instmethhash.cpp b/src/coreclr/vm/instmethhash.cpp similarity index 100% rename from src/coreclr/src/vm/instmethhash.cpp rename to src/coreclr/vm/instmethhash.cpp diff --git a/src/coreclr/src/vm/instmethhash.h b/src/coreclr/vm/instmethhash.h similarity index 100% rename from src/coreclr/src/vm/instmethhash.h rename to src/coreclr/vm/instmethhash.h diff --git a/src/coreclr/src/vm/interopconverter.cpp b/src/coreclr/vm/interopconverter.cpp similarity index 100% rename from src/coreclr/src/vm/interopconverter.cpp rename to src/coreclr/vm/interopconverter.cpp diff --git a/src/coreclr/src/vm/interopconverter.h b/src/coreclr/vm/interopconverter.h similarity index 100% rename from src/coreclr/src/vm/interopconverter.h rename to src/coreclr/vm/interopconverter.h diff --git a/src/coreclr/src/vm/interoplibinterface.cpp b/src/coreclr/vm/interoplibinterface.cpp similarity index 100% rename from src/coreclr/src/vm/interoplibinterface.cpp rename to src/coreclr/vm/interoplibinterface.cpp diff --git a/src/coreclr/src/vm/interoplibinterface.h b/src/coreclr/vm/interoplibinterface.h similarity index 100% rename from src/coreclr/src/vm/interoplibinterface.h rename to src/coreclr/vm/interoplibinterface.h diff --git a/src/coreclr/src/vm/interoputil.cpp b/src/coreclr/vm/interoputil.cpp similarity index 100% rename from src/coreclr/src/vm/interoputil.cpp rename to src/coreclr/vm/interoputil.cpp diff --git a/src/coreclr/src/vm/interoputil.h b/src/coreclr/vm/interoputil.h similarity index 100% rename from src/coreclr/src/vm/interoputil.h rename to src/coreclr/vm/interoputil.h diff --git a/src/coreclr/src/vm/interoputil.inl b/src/coreclr/vm/interoputil.inl similarity index 100% rename from src/coreclr/src/vm/interoputil.inl rename to src/coreclr/vm/interoputil.inl diff --git a/src/coreclr/src/vm/interpreter.cpp b/src/coreclr/vm/interpreter.cpp similarity index 100% rename from src/coreclr/src/vm/interpreter.cpp rename to src/coreclr/vm/interpreter.cpp diff --git a/src/coreclr/src/vm/interpreter.h b/src/coreclr/vm/interpreter.h similarity index 100% rename from src/coreclr/src/vm/interpreter.h rename to src/coreclr/vm/interpreter.h diff --git a/src/coreclr/src/vm/interpreter.hpp b/src/coreclr/vm/interpreter.hpp similarity index 100% rename from src/coreclr/src/vm/interpreter.hpp rename to src/coreclr/vm/interpreter.hpp diff --git a/src/coreclr/src/vm/invokeutil.cpp b/src/coreclr/vm/invokeutil.cpp similarity index 100% rename from src/coreclr/src/vm/invokeutil.cpp rename to src/coreclr/vm/invokeutil.cpp diff --git a/src/coreclr/src/vm/invokeutil.h b/src/coreclr/vm/invokeutil.h similarity index 100% rename from src/coreclr/src/vm/invokeutil.h rename to src/coreclr/vm/invokeutil.h diff --git a/src/coreclr/src/vm/ipcstreamfactory.cpp b/src/coreclr/vm/ipcstreamfactory.cpp similarity index 100% rename from src/coreclr/src/vm/ipcstreamfactory.cpp rename to src/coreclr/vm/ipcstreamfactory.cpp diff --git a/src/coreclr/src/vm/ipcstreamfactory.h b/src/coreclr/vm/ipcstreamfactory.h similarity index 100% rename from src/coreclr/src/vm/ipcstreamfactory.h rename to src/coreclr/vm/ipcstreamfactory.h diff --git a/src/coreclr/src/vm/iterator_util.h b/src/coreclr/vm/iterator_util.h similarity index 100% rename from src/coreclr/src/vm/iterator_util.h rename to src/coreclr/vm/iterator_util.h diff --git a/src/coreclr/src/vm/jithelpers.cpp b/src/coreclr/vm/jithelpers.cpp similarity index 100% rename from src/coreclr/src/vm/jithelpers.cpp rename to src/coreclr/vm/jithelpers.cpp diff --git a/src/coreclr/src/vm/jithost.cpp b/src/coreclr/vm/jithost.cpp similarity index 100% rename from src/coreclr/src/vm/jithost.cpp rename to src/coreclr/vm/jithost.cpp diff --git a/src/coreclr/src/vm/jithost.h b/src/coreclr/vm/jithost.h similarity index 100% rename from src/coreclr/src/vm/jithost.h rename to src/coreclr/vm/jithost.h diff --git a/src/coreclr/src/vm/jitinterface.cpp b/src/coreclr/vm/jitinterface.cpp similarity index 100% rename from src/coreclr/src/vm/jitinterface.cpp rename to src/coreclr/vm/jitinterface.cpp diff --git a/src/coreclr/src/vm/jitinterface.h b/src/coreclr/vm/jitinterface.h similarity index 100% rename from src/coreclr/src/vm/jitinterface.h rename to src/coreclr/vm/jitinterface.h diff --git a/src/coreclr/src/vm/jitinterfacegen.cpp b/src/coreclr/vm/jitinterfacegen.cpp similarity index 100% rename from src/coreclr/src/vm/jitinterfacegen.cpp rename to src/coreclr/vm/jitinterfacegen.cpp diff --git a/src/coreclr/src/vm/listlock.h b/src/coreclr/vm/listlock.h similarity index 100% rename from src/coreclr/src/vm/listlock.h rename to src/coreclr/vm/listlock.h diff --git a/src/coreclr/src/vm/loaderallocator.cpp b/src/coreclr/vm/loaderallocator.cpp similarity index 100% rename from src/coreclr/src/vm/loaderallocator.cpp rename to src/coreclr/vm/loaderallocator.cpp diff --git a/src/coreclr/src/vm/loaderallocator.hpp b/src/coreclr/vm/loaderallocator.hpp similarity index 100% rename from src/coreclr/src/vm/loaderallocator.hpp rename to src/coreclr/vm/loaderallocator.hpp diff --git a/src/coreclr/src/vm/loaderallocator.inl b/src/coreclr/vm/loaderallocator.inl similarity index 100% rename from src/coreclr/src/vm/loaderallocator.inl rename to src/coreclr/vm/loaderallocator.inl diff --git a/src/coreclr/src/vm/lockedrangelist.h b/src/coreclr/vm/lockedrangelist.h similarity index 100% rename from src/coreclr/src/vm/lockedrangelist.h rename to src/coreclr/vm/lockedrangelist.h diff --git a/src/coreclr/src/vm/managedmdimport.cpp b/src/coreclr/vm/managedmdimport.cpp similarity index 100% rename from src/coreclr/src/vm/managedmdimport.cpp rename to src/coreclr/vm/managedmdimport.cpp diff --git a/src/coreclr/src/vm/managedmdimport.hpp b/src/coreclr/vm/managedmdimport.hpp similarity index 100% rename from src/coreclr/src/vm/managedmdimport.hpp rename to src/coreclr/vm/managedmdimport.hpp diff --git a/src/coreclr/src/vm/marshalnative.cpp b/src/coreclr/vm/marshalnative.cpp similarity index 100% rename from src/coreclr/src/vm/marshalnative.cpp rename to src/coreclr/vm/marshalnative.cpp diff --git a/src/coreclr/src/vm/marshalnative.h b/src/coreclr/vm/marshalnative.h similarity index 100% rename from src/coreclr/src/vm/marshalnative.h rename to src/coreclr/vm/marshalnative.h diff --git a/src/coreclr/src/vm/memberload.cpp b/src/coreclr/vm/memberload.cpp similarity index 100% rename from src/coreclr/src/vm/memberload.cpp rename to src/coreclr/vm/memberload.cpp diff --git a/src/coreclr/src/vm/memberload.h b/src/coreclr/vm/memberload.h similarity index 100% rename from src/coreclr/src/vm/memberload.h rename to src/coreclr/vm/memberload.h diff --git a/src/coreclr/src/vm/metasig.h b/src/coreclr/vm/metasig.h similarity index 100% rename from src/coreclr/src/vm/metasig.h rename to src/coreclr/vm/metasig.h diff --git a/src/coreclr/src/vm/method.cpp b/src/coreclr/vm/method.cpp similarity index 100% rename from src/coreclr/src/vm/method.cpp rename to src/coreclr/vm/method.cpp diff --git a/src/coreclr/src/vm/method.hpp b/src/coreclr/vm/method.hpp similarity index 100% rename from src/coreclr/src/vm/method.hpp rename to src/coreclr/vm/method.hpp diff --git a/src/coreclr/src/vm/method.inl b/src/coreclr/vm/method.inl similarity index 100% rename from src/coreclr/src/vm/method.inl rename to src/coreclr/vm/method.inl diff --git a/src/coreclr/src/vm/methoddescbackpatchinfo.cpp b/src/coreclr/vm/methoddescbackpatchinfo.cpp similarity index 100% rename from src/coreclr/src/vm/methoddescbackpatchinfo.cpp rename to src/coreclr/vm/methoddescbackpatchinfo.cpp diff --git a/src/coreclr/src/vm/methoddescbackpatchinfo.h b/src/coreclr/vm/methoddescbackpatchinfo.h similarity index 100% rename from src/coreclr/src/vm/methoddescbackpatchinfo.h rename to src/coreclr/vm/methoddescbackpatchinfo.h diff --git a/src/coreclr/src/vm/methodimpl.cpp b/src/coreclr/vm/methodimpl.cpp similarity index 100% rename from src/coreclr/src/vm/methodimpl.cpp rename to src/coreclr/vm/methodimpl.cpp diff --git a/src/coreclr/src/vm/methodimpl.h b/src/coreclr/vm/methodimpl.h similarity index 100% rename from src/coreclr/src/vm/methodimpl.h rename to src/coreclr/vm/methodimpl.h diff --git a/src/coreclr/src/vm/methoditer.cpp b/src/coreclr/vm/methoditer.cpp similarity index 100% rename from src/coreclr/src/vm/methoditer.cpp rename to src/coreclr/vm/methoditer.cpp diff --git a/src/coreclr/src/vm/methoditer.h b/src/coreclr/vm/methoditer.h similarity index 100% rename from src/coreclr/src/vm/methoditer.h rename to src/coreclr/vm/methoditer.h diff --git a/src/coreclr/src/vm/methodtable.cpp b/src/coreclr/vm/methodtable.cpp similarity index 100% rename from src/coreclr/src/vm/methodtable.cpp rename to src/coreclr/vm/methodtable.cpp diff --git a/src/coreclr/src/vm/methodtable.h b/src/coreclr/vm/methodtable.h similarity index 100% rename from src/coreclr/src/vm/methodtable.h rename to src/coreclr/vm/methodtable.h diff --git a/src/coreclr/src/vm/methodtable.inl b/src/coreclr/vm/methodtable.inl similarity index 100% rename from src/coreclr/src/vm/methodtable.inl rename to src/coreclr/vm/methodtable.inl diff --git a/src/coreclr/src/vm/methodtablebuilder.cpp b/src/coreclr/vm/methodtablebuilder.cpp similarity index 100% rename from src/coreclr/src/vm/methodtablebuilder.cpp rename to src/coreclr/vm/methodtablebuilder.cpp diff --git a/src/coreclr/src/vm/methodtablebuilder.h b/src/coreclr/vm/methodtablebuilder.h similarity index 100% rename from src/coreclr/src/vm/methodtablebuilder.h rename to src/coreclr/vm/methodtablebuilder.h diff --git a/src/coreclr/src/vm/methodtablebuilder.inl b/src/coreclr/vm/methodtablebuilder.inl similarity index 100% rename from src/coreclr/src/vm/methodtablebuilder.inl rename to src/coreclr/vm/methodtablebuilder.inl diff --git a/src/coreclr/src/vm/mlinfo.cpp b/src/coreclr/vm/mlinfo.cpp similarity index 100% rename from src/coreclr/src/vm/mlinfo.cpp rename to src/coreclr/vm/mlinfo.cpp diff --git a/src/coreclr/src/vm/mlinfo.h b/src/coreclr/vm/mlinfo.h similarity index 100% rename from src/coreclr/src/vm/mlinfo.h rename to src/coreclr/vm/mlinfo.h diff --git a/src/coreclr/src/vm/mngstdinterfaces.cpp b/src/coreclr/vm/mngstdinterfaces.cpp similarity index 100% rename from src/coreclr/src/vm/mngstdinterfaces.cpp rename to src/coreclr/vm/mngstdinterfaces.cpp diff --git a/src/coreclr/src/vm/mngstdinterfaces.h b/src/coreclr/vm/mngstdinterfaces.h similarity index 100% rename from src/coreclr/src/vm/mngstdinterfaces.h rename to src/coreclr/vm/mngstdinterfaces.h diff --git a/src/coreclr/src/vm/mngstditflist.h b/src/coreclr/vm/mngstditflist.h similarity index 100% rename from src/coreclr/src/vm/mngstditflist.h rename to src/coreclr/vm/mngstditflist.h diff --git a/src/coreclr/src/vm/mtypes.h b/src/coreclr/vm/mtypes.h similarity index 100% rename from src/coreclr/src/vm/mtypes.h rename to src/coreclr/vm/mtypes.h diff --git a/src/coreclr/src/vm/multicorejit.cpp b/src/coreclr/vm/multicorejit.cpp similarity index 100% rename from src/coreclr/src/vm/multicorejit.cpp rename to src/coreclr/vm/multicorejit.cpp diff --git a/src/coreclr/src/vm/multicorejit.h b/src/coreclr/vm/multicorejit.h similarity index 100% rename from src/coreclr/src/vm/multicorejit.h rename to src/coreclr/vm/multicorejit.h diff --git a/src/coreclr/src/vm/multicorejitimpl.h b/src/coreclr/vm/multicorejitimpl.h similarity index 100% rename from src/coreclr/src/vm/multicorejitimpl.h rename to src/coreclr/vm/multicorejitimpl.h diff --git a/src/coreclr/src/vm/multicorejitplayer.cpp b/src/coreclr/vm/multicorejitplayer.cpp similarity index 100% rename from src/coreclr/src/vm/multicorejitplayer.cpp rename to src/coreclr/vm/multicorejitplayer.cpp diff --git a/src/coreclr/src/vm/namespace.h b/src/coreclr/vm/namespace.h similarity index 100% rename from src/coreclr/src/vm/namespace.h rename to src/coreclr/vm/namespace.h diff --git a/src/coreclr/src/vm/nativeeventsource.cpp b/src/coreclr/vm/nativeeventsource.cpp similarity index 100% rename from src/coreclr/src/vm/nativeeventsource.cpp rename to src/coreclr/vm/nativeeventsource.cpp diff --git a/src/coreclr/src/vm/nativeeventsource.h b/src/coreclr/vm/nativeeventsource.h similarity index 100% rename from src/coreclr/src/vm/nativeeventsource.h rename to src/coreclr/vm/nativeeventsource.h diff --git a/src/coreclr/src/vm/nativeformatreader.h b/src/coreclr/vm/nativeformatreader.h similarity index 100% rename from src/coreclr/src/vm/nativeformatreader.h rename to src/coreclr/vm/nativeformatreader.h diff --git a/src/coreclr/src/vm/nativeimage.cpp b/src/coreclr/vm/nativeimage.cpp similarity index 100% rename from src/coreclr/src/vm/nativeimage.cpp rename to src/coreclr/vm/nativeimage.cpp diff --git a/src/coreclr/src/vm/nativeimage.h b/src/coreclr/vm/nativeimage.h similarity index 100% rename from src/coreclr/src/vm/nativeimage.h rename to src/coreclr/vm/nativeimage.h diff --git a/src/coreclr/src/vm/nativelibrarynative.cpp b/src/coreclr/vm/nativelibrarynative.cpp similarity index 100% rename from src/coreclr/src/vm/nativelibrarynative.cpp rename to src/coreclr/vm/nativelibrarynative.cpp diff --git a/src/coreclr/src/vm/nativelibrarynative.h b/src/coreclr/vm/nativelibrarynative.h similarity index 100% rename from src/coreclr/src/vm/nativelibrarynative.h rename to src/coreclr/vm/nativelibrarynative.h diff --git a/src/coreclr/src/vm/nativeoverlapped.cpp b/src/coreclr/vm/nativeoverlapped.cpp similarity index 100% rename from src/coreclr/src/vm/nativeoverlapped.cpp rename to src/coreclr/vm/nativeoverlapped.cpp diff --git a/src/coreclr/src/vm/nativeoverlapped.h b/src/coreclr/vm/nativeoverlapped.h similarity index 100% rename from src/coreclr/src/vm/nativeoverlapped.h rename to src/coreclr/vm/nativeoverlapped.h diff --git a/src/coreclr/src/vm/ngenhash.h b/src/coreclr/vm/ngenhash.h similarity index 100% rename from src/coreclr/src/vm/ngenhash.h rename to src/coreclr/vm/ngenhash.h diff --git a/src/coreclr/src/vm/ngenhash.inl b/src/coreclr/vm/ngenhash.inl similarity index 100% rename from src/coreclr/src/vm/ngenhash.inl rename to src/coreclr/vm/ngenhash.inl diff --git a/src/coreclr/src/vm/notifyexternals.cpp b/src/coreclr/vm/notifyexternals.cpp similarity index 100% rename from src/coreclr/src/vm/notifyexternals.cpp rename to src/coreclr/vm/notifyexternals.cpp diff --git a/src/coreclr/src/vm/notifyexternals.h b/src/coreclr/vm/notifyexternals.h similarity index 100% rename from src/coreclr/src/vm/notifyexternals.h rename to src/coreclr/vm/notifyexternals.h diff --git a/src/coreclr/src/vm/object.cpp b/src/coreclr/vm/object.cpp similarity index 100% rename from src/coreclr/src/vm/object.cpp rename to src/coreclr/vm/object.cpp diff --git a/src/coreclr/src/vm/object.h b/src/coreclr/vm/object.h similarity index 100% rename from src/coreclr/src/vm/object.h rename to src/coreclr/vm/object.h diff --git a/src/coreclr/src/vm/object.inl b/src/coreclr/vm/object.inl similarity index 100% rename from src/coreclr/src/vm/object.inl rename to src/coreclr/vm/object.inl diff --git a/src/coreclr/src/vm/objectlist.cpp b/src/coreclr/vm/objectlist.cpp similarity index 100% rename from src/coreclr/src/vm/objectlist.cpp rename to src/coreclr/vm/objectlist.cpp diff --git a/src/coreclr/src/vm/objectlist.h b/src/coreclr/vm/objectlist.h similarity index 100% rename from src/coreclr/src/vm/objectlist.h rename to src/coreclr/vm/objectlist.h diff --git a/src/coreclr/src/vm/olecontexthelpers.cpp b/src/coreclr/vm/olecontexthelpers.cpp similarity index 100% rename from src/coreclr/src/vm/olecontexthelpers.cpp rename to src/coreclr/vm/olecontexthelpers.cpp diff --git a/src/coreclr/src/vm/olecontexthelpers.h b/src/coreclr/vm/olecontexthelpers.h similarity index 100% rename from src/coreclr/src/vm/olecontexthelpers.h rename to src/coreclr/vm/olecontexthelpers.h diff --git a/src/coreclr/src/vm/oletls.h b/src/coreclr/vm/oletls.h similarity index 100% rename from src/coreclr/src/vm/oletls.h rename to src/coreclr/vm/oletls.h diff --git a/src/coreclr/src/vm/olevariant.cpp b/src/coreclr/vm/olevariant.cpp similarity index 100% rename from src/coreclr/src/vm/olevariant.cpp rename to src/coreclr/vm/olevariant.cpp diff --git a/src/coreclr/src/vm/olevariant.h b/src/coreclr/vm/olevariant.h similarity index 100% rename from src/coreclr/src/vm/olevariant.h rename to src/coreclr/vm/olevariant.h diff --git a/src/coreclr/src/vm/onstackreplacement.cpp b/src/coreclr/vm/onstackreplacement.cpp similarity index 100% rename from src/coreclr/src/vm/onstackreplacement.cpp rename to src/coreclr/vm/onstackreplacement.cpp diff --git a/src/coreclr/src/vm/onstackreplacement.h b/src/coreclr/vm/onstackreplacement.h similarity index 100% rename from src/coreclr/src/vm/onstackreplacement.h rename to src/coreclr/vm/onstackreplacement.h diff --git a/src/coreclr/src/vm/packedfields.inl b/src/coreclr/vm/packedfields.inl similarity index 100% rename from src/coreclr/src/vm/packedfields.inl rename to src/coreclr/vm/packedfields.inl diff --git a/src/coreclr/src/vm/pefile.cpp b/src/coreclr/vm/pefile.cpp similarity index 100% rename from src/coreclr/src/vm/pefile.cpp rename to src/coreclr/vm/pefile.cpp diff --git a/src/coreclr/src/vm/pefile.h b/src/coreclr/vm/pefile.h similarity index 100% rename from src/coreclr/src/vm/pefile.h rename to src/coreclr/vm/pefile.h diff --git a/src/coreclr/src/vm/pefile.inl b/src/coreclr/vm/pefile.inl similarity index 100% rename from src/coreclr/src/vm/pefile.inl rename to src/coreclr/vm/pefile.inl diff --git a/src/coreclr/src/vm/peimage.cpp b/src/coreclr/vm/peimage.cpp similarity index 100% rename from src/coreclr/src/vm/peimage.cpp rename to src/coreclr/vm/peimage.cpp diff --git a/src/coreclr/src/vm/peimage.h b/src/coreclr/vm/peimage.h similarity index 100% rename from src/coreclr/src/vm/peimage.h rename to src/coreclr/vm/peimage.h diff --git a/src/coreclr/src/vm/peimage.inl b/src/coreclr/vm/peimage.inl similarity index 100% rename from src/coreclr/src/vm/peimage.inl rename to src/coreclr/vm/peimage.inl diff --git a/src/coreclr/src/vm/peimagelayout.cpp b/src/coreclr/vm/peimagelayout.cpp similarity index 100% rename from src/coreclr/src/vm/peimagelayout.cpp rename to src/coreclr/vm/peimagelayout.cpp diff --git a/src/coreclr/src/vm/peimagelayout.h b/src/coreclr/vm/peimagelayout.h similarity index 100% rename from src/coreclr/src/vm/peimagelayout.h rename to src/coreclr/vm/peimagelayout.h diff --git a/src/coreclr/src/vm/peimagelayout.inl b/src/coreclr/vm/peimagelayout.inl similarity index 100% rename from src/coreclr/src/vm/peimagelayout.inl rename to src/coreclr/vm/peimagelayout.inl diff --git a/src/coreclr/src/vm/pendingload.cpp b/src/coreclr/vm/pendingload.cpp similarity index 100% rename from src/coreclr/src/vm/pendingload.cpp rename to src/coreclr/vm/pendingload.cpp diff --git a/src/coreclr/src/vm/pendingload.h b/src/coreclr/vm/pendingload.h similarity index 100% rename from src/coreclr/src/vm/pendingload.h rename to src/coreclr/vm/pendingload.h diff --git a/src/coreclr/src/vm/perfinfo.cpp b/src/coreclr/vm/perfinfo.cpp similarity index 100% rename from src/coreclr/src/vm/perfinfo.cpp rename to src/coreclr/vm/perfinfo.cpp diff --git a/src/coreclr/src/vm/perfinfo.h b/src/coreclr/vm/perfinfo.h similarity index 100% rename from src/coreclr/src/vm/perfinfo.h rename to src/coreclr/vm/perfinfo.h diff --git a/src/coreclr/src/vm/perfmap.cpp b/src/coreclr/vm/perfmap.cpp similarity index 100% rename from src/coreclr/src/vm/perfmap.cpp rename to src/coreclr/vm/perfmap.cpp diff --git a/src/coreclr/src/vm/perfmap.h b/src/coreclr/vm/perfmap.h similarity index 100% rename from src/coreclr/src/vm/perfmap.h rename to src/coreclr/vm/perfmap.h diff --git a/src/coreclr/src/vm/pgo.cpp b/src/coreclr/vm/pgo.cpp similarity index 100% rename from src/coreclr/src/vm/pgo.cpp rename to src/coreclr/vm/pgo.cpp diff --git a/src/coreclr/src/vm/pgo.h b/src/coreclr/vm/pgo.h similarity index 100% rename from src/coreclr/src/vm/pgo.h rename to src/coreclr/vm/pgo.h diff --git a/src/coreclr/src/vm/pinvokeoverride.cpp b/src/coreclr/vm/pinvokeoverride.cpp similarity index 100% rename from src/coreclr/src/vm/pinvokeoverride.cpp rename to src/coreclr/vm/pinvokeoverride.cpp diff --git a/src/coreclr/src/vm/precode.cpp b/src/coreclr/vm/precode.cpp similarity index 100% rename from src/coreclr/src/vm/precode.cpp rename to src/coreclr/vm/precode.cpp diff --git a/src/coreclr/src/vm/precode.h b/src/coreclr/vm/precode.h similarity index 100% rename from src/coreclr/src/vm/precode.h rename to src/coreclr/vm/precode.h diff --git a/src/coreclr/src/vm/prestub.cpp b/src/coreclr/vm/prestub.cpp similarity index 100% rename from src/coreclr/src/vm/prestub.cpp rename to src/coreclr/vm/prestub.cpp diff --git a/src/coreclr/src/vm/processdiagnosticsprotocolhelper.cpp b/src/coreclr/vm/processdiagnosticsprotocolhelper.cpp similarity index 100% rename from src/coreclr/src/vm/processdiagnosticsprotocolhelper.cpp rename to src/coreclr/vm/processdiagnosticsprotocolhelper.cpp diff --git a/src/coreclr/src/vm/processdiagnosticsprotocolhelper.h b/src/coreclr/vm/processdiagnosticsprotocolhelper.h similarity index 100% rename from src/coreclr/src/vm/processdiagnosticsprotocolhelper.h rename to src/coreclr/vm/processdiagnosticsprotocolhelper.h diff --git a/src/coreclr/src/vm/profdetach.cpp b/src/coreclr/vm/profdetach.cpp similarity index 100% rename from src/coreclr/src/vm/profdetach.cpp rename to src/coreclr/vm/profdetach.cpp diff --git a/src/coreclr/src/vm/profdetach.h b/src/coreclr/vm/profdetach.h similarity index 100% rename from src/coreclr/src/vm/profdetach.h rename to src/coreclr/vm/profdetach.h diff --git a/src/coreclr/src/vm/profilerdiagnosticprotocolhelper.cpp b/src/coreclr/vm/profilerdiagnosticprotocolhelper.cpp similarity index 100% rename from src/coreclr/src/vm/profilerdiagnosticprotocolhelper.cpp rename to src/coreclr/vm/profilerdiagnosticprotocolhelper.cpp diff --git a/src/coreclr/src/vm/profilerdiagnosticprotocolhelper.h b/src/coreclr/vm/profilerdiagnosticprotocolhelper.h similarity index 100% rename from src/coreclr/src/vm/profilerdiagnosticprotocolhelper.h rename to src/coreclr/vm/profilerdiagnosticprotocolhelper.h diff --git a/src/coreclr/src/vm/profilermetadataemitvalidator.cpp b/src/coreclr/vm/profilermetadataemitvalidator.cpp similarity index 100% rename from src/coreclr/src/vm/profilermetadataemitvalidator.cpp rename to src/coreclr/vm/profilermetadataemitvalidator.cpp diff --git a/src/coreclr/src/vm/profilermetadataemitvalidator.h b/src/coreclr/vm/profilermetadataemitvalidator.h similarity index 100% rename from src/coreclr/src/vm/profilermetadataemitvalidator.h rename to src/coreclr/vm/profilermetadataemitvalidator.h diff --git a/src/coreclr/src/vm/profilingenumerators.cpp b/src/coreclr/vm/profilingenumerators.cpp similarity index 100% rename from src/coreclr/src/vm/profilingenumerators.cpp rename to src/coreclr/vm/profilingenumerators.cpp diff --git a/src/coreclr/src/vm/profilingenumerators.h b/src/coreclr/vm/profilingenumerators.h similarity index 100% rename from src/coreclr/src/vm/profilingenumerators.h rename to src/coreclr/vm/profilingenumerators.h diff --git a/src/coreclr/src/vm/profilinghelper.cpp b/src/coreclr/vm/profilinghelper.cpp similarity index 100% rename from src/coreclr/src/vm/profilinghelper.cpp rename to src/coreclr/vm/profilinghelper.cpp diff --git a/src/coreclr/src/vm/profilinghelper.h b/src/coreclr/vm/profilinghelper.h similarity index 100% rename from src/coreclr/src/vm/profilinghelper.h rename to src/coreclr/vm/profilinghelper.h diff --git a/src/coreclr/src/vm/profilinghelper.inl b/src/coreclr/vm/profilinghelper.inl similarity index 100% rename from src/coreclr/src/vm/profilinghelper.inl rename to src/coreclr/vm/profilinghelper.inl diff --git a/src/coreclr/src/vm/proftoeeinterfaceimpl.cpp b/src/coreclr/vm/proftoeeinterfaceimpl.cpp similarity index 100% rename from src/coreclr/src/vm/proftoeeinterfaceimpl.cpp rename to src/coreclr/vm/proftoeeinterfaceimpl.cpp diff --git a/src/coreclr/src/vm/proftoeeinterfaceimpl.h b/src/coreclr/vm/proftoeeinterfaceimpl.h similarity index 100% rename from src/coreclr/src/vm/proftoeeinterfaceimpl.h rename to src/coreclr/vm/proftoeeinterfaceimpl.h diff --git a/src/coreclr/src/vm/proftoeeinterfaceimpl.inl b/src/coreclr/vm/proftoeeinterfaceimpl.inl similarity index 100% rename from src/coreclr/src/vm/proftoeeinterfaceimpl.inl rename to src/coreclr/vm/proftoeeinterfaceimpl.inl diff --git a/src/coreclr/src/vm/qcall.cpp b/src/coreclr/vm/qcall.cpp similarity index 100% rename from src/coreclr/src/vm/qcall.cpp rename to src/coreclr/vm/qcall.cpp diff --git a/src/coreclr/src/vm/qcall.h b/src/coreclr/vm/qcall.h similarity index 100% rename from src/coreclr/src/vm/qcall.h rename to src/coreclr/vm/qcall.h diff --git a/src/coreclr/src/vm/rcwrefcache.cpp b/src/coreclr/vm/rcwrefcache.cpp similarity index 100% rename from src/coreclr/src/vm/rcwrefcache.cpp rename to src/coreclr/vm/rcwrefcache.cpp diff --git a/src/coreclr/src/vm/rcwrefcache.h b/src/coreclr/vm/rcwrefcache.h similarity index 100% rename from src/coreclr/src/vm/rcwrefcache.h rename to src/coreclr/vm/rcwrefcache.h diff --git a/src/coreclr/src/vm/readytoruninfo.cpp b/src/coreclr/vm/readytoruninfo.cpp similarity index 100% rename from src/coreclr/src/vm/readytoruninfo.cpp rename to src/coreclr/vm/readytoruninfo.cpp diff --git a/src/coreclr/src/vm/readytoruninfo.h b/src/coreclr/vm/readytoruninfo.h similarity index 100% rename from src/coreclr/src/vm/readytoruninfo.h rename to src/coreclr/vm/readytoruninfo.h diff --git a/src/coreclr/src/vm/reflectclasswriter.cpp b/src/coreclr/vm/reflectclasswriter.cpp similarity index 100% rename from src/coreclr/src/vm/reflectclasswriter.cpp rename to src/coreclr/vm/reflectclasswriter.cpp diff --git a/src/coreclr/src/vm/reflectclasswriter.h b/src/coreclr/vm/reflectclasswriter.h similarity index 100% rename from src/coreclr/src/vm/reflectclasswriter.h rename to src/coreclr/vm/reflectclasswriter.h diff --git a/src/coreclr/src/vm/reflectioninvocation.cpp b/src/coreclr/vm/reflectioninvocation.cpp similarity index 100% rename from src/coreclr/src/vm/reflectioninvocation.cpp rename to src/coreclr/vm/reflectioninvocation.cpp diff --git a/src/coreclr/src/vm/reflectioninvocation.h b/src/coreclr/vm/reflectioninvocation.h similarity index 100% rename from src/coreclr/src/vm/reflectioninvocation.h rename to src/coreclr/vm/reflectioninvocation.h diff --git a/src/coreclr/src/vm/rejit.cpp b/src/coreclr/vm/rejit.cpp similarity index 100% rename from src/coreclr/src/vm/rejit.cpp rename to src/coreclr/vm/rejit.cpp diff --git a/src/coreclr/src/vm/rejit.h b/src/coreclr/vm/rejit.h similarity index 100% rename from src/coreclr/src/vm/rejit.h rename to src/coreclr/vm/rejit.h diff --git a/src/coreclr/src/vm/rejit.inl b/src/coreclr/vm/rejit.inl similarity index 100% rename from src/coreclr/src/vm/rejit.inl rename to src/coreclr/vm/rejit.inl diff --git a/src/coreclr/src/vm/rexcep.h b/src/coreclr/vm/rexcep.h similarity index 100% rename from src/coreclr/src/vm/rexcep.h rename to src/coreclr/vm/rexcep.h diff --git a/src/coreclr/src/vm/rtlfunctions.cpp b/src/coreclr/vm/rtlfunctions.cpp similarity index 100% rename from src/coreclr/src/vm/rtlfunctions.cpp rename to src/coreclr/vm/rtlfunctions.cpp diff --git a/src/coreclr/src/vm/rtlfunctions.h b/src/coreclr/vm/rtlfunctions.h similarity index 100% rename from src/coreclr/src/vm/rtlfunctions.h rename to src/coreclr/vm/rtlfunctions.h diff --git a/src/coreclr/src/vm/runtimecallablewrapper.cpp b/src/coreclr/vm/runtimecallablewrapper.cpp similarity index 100% rename from src/coreclr/src/vm/runtimecallablewrapper.cpp rename to src/coreclr/vm/runtimecallablewrapper.cpp diff --git a/src/coreclr/src/vm/runtimecallablewrapper.h b/src/coreclr/vm/runtimecallablewrapper.h similarity index 100% rename from src/coreclr/src/vm/runtimecallablewrapper.h rename to src/coreclr/vm/runtimecallablewrapper.h diff --git a/src/coreclr/src/vm/runtimeexceptionkind.h b/src/coreclr/vm/runtimeexceptionkind.h similarity index 100% rename from src/coreclr/src/vm/runtimeexceptionkind.h rename to src/coreclr/vm/runtimeexceptionkind.h diff --git a/src/coreclr/src/vm/runtimehandles.cpp b/src/coreclr/vm/runtimehandles.cpp similarity index 100% rename from src/coreclr/src/vm/runtimehandles.cpp rename to src/coreclr/vm/runtimehandles.cpp diff --git a/src/coreclr/src/vm/runtimehandles.h b/src/coreclr/vm/runtimehandles.h similarity index 100% rename from src/coreclr/src/vm/runtimehandles.h rename to src/coreclr/vm/runtimehandles.h diff --git a/src/coreclr/src/vm/safehandle.cpp b/src/coreclr/vm/safehandle.cpp similarity index 100% rename from src/coreclr/src/vm/safehandle.cpp rename to src/coreclr/vm/safehandle.cpp diff --git a/src/coreclr/src/vm/sampleprofiler.cpp b/src/coreclr/vm/sampleprofiler.cpp similarity index 100% rename from src/coreclr/src/vm/sampleprofiler.cpp rename to src/coreclr/vm/sampleprofiler.cpp diff --git a/src/coreclr/src/vm/sampleprofiler.h b/src/coreclr/vm/sampleprofiler.h similarity index 100% rename from src/coreclr/src/vm/sampleprofiler.h rename to src/coreclr/vm/sampleprofiler.h diff --git a/src/coreclr/src/vm/sigformat.cpp b/src/coreclr/vm/sigformat.cpp similarity index 100% rename from src/coreclr/src/vm/sigformat.cpp rename to src/coreclr/vm/sigformat.cpp diff --git a/src/coreclr/src/vm/sigformat.h b/src/coreclr/vm/sigformat.h similarity index 100% rename from src/coreclr/src/vm/sigformat.h rename to src/coreclr/vm/sigformat.h diff --git a/src/coreclr/src/vm/siginfo.cpp b/src/coreclr/vm/siginfo.cpp similarity index 100% rename from src/coreclr/src/vm/siginfo.cpp rename to src/coreclr/vm/siginfo.cpp diff --git a/src/coreclr/src/vm/siginfo.hpp b/src/coreclr/vm/siginfo.hpp similarity index 100% rename from src/coreclr/src/vm/siginfo.hpp rename to src/coreclr/vm/siginfo.hpp diff --git a/src/coreclr/src/vm/simplerwlock.cpp b/src/coreclr/vm/simplerwlock.cpp similarity index 100% rename from src/coreclr/src/vm/simplerwlock.cpp rename to src/coreclr/vm/simplerwlock.cpp diff --git a/src/coreclr/src/vm/simplerwlock.hpp b/src/coreclr/vm/simplerwlock.hpp similarity index 100% rename from src/coreclr/src/vm/simplerwlock.hpp rename to src/coreclr/vm/simplerwlock.hpp diff --git a/src/coreclr/src/vm/sourceline.cpp b/src/coreclr/vm/sourceline.cpp similarity index 100% rename from src/coreclr/src/vm/sourceline.cpp rename to src/coreclr/vm/sourceline.cpp diff --git a/src/coreclr/src/vm/sourceline.h b/src/coreclr/vm/sourceline.h similarity index 100% rename from src/coreclr/src/vm/sourceline.h rename to src/coreclr/vm/sourceline.h diff --git a/src/coreclr/src/vm/specialstatics.h b/src/coreclr/vm/specialstatics.h similarity index 100% rename from src/coreclr/src/vm/specialstatics.h rename to src/coreclr/vm/specialstatics.h diff --git a/src/coreclr/src/vm/spinlock.cpp b/src/coreclr/vm/spinlock.cpp similarity index 100% rename from src/coreclr/src/vm/spinlock.cpp rename to src/coreclr/vm/spinlock.cpp diff --git a/src/coreclr/src/vm/spinlock.h b/src/coreclr/vm/spinlock.h similarity index 100% rename from src/coreclr/src/vm/spinlock.h rename to src/coreclr/vm/spinlock.h diff --git a/src/coreclr/src/vm/stackcontents.h b/src/coreclr/vm/stackcontents.h similarity index 100% rename from src/coreclr/src/vm/stackcontents.h rename to src/coreclr/vm/stackcontents.h diff --git a/src/coreclr/src/vm/stackingallocator.cpp b/src/coreclr/vm/stackingallocator.cpp similarity index 100% rename from src/coreclr/src/vm/stackingallocator.cpp rename to src/coreclr/vm/stackingallocator.cpp diff --git a/src/coreclr/src/vm/stackingallocator.h b/src/coreclr/vm/stackingallocator.h similarity index 100% rename from src/coreclr/src/vm/stackingallocator.h rename to src/coreclr/vm/stackingallocator.h diff --git a/src/coreclr/src/vm/stacksampler.cpp b/src/coreclr/vm/stacksampler.cpp similarity index 100% rename from src/coreclr/src/vm/stacksampler.cpp rename to src/coreclr/vm/stacksampler.cpp diff --git a/src/coreclr/src/vm/stacksampler.h b/src/coreclr/vm/stacksampler.h similarity index 100% rename from src/coreclr/src/vm/stacksampler.h rename to src/coreclr/vm/stacksampler.h diff --git a/src/coreclr/src/vm/stackwalk.cpp b/src/coreclr/vm/stackwalk.cpp similarity index 100% rename from src/coreclr/src/vm/stackwalk.cpp rename to src/coreclr/vm/stackwalk.cpp diff --git a/src/coreclr/src/vm/stackwalk.h b/src/coreclr/vm/stackwalk.h similarity index 100% rename from src/coreclr/src/vm/stackwalk.h rename to src/coreclr/vm/stackwalk.h diff --git a/src/coreclr/src/vm/stackwalktypes.h b/src/coreclr/vm/stackwalktypes.h similarity index 100% rename from src/coreclr/src/vm/stackwalktypes.h rename to src/coreclr/vm/stackwalktypes.h diff --git a/src/coreclr/src/vm/staticallocationhelpers.inl b/src/coreclr/vm/staticallocationhelpers.inl similarity index 100% rename from src/coreclr/src/vm/staticallocationhelpers.inl rename to src/coreclr/vm/staticallocationhelpers.inl diff --git a/src/coreclr/src/vm/stdinterfaces.cpp b/src/coreclr/vm/stdinterfaces.cpp similarity index 100% rename from src/coreclr/src/vm/stdinterfaces.cpp rename to src/coreclr/vm/stdinterfaces.cpp diff --git a/src/coreclr/src/vm/stdinterfaces.h b/src/coreclr/vm/stdinterfaces.h similarity index 100% rename from src/coreclr/src/vm/stdinterfaces.h rename to src/coreclr/vm/stdinterfaces.h diff --git a/src/coreclr/src/vm/stdinterfaces_internal.h b/src/coreclr/vm/stdinterfaces_internal.h similarity index 100% rename from src/coreclr/src/vm/stdinterfaces_internal.h rename to src/coreclr/vm/stdinterfaces_internal.h diff --git a/src/coreclr/src/vm/stdinterfaces_wrapper.cpp b/src/coreclr/vm/stdinterfaces_wrapper.cpp similarity index 100% rename from src/coreclr/src/vm/stdinterfaces_wrapper.cpp rename to src/coreclr/vm/stdinterfaces_wrapper.cpp diff --git a/src/coreclr/src/vm/stringliteralmap.cpp b/src/coreclr/vm/stringliteralmap.cpp similarity index 100% rename from src/coreclr/src/vm/stringliteralmap.cpp rename to src/coreclr/vm/stringliteralmap.cpp diff --git a/src/coreclr/src/vm/stringliteralmap.h b/src/coreclr/vm/stringliteralmap.h similarity index 100% rename from src/coreclr/src/vm/stringliteralmap.h rename to src/coreclr/vm/stringliteralmap.h diff --git a/src/coreclr/src/vm/stubcache.cpp b/src/coreclr/vm/stubcache.cpp similarity index 100% rename from src/coreclr/src/vm/stubcache.cpp rename to src/coreclr/vm/stubcache.cpp diff --git a/src/coreclr/src/vm/stubcache.h b/src/coreclr/vm/stubcache.h similarity index 100% rename from src/coreclr/src/vm/stubcache.h rename to src/coreclr/vm/stubcache.h diff --git a/src/coreclr/src/vm/stubgen.cpp b/src/coreclr/vm/stubgen.cpp similarity index 100% rename from src/coreclr/src/vm/stubgen.cpp rename to src/coreclr/vm/stubgen.cpp diff --git a/src/coreclr/src/vm/stubgen.h b/src/coreclr/vm/stubgen.h similarity index 100% rename from src/coreclr/src/vm/stubgen.h rename to src/coreclr/vm/stubgen.h diff --git a/src/coreclr/src/vm/stubhelpers.cpp b/src/coreclr/vm/stubhelpers.cpp similarity index 100% rename from src/coreclr/src/vm/stubhelpers.cpp rename to src/coreclr/vm/stubhelpers.cpp diff --git a/src/coreclr/src/vm/stubhelpers.h b/src/coreclr/vm/stubhelpers.h similarity index 100% rename from src/coreclr/src/vm/stubhelpers.h rename to src/coreclr/vm/stubhelpers.h diff --git a/src/coreclr/src/vm/stublink.cpp b/src/coreclr/vm/stublink.cpp similarity index 100% rename from src/coreclr/src/vm/stublink.cpp rename to src/coreclr/vm/stublink.cpp diff --git a/src/coreclr/src/vm/stublink.h b/src/coreclr/vm/stublink.h similarity index 100% rename from src/coreclr/src/vm/stublink.h rename to src/coreclr/vm/stublink.h diff --git a/src/coreclr/src/vm/stublink.inl b/src/coreclr/vm/stublink.inl similarity index 100% rename from src/coreclr/src/vm/stublink.inl rename to src/coreclr/vm/stublink.inl diff --git a/src/coreclr/src/vm/stubmgr.cpp b/src/coreclr/vm/stubmgr.cpp similarity index 100% rename from src/coreclr/src/vm/stubmgr.cpp rename to src/coreclr/vm/stubmgr.cpp diff --git a/src/coreclr/src/vm/stubmgr.h b/src/coreclr/vm/stubmgr.h similarity index 100% rename from src/coreclr/src/vm/stubmgr.h rename to src/coreclr/vm/stubmgr.h diff --git a/src/coreclr/src/vm/syncblk.cpp b/src/coreclr/vm/syncblk.cpp similarity index 100% rename from src/coreclr/src/vm/syncblk.cpp rename to src/coreclr/vm/syncblk.cpp diff --git a/src/coreclr/src/vm/syncblk.h b/src/coreclr/vm/syncblk.h similarity index 100% rename from src/coreclr/src/vm/syncblk.h rename to src/coreclr/vm/syncblk.h diff --git a/src/coreclr/src/vm/syncblk.inl b/src/coreclr/vm/syncblk.inl similarity index 100% rename from src/coreclr/src/vm/syncblk.inl rename to src/coreclr/vm/syncblk.inl diff --git a/src/coreclr/src/vm/syncclean.cpp b/src/coreclr/vm/syncclean.cpp similarity index 100% rename from src/coreclr/src/vm/syncclean.cpp rename to src/coreclr/vm/syncclean.cpp diff --git a/src/coreclr/src/vm/syncclean.hpp b/src/coreclr/vm/syncclean.hpp similarity index 100% rename from src/coreclr/src/vm/syncclean.hpp rename to src/coreclr/vm/syncclean.hpp diff --git a/src/coreclr/src/vm/synch.cpp b/src/coreclr/vm/synch.cpp similarity index 100% rename from src/coreclr/src/vm/synch.cpp rename to src/coreclr/vm/synch.cpp diff --git a/src/coreclr/src/vm/synch.h b/src/coreclr/vm/synch.h similarity index 100% rename from src/coreclr/src/vm/synch.h rename to src/coreclr/vm/synch.h diff --git a/src/coreclr/src/vm/tailcallhelp.cpp b/src/coreclr/vm/tailcallhelp.cpp similarity index 100% rename from src/coreclr/src/vm/tailcallhelp.cpp rename to src/coreclr/vm/tailcallhelp.cpp diff --git a/src/coreclr/src/vm/tailcallhelp.h b/src/coreclr/vm/tailcallhelp.h similarity index 100% rename from src/coreclr/src/vm/tailcallhelp.h rename to src/coreclr/vm/tailcallhelp.h diff --git a/src/coreclr/src/vm/threaddebugblockinginfo.cpp b/src/coreclr/vm/threaddebugblockinginfo.cpp similarity index 100% rename from src/coreclr/src/vm/threaddebugblockinginfo.cpp rename to src/coreclr/vm/threaddebugblockinginfo.cpp diff --git a/src/coreclr/src/vm/threaddebugblockinginfo.h b/src/coreclr/vm/threaddebugblockinginfo.h similarity index 100% rename from src/coreclr/src/vm/threaddebugblockinginfo.h rename to src/coreclr/vm/threaddebugblockinginfo.h diff --git a/src/coreclr/src/vm/threadpoolrequest.cpp b/src/coreclr/vm/threadpoolrequest.cpp similarity index 100% rename from src/coreclr/src/vm/threadpoolrequest.cpp rename to src/coreclr/vm/threadpoolrequest.cpp diff --git a/src/coreclr/src/vm/threadpoolrequest.h b/src/coreclr/vm/threadpoolrequest.h similarity index 100% rename from src/coreclr/src/vm/threadpoolrequest.h rename to src/coreclr/vm/threadpoolrequest.h diff --git a/src/coreclr/src/vm/threads.cpp b/src/coreclr/vm/threads.cpp similarity index 100% rename from src/coreclr/src/vm/threads.cpp rename to src/coreclr/vm/threads.cpp diff --git a/src/coreclr/src/vm/threads.h b/src/coreclr/vm/threads.h similarity index 100% rename from src/coreclr/src/vm/threads.h rename to src/coreclr/vm/threads.h diff --git a/src/coreclr/src/vm/threads.inl b/src/coreclr/vm/threads.inl similarity index 100% rename from src/coreclr/src/vm/threads.inl rename to src/coreclr/vm/threads.inl diff --git a/src/coreclr/src/vm/threadstatics.cpp b/src/coreclr/vm/threadstatics.cpp similarity index 100% rename from src/coreclr/src/vm/threadstatics.cpp rename to src/coreclr/vm/threadstatics.cpp diff --git a/src/coreclr/src/vm/threadstatics.h b/src/coreclr/vm/threadstatics.h similarity index 100% rename from src/coreclr/src/vm/threadstatics.h rename to src/coreclr/vm/threadstatics.h diff --git a/src/coreclr/src/vm/threadsuspend.cpp b/src/coreclr/vm/threadsuspend.cpp similarity index 100% rename from src/coreclr/src/vm/threadsuspend.cpp rename to src/coreclr/vm/threadsuspend.cpp diff --git a/src/coreclr/src/vm/threadsuspend.h b/src/coreclr/vm/threadsuspend.h similarity index 100% rename from src/coreclr/src/vm/threadsuspend.h rename to src/coreclr/vm/threadsuspend.h diff --git a/src/coreclr/src/vm/tieredcompilation.cpp b/src/coreclr/vm/tieredcompilation.cpp similarity index 100% rename from src/coreclr/src/vm/tieredcompilation.cpp rename to src/coreclr/vm/tieredcompilation.cpp diff --git a/src/coreclr/src/vm/tieredcompilation.h b/src/coreclr/vm/tieredcompilation.h similarity index 100% rename from src/coreclr/src/vm/tieredcompilation.h rename to src/coreclr/vm/tieredcompilation.h diff --git a/src/coreclr/src/vm/typectxt.cpp b/src/coreclr/vm/typectxt.cpp similarity index 100% rename from src/coreclr/src/vm/typectxt.cpp rename to src/coreclr/vm/typectxt.cpp diff --git a/src/coreclr/src/vm/typectxt.h b/src/coreclr/vm/typectxt.h similarity index 100% rename from src/coreclr/src/vm/typectxt.h rename to src/coreclr/vm/typectxt.h diff --git a/src/coreclr/src/vm/typedesc.cpp b/src/coreclr/vm/typedesc.cpp similarity index 100% rename from src/coreclr/src/vm/typedesc.cpp rename to src/coreclr/vm/typedesc.cpp diff --git a/src/coreclr/src/vm/typedesc.h b/src/coreclr/vm/typedesc.h similarity index 100% rename from src/coreclr/src/vm/typedesc.h rename to src/coreclr/vm/typedesc.h diff --git a/src/coreclr/src/vm/typedesc.inl b/src/coreclr/vm/typedesc.inl similarity index 100% rename from src/coreclr/src/vm/typedesc.inl rename to src/coreclr/vm/typedesc.inl diff --git a/src/coreclr/src/vm/typeequivalencehash.cpp b/src/coreclr/vm/typeequivalencehash.cpp similarity index 100% rename from src/coreclr/src/vm/typeequivalencehash.cpp rename to src/coreclr/vm/typeequivalencehash.cpp diff --git a/src/coreclr/src/vm/typeequivalencehash.hpp b/src/coreclr/vm/typeequivalencehash.hpp similarity index 100% rename from src/coreclr/src/vm/typeequivalencehash.hpp rename to src/coreclr/vm/typeequivalencehash.hpp diff --git a/src/coreclr/src/vm/typehandle.cpp b/src/coreclr/vm/typehandle.cpp similarity index 100% rename from src/coreclr/src/vm/typehandle.cpp rename to src/coreclr/vm/typehandle.cpp diff --git a/src/coreclr/src/vm/typehandle.h b/src/coreclr/vm/typehandle.h similarity index 100% rename from src/coreclr/src/vm/typehandle.h rename to src/coreclr/vm/typehandle.h diff --git a/src/coreclr/src/vm/typehandle.inl b/src/coreclr/vm/typehandle.inl similarity index 100% rename from src/coreclr/src/vm/typehandle.inl rename to src/coreclr/vm/typehandle.inl diff --git a/src/coreclr/src/vm/typehash.cpp b/src/coreclr/vm/typehash.cpp similarity index 100% rename from src/coreclr/src/vm/typehash.cpp rename to src/coreclr/vm/typehash.cpp diff --git a/src/coreclr/src/vm/typehash.h b/src/coreclr/vm/typehash.h similarity index 100% rename from src/coreclr/src/vm/typehash.h rename to src/coreclr/vm/typehash.h diff --git a/src/coreclr/src/vm/typehashingalgorithms.h b/src/coreclr/vm/typehashingalgorithms.h similarity index 100% rename from src/coreclr/src/vm/typehashingalgorithms.h rename to src/coreclr/vm/typehashingalgorithms.h diff --git a/src/coreclr/src/vm/typekey.h b/src/coreclr/vm/typekey.h similarity index 100% rename from src/coreclr/src/vm/typekey.h rename to src/coreclr/vm/typekey.h diff --git a/src/coreclr/src/vm/typeparse.cpp b/src/coreclr/vm/typeparse.cpp similarity index 100% rename from src/coreclr/src/vm/typeparse.cpp rename to src/coreclr/vm/typeparse.cpp diff --git a/src/coreclr/src/vm/typeparse.h b/src/coreclr/vm/typeparse.h similarity index 100% rename from src/coreclr/src/vm/typeparse.h rename to src/coreclr/vm/typeparse.h diff --git a/src/coreclr/src/vm/typestring.cpp b/src/coreclr/vm/typestring.cpp similarity index 100% rename from src/coreclr/src/vm/typestring.cpp rename to src/coreclr/vm/typestring.cpp diff --git a/src/coreclr/src/vm/typestring.h b/src/coreclr/vm/typestring.h similarity index 100% rename from src/coreclr/src/vm/typestring.h rename to src/coreclr/vm/typestring.h diff --git a/src/coreclr/src/vm/util.cpp b/src/coreclr/vm/util.cpp similarity index 100% rename from src/coreclr/src/vm/util.cpp rename to src/coreclr/vm/util.cpp diff --git a/src/coreclr/src/vm/util.hpp b/src/coreclr/vm/util.hpp similarity index 100% rename from src/coreclr/src/vm/util.hpp rename to src/coreclr/vm/util.hpp diff --git a/src/coreclr/src/vm/vars.cpp b/src/coreclr/vm/vars.cpp similarity index 100% rename from src/coreclr/src/vm/vars.cpp rename to src/coreclr/vm/vars.cpp diff --git a/src/coreclr/src/vm/vars.hpp b/src/coreclr/vm/vars.hpp similarity index 100% rename from src/coreclr/src/vm/vars.hpp rename to src/coreclr/vm/vars.hpp diff --git a/src/coreclr/src/vm/versionresilienthashcode.cpp b/src/coreclr/vm/versionresilienthashcode.cpp similarity index 100% rename from src/coreclr/src/vm/versionresilienthashcode.cpp rename to src/coreclr/vm/versionresilienthashcode.cpp diff --git a/src/coreclr/src/vm/versionresilienthashcode.h b/src/coreclr/vm/versionresilienthashcode.h similarity index 100% rename from src/coreclr/src/vm/versionresilienthashcode.h rename to src/coreclr/vm/versionresilienthashcode.h diff --git a/src/coreclr/src/vm/virtualcallstub.cpp b/src/coreclr/vm/virtualcallstub.cpp similarity index 100% rename from src/coreclr/src/vm/virtualcallstub.cpp rename to src/coreclr/vm/virtualcallstub.cpp diff --git a/src/coreclr/src/vm/virtualcallstub.h b/src/coreclr/vm/virtualcallstub.h similarity index 100% rename from src/coreclr/src/vm/virtualcallstub.h rename to src/coreclr/vm/virtualcallstub.h diff --git a/src/coreclr/src/vm/vmholder.h b/src/coreclr/vm/vmholder.h similarity index 100% rename from src/coreclr/src/vm/vmholder.h rename to src/coreclr/vm/vmholder.h diff --git a/src/coreclr/src/vm/weakreferencenative.cpp b/src/coreclr/vm/weakreferencenative.cpp similarity index 100% rename from src/coreclr/src/vm/weakreferencenative.cpp rename to src/coreclr/vm/weakreferencenative.cpp diff --git a/src/coreclr/src/vm/weakreferencenative.h b/src/coreclr/vm/weakreferencenative.h similarity index 100% rename from src/coreclr/src/vm/weakreferencenative.h rename to src/coreclr/vm/weakreferencenative.h diff --git a/src/coreclr/src/vm/wellknownattributes.h b/src/coreclr/vm/wellknownattributes.h similarity index 100% rename from src/coreclr/src/vm/wellknownattributes.h rename to src/coreclr/vm/wellknownattributes.h diff --git a/src/coreclr/src/vm/win32threadpool.cpp b/src/coreclr/vm/win32threadpool.cpp similarity index 100% rename from src/coreclr/src/vm/win32threadpool.cpp rename to src/coreclr/vm/win32threadpool.cpp diff --git a/src/coreclr/src/vm/win32threadpool.h b/src/coreclr/vm/win32threadpool.h similarity index 100% rename from src/coreclr/src/vm/win32threadpool.h rename to src/coreclr/vm/win32threadpool.h diff --git a/src/coreclr/src/vm/wks/CMakeLists.txt b/src/coreclr/vm/wks/CMakeLists.txt similarity index 100% rename from src/coreclr/src/vm/wks/CMakeLists.txt rename to src/coreclr/vm/wks/CMakeLists.txt diff --git a/src/coreclr/src/vm/wrappers.h b/src/coreclr/vm/wrappers.h similarity index 100% rename from src/coreclr/src/vm/wrappers.h rename to src/coreclr/vm/wrappers.h diff --git a/src/coreclr/src/vm/yieldprocessornormalized.cpp b/src/coreclr/vm/yieldprocessornormalized.cpp similarity index 100% rename from src/coreclr/src/vm/yieldprocessornormalized.cpp rename to src/coreclr/vm/yieldprocessornormalized.cpp diff --git a/src/coreclr/src/vm/zapsig.cpp b/src/coreclr/vm/zapsig.cpp similarity index 100% rename from src/coreclr/src/vm/zapsig.cpp rename to src/coreclr/vm/zapsig.cpp diff --git a/src/coreclr/src/vm/zapsig.h b/src/coreclr/vm/zapsig.h similarity index 100% rename from src/coreclr/src/vm/zapsig.h rename to src/coreclr/vm/zapsig.h diff --git a/src/coreclr/src/zap/CMakeLists.txt b/src/coreclr/zap/CMakeLists.txt similarity index 100% rename from src/coreclr/src/zap/CMakeLists.txt rename to src/coreclr/zap/CMakeLists.txt diff --git a/src/coreclr/src/zap/common.h b/src/coreclr/zap/common.h similarity index 100% rename from src/coreclr/src/zap/common.h rename to src/coreclr/zap/common.h diff --git a/src/coreclr/src/zap/nativeformatwriter.cpp b/src/coreclr/zap/nativeformatwriter.cpp similarity index 100% rename from src/coreclr/src/zap/nativeformatwriter.cpp rename to src/coreclr/zap/nativeformatwriter.cpp diff --git a/src/coreclr/src/zap/nativeformatwriter.h b/src/coreclr/zap/nativeformatwriter.h similarity index 100% rename from src/coreclr/src/zap/nativeformatwriter.h rename to src/coreclr/zap/nativeformatwriter.h diff --git a/src/coreclr/src/zap/svcworker.cpp b/src/coreclr/zap/svcworker.cpp similarity index 100% rename from src/coreclr/src/zap/svcworker.cpp rename to src/coreclr/zap/svcworker.cpp diff --git a/src/coreclr/src/zap/zapcode.cpp b/src/coreclr/zap/zapcode.cpp similarity index 100% rename from src/coreclr/src/zap/zapcode.cpp rename to src/coreclr/zap/zapcode.cpp diff --git a/src/coreclr/src/zap/zapcode.h b/src/coreclr/zap/zapcode.h similarity index 100% rename from src/coreclr/src/zap/zapcode.h rename to src/coreclr/zap/zapcode.h diff --git a/src/coreclr/src/zap/zapheaders.cpp b/src/coreclr/zap/zapheaders.cpp similarity index 100% rename from src/coreclr/src/zap/zapheaders.cpp rename to src/coreclr/zap/zapheaders.cpp diff --git a/src/coreclr/src/zap/zapheaders.h b/src/coreclr/zap/zapheaders.h similarity index 100% rename from src/coreclr/src/zap/zapheaders.h rename to src/coreclr/zap/zapheaders.h diff --git a/src/coreclr/src/zap/zapimage.cpp b/src/coreclr/zap/zapimage.cpp similarity index 100% rename from src/coreclr/src/zap/zapimage.cpp rename to src/coreclr/zap/zapimage.cpp diff --git a/src/coreclr/src/zap/zapimage.h b/src/coreclr/zap/zapimage.h similarity index 100% rename from src/coreclr/src/zap/zapimage.h rename to src/coreclr/zap/zapimage.h diff --git a/src/coreclr/src/zap/zapimport.cpp b/src/coreclr/zap/zapimport.cpp similarity index 100% rename from src/coreclr/src/zap/zapimport.cpp rename to src/coreclr/zap/zapimport.cpp diff --git a/src/coreclr/src/zap/zapimport.h b/src/coreclr/zap/zapimport.h similarity index 100% rename from src/coreclr/src/zap/zapimport.h rename to src/coreclr/zap/zapimport.h diff --git a/src/coreclr/src/zap/zapinfo.cpp b/src/coreclr/zap/zapinfo.cpp similarity index 100% rename from src/coreclr/src/zap/zapinfo.cpp rename to src/coreclr/zap/zapinfo.cpp diff --git a/src/coreclr/src/zap/zapinfo.h b/src/coreclr/zap/zapinfo.h similarity index 100% rename from src/coreclr/src/zap/zapinfo.h rename to src/coreclr/zap/zapinfo.h diff --git a/src/coreclr/src/zap/zapinnerptr.cpp b/src/coreclr/zap/zapinnerptr.cpp similarity index 100% rename from src/coreclr/src/zap/zapinnerptr.cpp rename to src/coreclr/zap/zapinnerptr.cpp diff --git a/src/coreclr/src/zap/zapinnerptr.h b/src/coreclr/zap/zapinnerptr.h similarity index 100% rename from src/coreclr/src/zap/zapinnerptr.h rename to src/coreclr/zap/zapinnerptr.h diff --git a/src/coreclr/src/zap/zaplog.h b/src/coreclr/zap/zaplog.h similarity index 100% rename from src/coreclr/src/zap/zaplog.h rename to src/coreclr/zap/zaplog.h diff --git a/src/coreclr/src/zap/zapmetadata.cpp b/src/coreclr/zap/zapmetadata.cpp similarity index 100% rename from src/coreclr/src/zap/zapmetadata.cpp rename to src/coreclr/zap/zapmetadata.cpp diff --git a/src/coreclr/src/zap/zapmetadata.h b/src/coreclr/zap/zapmetadata.h similarity index 100% rename from src/coreclr/src/zap/zapmetadata.h rename to src/coreclr/zap/zapmetadata.h diff --git a/src/coreclr/src/zap/zapnodetype.h b/src/coreclr/zap/zapnodetype.h similarity index 100% rename from src/coreclr/src/zap/zapnodetype.h rename to src/coreclr/zap/zapnodetype.h diff --git a/src/coreclr/src/zap/zapper.cpp b/src/coreclr/zap/zapper.cpp similarity index 100% rename from src/coreclr/src/zap/zapper.cpp rename to src/coreclr/zap/zapper.cpp diff --git a/src/coreclr/src/zap/zapperstats.cpp b/src/coreclr/zap/zapperstats.cpp similarity index 100% rename from src/coreclr/src/zap/zapperstats.cpp rename to src/coreclr/zap/zapperstats.cpp diff --git a/src/coreclr/src/zap/zapperstats.h b/src/coreclr/zap/zapperstats.h similarity index 100% rename from src/coreclr/src/zap/zapperstats.h rename to src/coreclr/zap/zapperstats.h diff --git a/src/coreclr/src/zap/zapreadytorun.cpp b/src/coreclr/zap/zapreadytorun.cpp similarity index 100% rename from src/coreclr/src/zap/zapreadytorun.cpp rename to src/coreclr/zap/zapreadytorun.cpp diff --git a/src/coreclr/src/zap/zapreadytorun.h b/src/coreclr/zap/zapreadytorun.h similarity index 100% rename from src/coreclr/src/zap/zapreadytorun.h rename to src/coreclr/zap/zapreadytorun.h diff --git a/src/coreclr/src/zap/zaprelocs.cpp b/src/coreclr/zap/zaprelocs.cpp similarity index 100% rename from src/coreclr/src/zap/zaprelocs.cpp rename to src/coreclr/zap/zaprelocs.cpp diff --git a/src/coreclr/src/zap/zaprelocs.h b/src/coreclr/zap/zaprelocs.h similarity index 100% rename from src/coreclr/src/zap/zaprelocs.h rename to src/coreclr/zap/zaprelocs.h diff --git a/src/coreclr/src/zap/zapwrapper.cpp b/src/coreclr/zap/zapwrapper.cpp similarity index 100% rename from src/coreclr/src/zap/zapwrapper.cpp rename to src/coreclr/zap/zapwrapper.cpp diff --git a/src/coreclr/src/zap/zapwrapper.h b/src/coreclr/zap/zapwrapper.h similarity index 100% rename from src/coreclr/src/zap/zapwrapper.h rename to src/coreclr/zap/zapwrapper.h diff --git a/src/coreclr/src/zap/zapwriter.cpp b/src/coreclr/zap/zapwriter.cpp similarity index 100% rename from src/coreclr/src/zap/zapwriter.cpp rename to src/coreclr/zap/zapwriter.cpp diff --git a/src/coreclr/src/zap/zapwriter.h b/src/coreclr/zap/zapwriter.h similarity index 100% rename from src/coreclr/src/zap/zapwriter.h rename to src/coreclr/zap/zapwriter.h diff --git a/src/tests/CMakeLists.txt b/src/tests/CMakeLists.txt index 9eccdfd..fdc5090 100644 --- a/src/tests/CMakeLists.txt +++ b/src/tests/CMakeLists.txt @@ -10,7 +10,7 @@ include(../../eng/native/configurepaths.cmake) include(${CLR_ENG_NATIVE_DIR}/configurecompiler.cmake) # Add this subdir. We install the headers for the jit. -add_subdirectory(../coreclr/src/pal/prebuilt/inc ../coreclr/src/pal/prebuilt/inc) +add_subdirectory(../coreclr/pal/prebuilt/inc ../coreclr/pal/prebuilt/inc) set(INC_PLATFORM_DIR ${CMAKE_CURRENT_SOURCE_DIR}/Common/Platform) if (CLR_CMAKE_TARGET_WIN32) diff --git a/src/tests/Common/scripts/format.py b/src/tests/Common/scripts/format.py index 8fc4ca8..4708949 100644 --- a/src/tests/Common/scripts/format.py +++ b/src/tests/Common/scripts/format.py @@ -218,7 +218,7 @@ def main(argv): if returncode != 0: # Create a patch file print("Creating patch file " + patchFilePath) - jitSrcPath = os.path.join(coreclr, "src", "jit") + jitSrcPath = os.path.join(coreclr, "jit") patchFile = open(patchFilePath, "w") proc = subprocess.Popen(["git", "diff", "--patch", "-U20", "--", jitSrcPath], env=my_env, stdout=patchFile) output,error = proc.communicate() diff --git a/src/tests/ilverify/ILVerification.Tests.csproj b/src/tests/ilverify/ILVerification.Tests.csproj index 5eaea1b..779ef5e 100644 --- a/src/tests/ilverify/ILVerification.Tests.csproj +++ b/src/tests/ilverify/ILVerification.Tests.csproj @@ -15,7 +15,7 @@ - + diff --git a/src/tests/profiler/native/CMakeLists.txt b/src/tests/profiler/native/CMakeLists.txt index 73af930..b8b261f 100644 --- a/src/tests/profiler/native/CMakeLists.txt +++ b/src/tests/profiler/native/CMakeLists.txt @@ -22,10 +22,10 @@ set(SOURCES dllmain.cpp guids.cpp) -include_directories(../../../coreclr/src/pal/prebuilt/inc) +include_directories(../../../coreclr/pal/prebuilt/inc) if(NOT WIN32) - include_directories(../../../coreclr/src/pal/inc/rt ../../../coreclr/src/pal/inc ../../../coreclr/src/inc) + include_directories(../../../coreclr/pal/inc/rt ../../../coreclr/pal/inc ../../../coreclr/inc) add_compile_options(-DPAL_STDCPP_COMPAT) if(CMAKE_CXX_COMPILER_ID MATCHES "Clang") add_compile_options(-Wno-null-arithmetic) -- 2.7.4