From 4e2527cf786b0cf44608fe0f9e2607e715b143b9 Mon Sep 17 00:00:00 2001 From: Tizen Build Automation Date: Mon, 6 Nov 2023 12:45:26 +0000 Subject: [PATCH] Update candidate_snapshot : TIZEN/Tizen/Tizen-Base-Test/project_meta,TIZEN/Tizen/Tizen-Unified/project_meta,TIZEN/Tizen/Tizen-Rust/project_meta,TIZEN/Tizen/Tizen-Base/project_meta,TIZEN/Tizen/Tizen-Unified-Test/project_meta, --- TIZEN/Tizen/Tizen-Base-Test/candidate_snapshot | 1 + TIZEN/Tizen/Tizen-Base/candidate_snapshot | 2 ++ TIZEN/Tizen/Tizen-Rust/candidate_snapshot | 1 + TIZEN/Tizen/Tizen-Unified-Test/candidate_snapshot | 1 + TIZEN/Tizen/Tizen-Unified/candidate_snapshot | 1 + 5 files changed, 6 insertions(+) diff --git a/TIZEN/Tizen/Tizen-Base-Test/candidate_snapshot b/TIZEN/Tizen/Tizen-Base-Test/candidate_snapshot index c2d8bb6..ef0fd6c 100644 --- a/TIZEN/Tizen/Tizen-Base-Test/candidate_snapshot +++ b/TIZEN/Tizen/Tizen-Base-Test/candidate_snapshot @@ -7,4 +7,5 @@ + \ No newline at end of file diff --git a/TIZEN/Tizen/Tizen-Base/candidate_snapshot b/TIZEN/Tizen/Tizen-Base/candidate_snapshot index 374605a..4985c78 100644 --- a/TIZEN/Tizen/Tizen-Base/candidate_snapshot +++ b/TIZEN/Tizen/Tizen-Base/candidate_snapshot @@ -3,7 +3,9 @@ + + \ No newline at end of file diff --git a/TIZEN/Tizen/Tizen-Rust/candidate_snapshot b/TIZEN/Tizen/Tizen-Rust/candidate_snapshot index e5f6d61..9cd71da 100644 --- a/TIZEN/Tizen/Tizen-Rust/candidate_snapshot +++ b/TIZEN/Tizen/Tizen-Rust/candidate_snapshot @@ -5,4 +5,5 @@ + \ No newline at end of file diff --git a/TIZEN/Tizen/Tizen-Unified-Test/candidate_snapshot b/TIZEN/Tizen/Tizen-Unified-Test/candidate_snapshot index 519c100..7b96e14 100644 --- a/TIZEN/Tizen/Tizen-Unified-Test/candidate_snapshot +++ b/TIZEN/Tizen/Tizen-Unified-Test/candidate_snapshot @@ -4,4 +4,5 @@ + \ No newline at end of file diff --git a/TIZEN/Tizen/Tizen-Unified/candidate_snapshot b/TIZEN/Tizen/Tizen-Unified/candidate_snapshot index 297671c..cf8f23f 100644 --- a/TIZEN/Tizen/Tizen-Unified/candidate_snapshot +++ b/TIZEN/Tizen/Tizen-Unified/candidate_snapshot @@ -11,4 +11,5 @@ + \ No newline at end of file -- 2.7.4