From 1115890b90709fa5329a55d22f543020f3df9f6f Mon Sep 17 00:00:00 2001 From: Behdad Esfahbod Date: Wed, 27 Oct 2010 17:07:04 -0400 Subject: [PATCH] More cleanup --- src/hb-buffer-private.hh | 5 +---- src/hb-ot-layout-private.hh | 6 ++++++ 2 files changed, 7 insertions(+), 4 deletions(-) diff --git a/src/hb-buffer-private.hh b/src/hb-buffer-private.hh index ce95679..de72220 100644 --- a/src/hb-buffer-private.hh +++ b/src/hb-buffer-private.hh @@ -35,12 +35,9 @@ HB_BEGIN_DECLS +/* XXX */ #define HB_BUFFER_GLYPH_PROPERTIES_UNKNOWN 0xFFFF -#define component() var1.u16[0] -#define lig_id() var1.u16[1] #define gproperty() var2.u32 -#define back() var.u16[0] /* number of glyphs to go back for drawing current glyph */ -#define cursive_chain() var.i16[1] /* character to which this connects, may be positive or negative */ ASSERT_STATIC (sizeof (hb_glyph_info_t) == 20); ASSERT_STATIC (sizeof (hb_glyph_info_t) == sizeof (hb_glyph_position_t)); diff --git a/src/hb-ot-layout-private.hh b/src/hb-ot-layout-private.hh index a26958d..f28e99d 100644 --- a/src/hb-ot-layout-private.hh +++ b/src/hb-ot-layout-private.hh @@ -38,6 +38,12 @@ HB_BEGIN_DECLS +/* XXX */ +#define component() var1.u16[0] +#define lig_id() var1.u16[1] +#define back() var.u16[0] /* number of glyphs to go back for drawing current glyph */ +#define cursive_chain() var.i16[1] /* character to which this connects, may be positive or negative */ + typedef unsigned int hb_ot_layout_class_t; /* -- 2.7.4