platform/core/uifw/libds.git
3 years agoDSWaylandServer: imply Pimpl structure to DSWaylandTizenInputDeviceManager 52/241552/1
jeon [Mon, 29 Jun 2020 05:02:03 +0000 (14:02 +0900)]
DSWaylandServer: imply Pimpl structure to DSWaylandTizenInputDeviceManager

Change-Id: I0589c90c9729af4fb9a0f97fc2cf59c61c144554

3 years agoAdd default interface listener codes for tizen_input_device 51/241551/1
jeon [Fri, 26 Jun 2020 10:03:20 +0000 (19:03 +0900)]
Add default interface listener codes for tizen_input_device

Change-Id: I9e1833d478806a14fe25f6ea17b7f0257a48d70b

3 years agoDSWaylandServer: update dswayland-server-tizen-extension.cpp/.h files 50/241550/1
Sung-Jin Park [Mon, 29 Jun 2020 03:07:37 +0000 (12:07 +0900)]
DSWaylandServer: update dswayland-server-tizen-extension.cpp/.h files

Change-Id: I9416043d5f0d5ad984d179a6537b1f6695730164
Signed-off-by: Sung-Jin Park <sj76.park@samsung.com>
3 years agoDSWaylandServer: change definition of DS_UNUSED to remove warning 49/241549/1
Sung-Jin Park [Mon, 29 Jun 2020 03:06:41 +0000 (12:06 +0900)]
DSWaylandServer: change definition of DS_UNUSED to remove warning

Change-Id: I461da2679f83552f1852d1ed2495a5b71a62f03f
Signed-off-by: Sung-Jin Park <sj76.park@samsung.com>
3 years agoDSBuffer: redesign and implementation IDSBufferQueue and IDSBuffer. 48/241548/1
SooChan Lim [Fri, 26 Jun 2020 05:45:37 +0000 (14:45 +0900)]
DSBuffer: redesign and implementation IDSBufferQueue and IDSBuffer.

This is a skeleton implementation, so more methods will be added later.

Change-Id: I67641d2e94d38050b70c59ea37258d2ca94a1654

3 years agoDSBuffer: remove DSBufferQueue 47/241547/1
SooChan Lim [Fri, 26 Jun 2020 05:43:26 +0000 (14:43 +0900)]
DSBuffer: remove DSBufferQueue

Change-Id: I1130c0d40f9303dca7d9080df3861e6cc917a6d8

3 years agochange definition of DS_UNUSED to remove warning 46/241546/1
jeon [Thu, 25 Jun 2020 04:33:27 +0000 (13:33 +0900)]
change definition of DS_UNUSED to remove warning

Change-Id: I477d3766f3b26cc4299f1d69e1a3d289e77a941c

3 years agoadd dependencies for tizen extension protocols 45/241545/1
jeon [Fri, 19 Jun 2020 02:02:33 +0000 (11:02 +0900)]
add dependencies for tizen extension protocols

Change-Id: I40caf2ecf09cec611a3fcd1d6ae8510f16b5151d

3 years agoDSRender: modify the file and the class name 44/241544/1
SooChan Lim [Tue, 23 Jun 2020 08:54:59 +0000 (17:54 +0900)]
DSRender: modify the file and the class name

Change-Id: Ia022a8d012b780ef49a18491f9b80f4d6c346e44

3 years agofix the indentation 43/241543/1
SooChan Lim [Tue, 23 Jun 2020 07:24:32 +0000 (16:24 +0900)]
fix the indentation

Change-Id: I4c6db7a7aff1949d7011c02d28971d1d5f277b43

3 years agoDSDisplayDeviceOutput: implement the output callback of the OutputTDM implements 42/241542/1
SooChan Lim [Tue, 23 Jun 2020 06:45:57 +0000 (15:45 +0900)]
DSDisplayDeviceOutput: implement the output callback of the OutputTDM implements

There are five callback functions for the DeviceOuput.

IDSDisplayDevice class has OutputAdded and OutputRemoved callbacks.
  virtual void registerCallbackOutputAdded(DSObject *slot, std::function<void(IDSDisplayDeviceOutput *)> func) = 0;
  virtual void registerCallbackOutputRemoved(DSObject *slot, std::function<void(IDSDisplayDeviceOutput *)> func) = 0;

IDSDisplayDeviceOutput class has OutputConnected, OutputDisconnected and OutputResolutionSet callbacks.
  virtual void registerCallbackOutputConnected(DSObject *slot, std::function<void(IDSDisplayDeviceOutput *)> func) = 0;
  virtual void registerCallbackOutputDisconnected(DSObject *slot, std::function<void(IDSDisplayDeviceOutput *)> func) = 0;
  virtual void registerCallbackOutputResolutionSet(DSObject *slot, std::function<void(IDSDisplayDeviceOutput *)> func) = 0;

DSDisplayDeviceTDMImpl and DSDisplayDeviceOutputTDMImpl override these functions and implement them.

Change-Id: If76a02aa5348d5e1b5827960e86c2de55729792f

3 years agoDSSignal: use std::function as the paremeter of the connect method. 41/241541/1
SooChan Lim [Mon, 22 Jun 2020 01:47:12 +0000 (10:47 +0900)]
DSSignal: use std::function as the paremeter of the connect method.

use std::function instead of the raw function pointer.

Change-Id: I02e368c09e6f673fc8881842694296d171ff9369

3 years agoimplement the skeleton methods of DSDisplayArea 40/241540/1
SooChan Lim [Thu, 18 Jun 2020 02:18:03 +0000 (11:18 +0900)]
implement the skeleton methods of DSDisplayArea

At this time, the test case fails.

Change-Id: I08d9229f16ede242a3a53154158e154211802e8e

3 years agoimplement the skeleton methods of DSCanvas 39/241539/1
SooChan Lim [Thu, 18 Jun 2020 01:46:46 +0000 (10:46 +0900)]
implement the skeleton methods of DSCanvas

At this time, the test case fails.

Change-Id: I117ca29be31411724b8eed4760f102a1cc6ee2dd

3 years agoimplement the skeleton methods of DSPolicyArea 38/241538/1
SooChan Lim [Thu, 18 Jun 2020 01:35:35 +0000 (10:35 +0900)]
implement the skeleton methods of DSPolicyArea

At this time, the test case fails.

Change-Id: I843c7a5c0cf9fd5a5de7cfc41919af7902ce8e78

3 years agoimplement the skeleton methods of DSOutput 37/241537/1
SooChan Lim [Thu, 18 Jun 2020 02:25:19 +0000 (11:25 +0900)]
implement the skeleton methods of DSOutput

At this time, the test case fails.

Change-Id: I4104111532b51f01e92849d51ee57fdac5e0631a

3 years agoimplement the skeleton methods of DSSeat 36/241536/1
SooChan Lim [Thu, 18 Jun 2020 02:32:29 +0000 (11:32 +0900)]
implement the skeleton methods of DSSeat

At this time, the test case fails.

Change-Id: Ib8b2c7a47168be836e5ab5db67ff0ce99e068599

3 years agoimplement the skeleton methods of DSCompositor 35/241535/1
SooChan Lim [Thu, 18 Jun 2020 02:40:38 +0000 (11:40 +0900)]
implement the skeleton methods of DSCompositor

At this time, the test case fails.

Change-Id: I32835f6c0cba2254a3c747537a6010c491ec7df5

3 years agoRevert "include DSPropertyPrivate.h file" 34/241534/1
SooChan Lim [Wed, 17 Jun 2020 04:36:41 +0000 (13:36 +0900)]
Revert "include DSPropertyPrivate.h file"

This reverts commit 5ac60980cc74f02ae06cde396765d0ed1c595847.

Change-Id: I08f3fef79ddc209e16c751685293ea0deddee74c

3 years agoDSWaylandServer: add code for tizen-ws-shell, xdg-shell* interfaces and so on 33/241533/1
Sung-Jin Park [Wed, 17 Jun 2020 01:18:38 +0000 (10:18 +0900)]
DSWaylandServer: add code for tizen-ws-shell, xdg-shell* interfaces and so on

Change-Id: I5f5d0e7530498cad9e9e6dd14530e7a4c0e4d7bf
Signed-off-by: Sung-Jin Park <sj76.park@samsung.com>
3 years agoadd some members to CODEOWNERS 32/241532/1
SooChan Lim [Tue, 16 Jun 2020 23:14:07 +0000 (08:14 +0900)]
add some members to CODEOWNERS

Change-Id: Ib2c784b1d8561bfad9c585c399bd533b0e9b9fc1

3 years agoinclude DSPropertyPrivate.h file 31/241531/1
SooChan Lim [Tue, 16 Jun 2020 09:02:15 +0000 (18:02 +0900)]
include DSPropertyPrivate.h file

Change-Id: Ib2c3d9a9af5612fd64400b9baf8fa5826e9278f3

3 years agobeutify the code. 30/241530/1
SooChan Lim [Tue, 16 Jun 2020 07:33:21 +0000 (16:33 +0900)]
beutify the code.

make the code align and obey the coding style.

Change-Id: Ic73fdf61ae3a59b152f83cb64b7b7fc95758b185

3 years agoApply Coding Style 29/241529/1
review-bot [Tue, 16 Jun 2020 06:35:29 +0000 (15:35 +0900)]
Apply Coding Style

Change-Id: I2973ae7fc08cd40d367512e97828080a6899020c

3 years agochange the test package and test binary name 28/241528/1
SooChan Lim [Tue, 16 Jun 2020 05:33:52 +0000 (14:33 +0900)]
change the test package and test binary name

from xxx-unitests to xxx-tests

Change-Id: I63a7b24fee07e968930ad7a3c6ee39d657505e52

3 years agouse the namespace display_server at samples and tests 27/241527/1
SooChan Lim [Tue, 16 Jun 2020 04:41:18 +0000 (13:41 +0900)]
use the namespace display_server at samples and tests

Change-Id: I1f2278d6a6fea0ce683db41829ec0e3b3ad16869

3 years agoimplement DSDispalyDevice class and TDM implementations 26/241526/1
SooChan Lim [Thu, 11 Jun 2020 03:59:18 +0000 (12:59 +0900)]
implement DSDispalyDevice class and TDM implementations

Change-Id: Ia12f8c0be76779d47898939ad06451692675eb41

3 years agoDSWaylandServer: add code for DSWaylandServer 25/241525/1
Sung-Jin Park [Mon, 15 Jun 2020 12:02:57 +0000 (21:02 +0900)]
DSWaylandServer: add code for DSWaylandServer

Change-Id: I769b485b5bdd384664411a21c64011d23c6adfa3
Signed-off-by: Sung-Jin Park <sj76.park@samsung.com>
3 years agosamples: fix the typos 24/241524/1
SooChan Lim [Wed, 10 Jun 2020 04:07:41 +0000 (13:07 +0900)]
samples: fix the typos

Change-Id: I12e6036f2654f234c4b4c9d153092a2c9f07c781

3 years agoApply Coding Style 23/241523/1
review-bot [Thu, 11 Jun 2020 03:59:22 +0000 (12:59 +0900)]
Apply Coding Style

Change-Id: I0c519b59b8ce2544fff4abab0937ab1d8003bd78

3 years agoApply Coding Style 22/241522/1
review-bot [Wed, 10 Jun 2020 02:05:16 +0000 (11:05 +0900)]
Apply Coding Style

Change-Id: Ic24fb5d02e1d4203db8121e7c8bc5bdd30a9b115

3 years agoDSDebug: add DSDebugLog class 21/241521/1
SooChan Lim [Tue, 9 Jun 2020 10:53:50 +0000 (19:53 +0900)]
DSDebug: add DSDebugLog class

Change-Id: Ie4b16276ddff6c8a68e05eb97859570824b115cc

3 years agoDSDisplayArea: add getWidth/Height methods 20/241520/1
SooChan Lim [Mon, 8 Jun 2020 10:53:38 +0000 (19:53 +0900)]
DSDisplayArea: add getWidth/Height methods

Change-Id: Ib525af6b4cd8ea38105993943cbab3a17566e237

3 years agoDSOutput: add getResolutionWidth/Height methods 19/241519/1
SooChan Lim [Mon, 8 Jun 2020 10:53:04 +0000 (19:53 +0900)]
DSOutput: add getResolutionWidth/Height methods

Change-Id: I9a2184ede44b7987e99832cbfe3c03df8a578d2b

3 years agochange the source directories and the menson.build files. 18/241518/1
SooChan Lim [Tue, 9 Jun 2020 02:09:25 +0000 (11:09 +0900)]
change the source directories and the menson.build files.

make the source directories below.
libds - - samples/menson.build --> samples sources
      | - src/menson.build     --> libds sources
      | - tests/menson.build   --> test sources
      - - meson.build

Change-Id: Ib078b5d2d5664563d0b9499abd7360c724eb3317

3 years ago"[Reform] Apply Coding Style" 17/241517/1
review-bot [Thu, 9 Apr 2020 05:30:27 +0000 (14:30 +0900)]
"[Reform] Apply Coding Style"

Change-Id: I48cac0d4f8c51d5a6fe874e9921c5c8e11af4354

3 years agoApply Coding Style 16/241516/1
review-bot [Tue, 9 Jun 2020 00:07:23 +0000 (09:07 +0900)]
Apply Coding Style

Change-Id: I8c3c260f75eeabfc0b6a1c9653e3b0ebf2eb7ccf

3 years agoDSDisplayArea: add initial code for DSDisplayArea 15/241515/1
SooChan Lim [Mon, 8 Jun 2020 10:09:56 +0000 (19:09 +0900)]
DSDisplayArea: add initial code for DSDisplayArea

add initial DSDisplayArea class and testcase for it.

Change-Id: Ibf4d30c86c73e7cd06fd21eb2356d89e9ad10fe2

3 years agoDSPolicyArea: add initial code for DSPolicyArea 14/241514/1
SooChan Lim [Mon, 8 Jun 2020 10:01:37 +0000 (19:01 +0900)]
DSPolicyArea: add initial code for DSPolicyArea

add initial DSPolicyArea class and testcase for it.

Change-Id: I124cc78a4c84292cc9383549f07bdf4cd30384d9

3 years agoDSSeat: add initial code for DSSeat 13/241513/1
SooChan Lim [Mon, 8 Jun 2020 09:51:02 +0000 (18:51 +0900)]
DSSeat: add initial code for DSSeat

add initial DSSeat class and testcase for it.

Change-Id: Iaf71bad6c99506b85689259f94af6aaed70136a2

3 years agoDSCanvas: add initial code for DSCanvas 12/241512/1
SooChan Lim [Mon, 8 Jun 2020 09:40:16 +0000 (18:40 +0900)]
DSCanvas: add initial code for DSCanvas

add initial DSCanvas class and testcase for it.

Change-Id: Id99ad0553f0541190554b8b32d0d9fb8d79ed784

3 years agoDSInput: add initial code for DSInput 11/241511/1
SooChan Lim [Mon, 8 Jun 2020 09:35:19 +0000 (18:35 +0900)]
DSInput: add initial code for DSInput

add initial DSInput class and testcase for it.

Change-Id: I6728348ef242271385542fd301f101115761379b

3 years agoDSOutput: add initial code for DSOutput 10/241510/1
SooChan Lim [Mon, 8 Jun 2020 08:56:19 +0000 (17:56 +0900)]
DSOutput: add initial code for DSOutput

add initial DSOutput class and testcase for it.

Change-Id: I75535db1f723d299d66334c91dfe179aaf5db969

3 years agoDSCompositor: add initial code for DSCompositor 09/241509/1
SooChan Lim [Mon, 8 Jun 2020 08:39:50 +0000 (17:39 +0900)]
DSCompositor: add initial code for DSCompositor

create DSCompsoitor class and create the testcase file.

Change-Id: I2eb0ce1047bfc78bf25b936c196d1bf57baab393

3 years agoexample : add mycompositor example. 08/241508/1
SooChan Lim [Fri, 5 Jun 2020 07:22:34 +0000 (16:22 +0900)]
example : add mycompositor example.

This is a compositor example to be implemented with the public api of libds.
This is a fist sample example for TDD. This example may be changed through
the development of the libds.
I think that this example is the fist step to implememnt the public api of
libds. I hope that we can communitate with this sample at fist.

Change-Id: Id556da71ad7fc32af2129fcb8a0a8369ddc48bfc

3 years agomake the abstract factory pattern for DSRender (6/6) 07/241507/1
SooChan Lim [Tue, 31 Mar 2020 06:52:25 +0000 (15:52 +0900)]
make the abstract factory pattern for DSRender (6/6)

add the DSRenderFactoryDali-test file

=========================================================================

make the initial code of the abstract factory pattern for the DSRender

At this time, there are two concrete factories.
- DSRenderFactoryEcoreEvas
- DSRenderFactoryDali

Each concrete factory creates three resouces below.
- DSRenderEngine
- DSRenderSurface
- DSRenderView

Change-Id: I1edf21989b0a14a363ce0cd9faed5a8052d350ff

3 years agomake the abstract factory pattern for DSRender (5/6) 06/241506/1
SooChan Lim [Tue, 31 Mar 2020 06:45:43 +0000 (15:45 +0900)]
make the abstract factory pattern for DSRender (5/6)

add the DSRenderFactoryEcoreEvas-test file

=========================================================================

make the initial code of the abstract factory pattern for the DSRender

At this time, there are two concrete factories.
- DSRenderFactoryEcoreEvas
- DSRenderFactoryDali

Each concrete factory creates three resouces below.
- DSRenderEngine
- DSRenderSurface
- DSRenderView

Change-Id: Iafc1563c4c5605dc06538f39f2f6715357881e79

3 years agomake the abstract factory pattern for DSRender (4/6) 05/241505/1
SooChan Lim [Tue, 31 Mar 2020 06:41:25 +0000 (15:41 +0900)]
make the abstract factory pattern for DSRender (4/6)

add the DSRenderFactory class and its concrete classes.

=========================================================================

make the initial code of the abstract factory pattern for the DSRender

At this time, there are two concrete factories.
- DSRenderFactoryEcoreEvas
- DSRenderFactoryDali

Each concrete factory creates three resouces below.
- DSRenderEngine
- DSRenderSurface
- DSRenderView

Change-Id: Ia0c3bf3ffac66c3b649a37c4a9d490c46e3c639c

3 years agomake the abstract factory pattern for DSRender (3/6) 04/241504/1
SooChan Lim [Tue, 31 Mar 2020 06:39:18 +0000 (15:39 +0900)]
make the abstract factory pattern for DSRender (3/6)

add the DSRenderView class and its concrete classes.

=========================================================================

make the initial code of the abstract factory pattern for the DSRender

At this time, there are two concrete factories.
- DSRenderFactoryEcoreEvas
- DSRenderFactoryDali.

Each concrete factory creates three resouces below.
- DSRenderEngine
- DSRenderSurface
- DSRenderView

Change-Id: I3d3b03ee7d1881c37a06e55d81811aff6d8f8396

3 years agomake the abstract factory pattern for DSRender (2/6) 03/241503/1
SooChan Lim [Tue, 31 Mar 2020 06:36:56 +0000 (15:36 +0900)]
make the abstract factory pattern for DSRender (2/6)

add the DSRenderSurface class and its concrete classes.

=========================================================================

make the initial code of the abstract factory pattern for the DSRender

At this time, there are two concrete factories.
- DSRenderFactoryEcoreEvas
- DSRenderFactoryDali.

Each concrete factory creates three resouces below.
- DSRenderEngine
- DSRenderSurface
- DSRenderView

Change-Id: I1bee5f1e83e5b64de4bede2e7bd1db310f7959c1

3 years agomake the abstract factory pattern for DSRender (1/6) 02/241502/1
SooChan Lim [Tue, 31 Mar 2020 06:24:43 +0000 (15:24 +0900)]
make the abstract factory pattern for DSRender (1/6)

add the DSRenderEngine class and its concrete classes.

=========================================================================

make the initial code of the abstract factory pattern for the DSRender

At this time, there are two concrete factories.
- DSRenderFactoryEcoreEvas
- DSRenderFactoryDali.

Each concrete factory creates three resouces below.
- DSRenderEngine
- DSRenderSurface
- DSRenderView

Change-Id: If23dac59c7c29d3cca6e1bcafce0863ef1c897cf

3 years agoremove the DSRender files. 01/241501/1
SooChan Lim [Tue, 31 Mar 2020 06:20:03 +0000 (15:20 +0900)]
remove the DSRender files.

Change-Id: Ib2fa67207cc98c5d6a947e4e6deca971ba3da132

3 years ago"[Reform] Apply Coding Style" 00/241500/1
review-bot [Wed, 1 Apr 2020 04:04:55 +0000 (13:04 +0900)]
"[Reform] Apply Coding Style"

Change-Id: Idacfb5f95b19ee518626ecca09804143d3f01eca

3 years agotests: fix RESOURCE_LEAK issues 99/241499/1
Sung-Jin Park [Tue, 31 Mar 2020 04:00:18 +0000 (13:00 +0900)]
tests: fix RESOURCE_LEAK issues

Change-Id: I23224b84042a2816158c550d0a9b2efe205043d6
Signed-off-by: Sung-Jin Park <sj76.park@samsung.com>
3 years agochange the package name from test-server to libds 98/241498/1
SooChan Lim [Tue, 31 Mar 2020 03:30:55 +0000 (12:30 +0900)]
change the package name from test-server to libds

Change-Id: Ic6b7a32bda95a653285d1a22223ad77d978d2d5c

3 years agoCODEOWNERS: define code-owners to add the owners as reviewers on PR creation 97/241497/1
Sung-Jin Park [Mon, 16 Mar 2020 05:08:20 +0000 (14:08 +0900)]
CODEOWNERS: define code-owners to add the owners as reviewers on PR creation

Change-Id: I6b20eac649c05d85849d6af8bc3bab2e159e873a
Signed-off-by: Sung-Jin Park <sj76.park@samsung.com>
3 years agoUpdate README.md 96/241496/1
김준경/Tizen Platform Lab(SR)/Staff Engineer/삼성전자 [Tue, 25 Feb 2020 11:08:41 +0000 (20:08 +0900)]
Update README.md

add more info

Change-Id: Ifa3f58b44bac84ab9ce20588d9f1b2ba1bea4c98

3 years agoadd initial code for DSRenderer 95/241495/1
SooChan Lim [Thu, 5 Mar 2020 02:00:42 +0000 (11:00 +0900)]
add initial code for DSRenderer

Change-Id: Ibeebcd717e032af95638a1d0841e66ca22a1ab65

3 years agoadd initial code for DSBufferQueue 94/241494/1
SooChan Lim [Thu, 5 Mar 2020 01:56:38 +0000 (10:56 +0900)]
add initial code for DSBufferQueue

Change-Id: I088b0523fae5c28ebb8e9398f63820bbb986f9d6

3 years agoSeparate private cpp 93/241493/1
MinJeong Kim [Thu, 5 Mar 2020 06:45:19 +0000 (15:45 +0900)]
Separate private cpp

Change-Id: I4497e1d1ad52637605a8bfbc5c1dd454fa112218
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoexample: add new example for DSObject pimpl and property 92/241492/1
MinJeong Kim [Thu, 5 Mar 2020 05:15:39 +0000 (14:15 +0900)]
example: add new example for DSObject pimpl and property

Change-Id: I68d3ae9869ac6df51b70f5a735d3fec5a8ca4454
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoDSObject: update pimpl 91/241491/1
MinJeong Kim [Thu, 5 Mar 2020 05:14:11 +0000 (14:14 +0900)]
DSObject: update pimpl

- removed constructor with name(string)
- clean data at destructor
- added operator= for move assignment
- create __d_ptr for DSObjectPrivate using std::make_unique

Change-Id: I006eeb34ce03fee97ea8e804654f6a355120d780
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoDSObject: formatting and changing variable name with coding rule 90/241490/1
MinJeong Kim [Fri, 28 Feb 2020 00:15:07 +0000 (09:15 +0900)]
DSObject: formatting and changing variable name with coding rule

Change-Id: I9e3c521e57c66506dfbef3fed8a0fec1fac71a42
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoDSProperty/DSProperty: use pimpl idiom 89/241489/1
MinJeong Kim [Thu, 27 Feb 2020 08:31:56 +0000 (17:31 +0900)]
DSProperty/DSProperty: use pimpl idiom

Connect DSProperty and DSPropertyPrivate using std::unique_ptr

Change-Id: Id0b317af9cb71dd89557e2c10ac67cec575231bc
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agochange the ground rule for code review. 88/241488/1
SooChan Lim [Tue, 25 Feb 2020 09:36:06 +0000 (18:36 +0900)]
change the ground rule for code review.

Change-Id: If512271c38f9262c610b1fe6d98421e7cd15d6b5

3 years agoDSProperty: property system prototype added with testcase 87/241487/1
MinJeong Kim [Tue, 25 Feb 2020 06:50:09 +0000 (15:50 +0900)]
DSProperty: property system prototype added with testcase

Change-Id: I8d74c9bd93644f4529ae5a0fb4215eb0e6c67b59
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoupdate the Ground Rule 86/241486/1
SooChan Lim [Mon, 24 Feb 2020 07:21:19 +0000 (16:21 +0900)]
update the Ground Rule

Change-Id: I726291b58791edf9771878737ac03ce13d4d617e

3 years agoadd Ground rule for development. 85/241485/1
SooChan Lim [Fri, 21 Feb 2020 07:32:04 +0000 (16:32 +0900)]
add Ground rule for development.

- change README into REAME.md
- add ground rule

Change-Id: I6d2cb2450098f608b70c3f207899c5ab2063ae6a

3 years agoadd .gitignore 84/241484/1
SooChan Lim [Fri, 21 Feb 2020 04:04:51 +0000 (13:04 +0900)]
add .gitignore

Change-Id: Icb5f6a95d3219d516a11be0f6de7ae294d88026a

3 years agoUpdate README.md 83/241483/1
임수찬/Tizen Platform Lab(SR)/Staff Engineer/삼성전자 [Fri, 21 Feb 2020 04:18:53 +0000 (13:18 +0900)]
Update README.md

Change-Id: I01bb95691730ed3a19b7d399524ff1c9bf1c143d

3 years agoadd directories for initial development. 82/241482/1
SooChan Lim [Fri, 21 Feb 2020 04:15:23 +0000 (13:15 +0900)]
add directories for initial development.

Change-Id: Ic70ee670ee77c04841a295919c644989705048b3

3 years agoadd the basic test for DSRefBase 81/241481/1
SooChan Lim [Fri, 21 Feb 2020 03:49:41 +0000 (12:49 +0900)]
add the basic test for DSRefBase

Change-Id: I7f8c2c17255041afb0e585c96974979839901438

3 years agomake the libds-unittests package 80/241480/1
SooChan Lim [Fri, 21 Feb 2020 03:47:57 +0000 (12:47 +0900)]
make the libds-unittests package

This package contains the unittests of libds using gmock(gtest).

Change-Id: I17afe9fb5cf5eb8d8acb74345854dddd07664031

3 years agoannounce required c++ standard version(c++17) 79/241479/1
MinJeong Kim [Thu, 20 Feb 2020 08:35:58 +0000 (17:35 +0900)]
announce required c++ standard version(c++17)

Change-Id: I47040b8b02530bfda5006dfd64b7106005efaf68
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agosample1: change header's position to absolutely 78/241478/1
jeon [Thu, 20 Feb 2020 11:12:44 +0000 (20:12 +0900)]
sample1: change header's position to absolutely

Change-Id: I57c37d6bac118a853340cc736952a9dbc8dc202d

3 years agopackaging: version up to 0.0.1 77/241477/1
jeon [Thu, 20 Feb 2020 10:53:13 +0000 (19:53 +0900)]
packaging: version up to 0.0.1

Change-Id: Ibd319dd077327fde53e1041af58a4c077aa99240

3 years agofollow c++ coding convention 76/241476/1
MinJeong Kim [Wed, 19 Feb 2020 11:02:13 +0000 (20:02 +0900)]
follow c++ coding convention

Change-Id: I0e4d8094a351ed3df2abc26136ac3c85b7a56d6c
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoUse prefix to 'DS' 75/241475/1
MinJeong Kim [Tue, 18 Feb 2020 08:04:25 +0000 (17:04 +0900)]
Use prefix to 'DS'

Change-Id: Ib78ae073b7e6782c56a3b182c44f80e603b01b8a
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoremove return type of slot callback 74/241474/1
MinJeong Kim [Mon, 10 Feb 2020 10:40:39 +0000 (19:40 +0900)]
remove return type of slot callback

Change-Id: If79805f1a4e88e61efe92574a3e5b0849ef738fd
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoimplement signal-slot system with return value 73/241473/1
MinJeong Kim [Mon, 10 Feb 2020 08:27:45 +0000 (17:27 +0900)]
implement signal-slot system with return value

Change-Id: I00b31fd43eaa56a30ff706101059e093a5cf72aa
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agowobject: move files to core dir 72/241472/1
MinJeong Kim [Mon, 20 Jan 2020 07:54:17 +0000 (16:54 +0900)]
wobject: move files to core dir

Change-Id: I2e463177c38efde9d97ad4f866ee4ef944dea83b
Signed-off-by: MinJeong Kim <minjjj.kim@samsung.com>
3 years agoMake empty files for wobject 71/241471/1
MinJeong Kim [Mon, 20 Jan 2020 07:51:23 +0000 (16:51 +0900)]
Make empty files for wobject

Change-Id: Ia7328412edd3753b6373636763934add5b48f3c2

3 years agofirst commit
Sung-Jin Park [Thu, 20 Aug 2020 09:11:37 +0000 (18:11 +0900)]
first commit

Change-Id: Iad080728bbb7121d7a5e3b346b5bcc2526c972b3

3 years agoInitial empty repository master
Tizen Infrastructure [Wed, 19 Aug 2020 08:08:03 +0000 (08:08 +0000)]
Initial empty repository