platform/upstream/llvm.git
11 years agoFix crash when connecting to gdbserver without loading a file first.
Ed Maste [Tue, 20 Aug 2013 09:17:13 +0000 (09:17 +0000)]
Fix crash when connecting to gdbserver without loading a file first.

Patch from Abid, Hafiz.

llvm-svn: 188776

11 years agoFix test typo and add usual "br %r14" test
Richard Sandiford [Tue, 20 Aug 2013 09:14:46 +0000 (09:14 +0000)]
Fix test typo and add usual "br %r14" test

llvm-svn: 188775

11 years agoFix overly pessimistic shortcut in post-RA MachineLICM
Richard Sandiford [Tue, 20 Aug 2013 09:11:13 +0000 (09:11 +0000)]
Fix overly pessimistic shortcut in post-RA MachineLICM

Post-RA LICM keeps three sets of registers: PhysRegDefs, PhysRegClobbers
and TermRegs.  When it sees a definition of R it adds all aliases of R
to the corresponding set, so that when it needs to test for membership
it only needs to test a single register, rather than worrying about
aliases there too.  E.g. the final candidate loop just has:

    unsigned Def = Candidates[i].Def;
    if (!PhysRegClobbers.test(Def) && ...) {

to test whether register Def is multiply defined.

However, there was also a shortcut in ProcessMI to make sure we didn't
add candidates if we already knew that they would fail the final test.
This shortcut was more pessimistic than the final one because it
checked whether _any alias_ of the defined register was multiply defined.
This is too conservative for targets that define register pairs.
E.g. on z, R0 and R1 are sometimes used as a pair, so there is a
128-bit register that aliases both R0 and R1.  If a loop used
R0 and R1 independently, and the definition of R0 came first,
we would be able to hoist the R0 assignment (because that used
the final test quoted above) but not the R1 assignment (because
that meant we had two definitions of the paired R0/R1 register
and would fail the shortcut in ProcessMI).

This patch just uses the same check for the ProcessMI shortcut as
we use in the final candidate loop.

llvm-svn: 188774

11 years agoARM: implement some simple f64 materializations.
Tim Northover [Tue, 20 Aug 2013 08:57:11 +0000 (08:57 +0000)]
ARM: implement some simple f64 materializations.

Previously we used a const-pool load for virtually all 64-bit floating values.
Actually, we can get quite a few common values (including 0.0, 1.0) via "vmov"
instructions of one stripe or another.

llvm-svn: 188773

11 years ago[stackprotector] Small cleanup.
Michael Gottesman [Tue, 20 Aug 2013 08:56:28 +0000 (08:56 +0000)]
[stackprotector] Small cleanup.

llvm-svn: 188772

11 years ago[stackprotector] Small Bit of computation hoisting.
Michael Gottesman [Tue, 20 Aug 2013 08:56:26 +0000 (08:56 +0000)]
[stackprotector] Small Bit of computation hoisting.

llvm-svn: 188771

11 years ago[stackprotector] Added significantly longer comment to FindPotentialTailCall to make...
Michael Gottesman [Tue, 20 Aug 2013 08:56:23 +0000 (08:56 +0000)]
[stackprotector] Added significantly longer comment to FindPotentialTailCall to make clear its relationship to llvm::isInTailCallPosition.

llvm-svn: 188770

11 years agoRemoved trailing whitespace.
Michael Gottesman [Tue, 20 Aug 2013 08:46:16 +0000 (08:46 +0000)]
Removed trailing whitespace.

llvm-svn: 188769

11 years ago[stackprotector] Removed stale TODO.
Michael Gottesman [Tue, 20 Aug 2013 08:46:13 +0000 (08:46 +0000)]
[stackprotector] Removed stale TODO.

llvm-svn: 188768

11 years ago[mips][msa] Added and.v, bmnz.v, bmz.v, bsel.v, nor.v, or.v, xor.v
Daniel Sanders [Tue, 20 Aug 2013 08:38:21 +0000 (08:38 +0000)]
[mips][msa] Added and.v, bmnz.v, bmz.v, bsel.v, nor.v, or.v, xor.v

llvm-svn: 188767

11 years ago[stackprotector] Added support for emitting the llvm intrinsic stack protector check.
Michael Gottesman [Tue, 20 Aug 2013 08:36:53 +0000 (08:36 +0000)]
[stackprotector] Added support for emitting the llvm intrinsic stack protector check.

rdar://13935163

llvm-svn: 188766

11 years ago[stackprotector] Refactor out the end of isInTailCallPosition into the function retur...
Michael Gottesman [Tue, 20 Aug 2013 08:36:50 +0000 (08:36 +0000)]
[stackprotector] Refactor out the end of isInTailCallPosition into the function returnTypeIsEligibleForTailCall.

This allows me to use returnTypeIsEligibleForTailCall in the stack protector pass.

rdar://13935163

llvm-svn: 188765

11 years agoRename __AVX512__ to __AVX512F__
Craig Topper [Tue, 20 Aug 2013 07:52:37 +0000 (07:52 +0000)]
Rename __AVX512__ to __AVX512F__

llvm-svn: 188764

11 years ago[autotools->cmake] Added support for building clang with an order file.
Michael Gottesman [Tue, 20 Aug 2013 07:41:18 +0000 (07:41 +0000)]
[autotools->cmake] Added support for building clang with an order file.

llvm-svn: 188763

11 years agoChange 'avx512' to 'avx-512' to match llvm backend.
Craig Topper [Tue, 20 Aug 2013 07:39:54 +0000 (07:39 +0000)]
Change 'avx512' to 'avx-512' to match llvm backend.

llvm-svn: 188762

11 years agoRemove unused variables that crept in.
Michael Gottesman [Tue, 20 Aug 2013 07:17:27 +0000 (07:17 +0000)]
Remove unused variables that crept in.

llvm-svn: 188761

11 years ago[autotools->cmake] Move add_subdirectory(test) inside CLANG_INCLUDE_TESTS to match...
Michael Gottesman [Tue, 20 Aug 2013 07:09:54 +0000 (07:09 +0000)]
[autotools->cmake] Move add_subdirectory(test) inside CLANG_INCLUDE_TESTS to match the behavior of the LLVM where LLVM_INCLUDE_TESTS controls whether tests is included.

llvm-svn: 188760

11 years ago[autotools->cmake] Added support for creating the clang driver plist for OS X.
Michael Gottesman [Tue, 20 Aug 2013 07:09:51 +0000 (07:09 +0000)]
[autotools->cmake] Added support for creating the clang driver plist for OS X.

llvm-svn: 188759

11 years agoAdd AVX-512 feature flag and knl cpu to clang.
Craig Topper [Tue, 20 Aug 2013 07:09:39 +0000 (07:09 +0000)]
Add AVX-512 feature flag and knl cpu to clang.

llvm-svn: 188758

11 years agoRevert r188756 because some other changes snuck in with it.
Craig Topper [Tue, 20 Aug 2013 07:07:29 +0000 (07:07 +0000)]
Revert r188756 because some other changes snuck in with it.

llvm-svn: 188757

11 years agoAdd AVX-512 feature flag and knl cpu to clang.
Craig Topper [Tue, 20 Aug 2013 07:05:05 +0000 (07:05 +0000)]
Add AVX-512 feature flag and knl cpu to clang.

llvm-svn: 188756

11 years agoTeach selectiondag how to handle the stackprotectorcheck intrinsic.
Michael Gottesman [Tue, 20 Aug 2013 07:00:16 +0000 (07:00 +0000)]
Teach selectiondag how to handle the stackprotectorcheck intrinsic.

Previously, generation of stack protectors was done exclusively in the
pre-SelectionDAG Codegen LLVM IR Pass "Stack Protector". This necessitated
splitting basic blocks at the IR level to create the success/failure basic
blocks in the tail of the basic block in question. As a result of this,
calls that would have qualified for the sibling call optimization were no
longer eligible for optimization since said calls were no longer right in
the "tail position" (i.e. the immediate predecessor of a ReturnInst
instruction).

Then it was noticed that since the sibling call optimization causes the
callee to reuse the caller's stack, if we could delay the generation of
the stack protector check until later in CodeGen after the sibling call
decision was made, we get both the tail call optimization and the stack
protector check!

A few goals in solving this problem were:

  1. Preserve the architecture independence of stack protector generation.

  2. Preserve the normal IR level stack protector check for platforms like
     OpenBSD for which we support platform specific stack protector
     generation.

The main problem that guided the present solution is that one can not
solve this problem in an architecture independent manner at the IR level
only. This is because:

  1. The decision on whether or not to perform a sibling call on certain
     platforms (for instance i386) requires lower level information
     related to available registers that can not be known at the IR level.

  2. Even if the previous point were not true, the decision on whether to
     perform a tail call is done in LowerCallTo in SelectionDAG which
     occurs after the Stack Protector Pass. As a result, one would need to
     put the relevant callinst into the stack protector check success
     basic block (where the return inst is placed) and then move it back
     later at SelectionDAG/MI time before the stack protector check if the
     tail call optimization failed. The MI level option was nixed
     immediately since it would require platform specific pattern
     matching. The SelectionDAG level option was nixed because
     SelectionDAG only processes one IR level basic block at a time
     implying one could not create a DAG Combine to move the callinst.

To get around this problem a few things were realized:

  1. While one can not handle multiple IR level basic blocks at the
     SelectionDAG Level, one can generate multiple machine basic blocks
     for one IR level basic block. This is how we handle bit tests and
     switches.

  2. At the MI level, tail calls are represented via a special return
     MIInst called "tcreturn". Thus if we know the basic block in which we
     wish to insert the stack protector check, we get the correct behavior
     by always inserting the stack protector check right before the return
     statement. This is a "magical transformation" since no matter where
     the stack protector check intrinsic is, we always insert the stack
     protector check code at the end of the BB.

Given the aforementioned constraints, the following solution was devised:

  1. On platforms that do not support SelectionDAG stack protector check
     generation, allow for the normal IR level stack protector check
     generation to continue.

  2. On platforms that do support SelectionDAG stack protector check
     generation:

    a. Use the IR level stack protector pass to decide if a stack
       protector is required/which BB we insert the stack protector check
       in by reusing the logic already therein. If we wish to generate a
       stack protector check in a basic block, we place a special IR
       intrinsic called llvm.stackprotectorcheck right before the BB's
       returninst or if there is a callinst that could potentially be
       sibling call optimized, before the call inst.

    b. Then when a BB with said intrinsic is processed, we codegen the BB
       normally via SelectBasicBlock. In said process, when we visit the
       stack protector check, we do not actually emit anything into the
       BB. Instead, we just initialize the stack protector descriptor
       class (which involves stashing information/creating the success
       mbbb and the failure mbb if we have not created one for this
       function yet) and export the guard variable that we are going to
       compare.

    c. After we finish selecting the basic block, in FinishBasicBlock if
       the StackProtectorDescriptor attached to the SelectionDAGBuilder is
       initialized, we first find a splice point in the parent basic block
       before the terminator and then splice the terminator of said basic
       block into the success basic block. Then we code-gen a new tail for
       the parent basic block consisting of the two loads, the comparison,
       and finally two branches to the success/failure basic blocks. We
       conclude by code-gening the failure basic block if we have not
       code-gened it already (all stack protector checks we generate in
       the same function, use the same failure basic block).

llvm-svn: 188755

11 years agoFix formatting. No functional change.
Craig Topper [Tue, 20 Aug 2013 05:23:59 +0000 (05:23 +0000)]
Fix formatting. No functional change.

llvm-svn: 188746

11 years agoAdd AVX-512 and related features to the CPUID detection code.
Craig Topper [Tue, 20 Aug 2013 05:22:42 +0000 (05:22 +0000)]
Add AVX-512 and related features to the CPUID detection code.

llvm-svn: 188745

11 years agoMove AVX and non-AVX replication inside a couple multiclasses to avoid repeating...
Craig Topper [Tue, 20 Aug 2013 04:24:14 +0000 (04:24 +0000)]
Move AVX and non-AVX replication inside a couple multiclasses to avoid repeating each instruction for both individually.

llvm-svn: 188743

11 years agoAdd an error check for a typo I accidentally made in a td file that caused an assert...
Craig Topper [Tue, 20 Aug 2013 04:22:09 +0000 (04:22 +0000)]
Add an error check for a typo I accidentally made in a td file that caused an assert to fire.

llvm-svn: 188742

11 years ago[PowerPC] More refactoring prior to real PPC emitPrologue/Epilogue changes.
Bill Schmidt [Tue, 20 Aug 2013 03:12:23 +0000 (03:12 +0000)]
[PowerPC] More refactoring prior to real PPC emitPrologue/Epilogue changes.

(Patch committed on behalf of Mark Minich, whose log entry follows.)

This is a continuation of the refactorings performed in svn rev 188573
(see that rev's comments for more detail).

This is my stage 2 refactoring: I combined the emitPrologue() &
emitEpilogue() PPC32 & PPC64 code into a single flow, simplifying a
lot of the code since in essence the PPC32 & PPC64 code generation
logic is the same, only the instruction forms are different (in most
cases). This simplification is necessary because my functional changes
(yet to come) add significant complexity, and without the
simplification of my stage 2 refactoring, the overall complexity of
both emitPrologue() & emitEpilogue() would have become almost
intractable for most mortal programmers (like me).

This submission was intended to be a pure refactoring (no functional
changes whatsoever). However, in the process of combining the PPC32 &
PPC64 flows, I spotted a difference that I believe is a bug (see svn
rev 186478 line 863, or svn rev 188573 line 888): This line appears to
be restoring the BP with the original FP content, not the original BP
content. When I merged the 32-bit and 64-bit code, I used the
corresponding code from the 64-bit flow, which I believe uses the
correct offset (BPOffset) for this operation.

llvm-svn: 188741

11 years agoMarking MCJIT PIC tests as XFAIL on AArch64
Andrew Kaylor [Tue, 20 Aug 2013 01:50:50 +0000 (01:50 +0000)]
Marking MCJIT PIC tests as XFAIL on AArch64

llvm-svn: 188740

11 years agoRevert "Revert "Revert "Revert "DebugInfo: Omit debug info for dynamic classes in...
David Blaikie [Tue, 20 Aug 2013 01:28:15 +0000 (01:28 +0000)]
Revert "Revert "Revert "Revert "DebugInfo: Omit debug info for dynamic classes in TUs that do not have the vtable for that class""""

This reverts commit r188687 (reverts r188642 (reverts 188600 (reverts
188576))).

With added test coverage & fix for -gline-tables-only.

Thanks Michael Gottesman for reverting this patch when it demonstrated
problems & providing a reproduction/details to help me track this down.

llvm-svn: 188739

11 years ago[Sparc] Use HWEncoding instead of unused Num field in Sparc register definitions...
Venkatraman Govindaraju [Tue, 20 Aug 2013 01:26:14 +0000 (01:26 +0000)]
[Sparc] Use HWEncoding instead of unused Num field in Sparc register definitions. Also, correct the definitions of RETL and RET instructions.

llvm-svn: 188738

11 years agoFix name lookup with dependent using decls.
Eli Friedman [Tue, 20 Aug 2013 00:39:40 +0000 (00:39 +0000)]
Fix name lookup with dependent using decls.

We previously mishandled UnresolvedUsingValueDecls in
NamedDecl::declarationReplaces, which caused us to forget decls
when there are multiple dependent using decls for the same name.

Fixes PR16936.

llvm-svn: 188737

11 years agoFixing XPASSes among MCJIT PIC test on i686
Andrew Kaylor [Tue, 20 Aug 2013 00:37:33 +0000 (00:37 +0000)]
Fixing XPASSes among MCJIT PIC test on i686

llvm-svn: 188736

11 years agoSecond attempt to mark Large/PIC MCJIT test as XFAIL for PowerPC64
Andrew Kaylor [Tue, 20 Aug 2013 00:22:03 +0000 (00:22 +0000)]
Second attempt to mark Large/PIC MCJIT test as XFAIL for PowerPC64

llvm-svn: 188735

11 years agoMarking two MCJIT PIC tests as XFAIL on Darwin
Andrew Kaylor [Tue, 20 Aug 2013 00:14:50 +0000 (00:14 +0000)]
Marking two MCJIT PIC tests as XFAIL on Darwin

llvm-svn: 188734

11 years agoObjectiveC migrator: More work towards
Fariborz Jahanian [Tue, 20 Aug 2013 00:07:23 +0000 (00:07 +0000)]
ObjectiveC migrator: More work towards
insertion of ObjC audit pragmas.

llvm-svn: 188733

11 years agoclang-cl: Ignore the /wd n flag for disabling a warning
Reid Kleckner [Mon, 19 Aug 2013 23:57:44 +0000 (23:57 +0000)]
clang-cl: Ignore the /wd n flag for disabling a warning

Clang doesn't have a table mapping cl.exe to clang warnings.  While some
warnings like -Wsign-compare exist in both compilers, the majority do
not correspond and should usually be ignored.

llvm-svn: 188732

11 years ago[analyzer] Note that deadcode.UnmodifiedVariable would be an opt-in checker.
Jordan Rose [Mon, 19 Aug 2013 23:54:35 +0000 (23:54 +0000)]
[analyzer] Note that deadcode.UnmodifiedVariable would be an opt-in checker.

Website-only change.

llvm-svn: 188731

11 years agoTrying again with PIC tests for MCJIT
Andrew Kaylor [Mon, 19 Aug 2013 23:52:53 +0000 (23:52 +0000)]
Trying again with PIC tests for MCJIT

llvm-svn: 188730

11 years agoclang-cl: Add /MP[n] to the list of unsupported and ignored flags
Reid Kleckner [Mon, 19 Aug 2013 23:52:36 +0000 (23:52 +0000)]
clang-cl: Add /MP[n] to the list of unsupported and ignored flags

This flag tells cl.exe to use up to n processes to compile the provided
source files.  I have no plans to implement this in clang.

llvm-svn: 188729

11 years agoAdd a llvm.copysign intrinsic
Hal Finkel [Mon, 19 Aug 2013 23:35:46 +0000 (23:35 +0000)]
Add a llvm.copysign intrinsic

This adds a llvm.copysign intrinsic; We already have Libfunc recognition for
copysign (which is turned into the FCOPYSIGN SDAG node). In order to
autovectorize calls to copysign in the loop vectorizer, we need a corresponding
intrinsic as well.

In addition to the expected changes to the language reference, the loop
vectorizer, BasicTTI, and the SDAG builder (the intrinsic is transformed into
an FCOPYSIGN node, just like the function call), this also adds FCOPYSIGN to a
few lists in LegalizeVector{Ops,Types} so that vector copysigns can be
expanded.

In TargetLoweringBase::initActions, I've made the default action for FCOPYSIGN
be Expand for vector types. This seems correct for all in-tree targets, and I
think is the right thing to do because, previously, there was no way to generate
vector-values FCOPYSIGN nodes (and most targets don't specify an action for
vector-typed FCOPYSIGN).

llvm-svn: 188728

11 years agoDon't form PPC CTR-based loops around a copysignl call
Hal Finkel [Mon, 19 Aug 2013 23:35:24 +0000 (23:35 +0000)]
Don't form PPC CTR-based loops around a copysignl call

copysign/copysignf never become function calls (because the SDAG expansion code
does not lower to the corresponding function call, but rather directly
implements the associated logic), but copysignl almost always is lowered into a
call to the requested libm functon (and, thus, might clobber CTR).

llvm-svn: 188727

11 years agoAdding PIC support for ELF on x86_64 platforms
Andrew Kaylor [Mon, 19 Aug 2013 23:27:43 +0000 (23:27 +0000)]
Adding PIC support for ELF on x86_64 platforms

llvm-svn: 188726

11 years agoIntroduce non-const overloads for GlobalAlias::{get,resolve}AliasedGlobal.
Peter Collingbourne [Mon, 19 Aug 2013 23:13:33 +0000 (23:13 +0000)]
Introduce non-const overloads for GlobalAlias::{get,resolve}AliasedGlobal.

llvm-svn: 188725

11 years agoFix last commit.
Juergen Ributzka [Mon, 19 Aug 2013 23:08:53 +0000 (23:08 +0000)]
Fix last commit.

llvm-svn: 188724

11 years agoUse pop_back_val() instead of both back() and pop_back().
Jakub Staszak [Mon, 19 Aug 2013 22:47:55 +0000 (22:47 +0000)]
Use pop_back_val() instead of both back() and pop_back().

llvm-svn: 188723

11 years agoSimplify code by using CreateMemTemp. No functional change intended.
Juergen Ributzka [Mon, 19 Aug 2013 22:20:37 +0000 (22:20 +0000)]
Simplify code by using CreateMemTemp. No functional change intended.

Reviewer: Eli
llvm-svn: 188722

11 years agoTeach InstCombine visitGetElementPtr about address spaces
Matt Arsenault [Mon, 19 Aug 2013 22:17:40 +0000 (22:17 +0000)]
Teach InstCombine visitGetElementPtr about address spaces

llvm-svn: 188721

11 years agoCleanup visitGetElementPtr to make address space change easier
Matt Arsenault [Mon, 19 Aug 2013 22:17:34 +0000 (22:17 +0000)]
Cleanup visitGetElementPtr to make address space change easier

llvm-svn: 188720

11 years agocommonPointerCast cleanups to make address space change easier
Matt Arsenault [Mon, 19 Aug 2013 22:17:18 +0000 (22:17 +0000)]
commonPointerCast cleanups to make address space change easier

llvm-svn: 188719

11 years agoHandle init lists and _Atomic fields.
Eli Friedman [Mon, 19 Aug 2013 22:12:56 +0000 (22:12 +0000)]
Handle init lists and _Atomic fields.

Fixes PR16931.

llvm-svn: 188718

11 years agoMake sure that pop_back_val() result is used.
Jakub Staszak [Mon, 19 Aug 2013 22:12:00 +0000 (22:12 +0000)]
Make sure that pop_back_val() result is used.

llvm-svn: 188717

11 years agoPR16727: don't try to evaluate a potentially value-dependent expression when
Richard Smith [Mon, 19 Aug 2013 22:06:05 +0000 (22:06 +0000)]
PR16727: don't try to evaluate a potentially value-dependent expression when
checking for missing parens in &&/|| expressions.

llvm-svn: 188716

11 years agoReverting r188709 until I can figure out the proper way to XFAIL it.
Andrew Kaylor [Mon, 19 Aug 2013 22:05:07 +0000 (22:05 +0000)]
Reverting r188709 until I can figure out the proper way to XFAIL it.

llvm-svn: 188715

11 years agoObjectiveC migrator: Start inserting
Fariborz Jahanian [Mon, 19 Aug 2013 22:00:50 +0000 (22:00 +0000)]
ObjectiveC migrator: Start inserting
CF_IMPLICIT_BRIDGING_ENABLE/CF_IMPLICIT_BRIDGING_DISABLED
pair. wip.

llvm-svn: 188714

11 years agoFix assert with GEP ptr vector indexing structs
Matt Arsenault [Mon, 19 Aug 2013 21:43:16 +0000 (21:43 +0000)]
Fix assert with GEP ptr vector indexing structs

Also fix it calculating the wrong value. The struct index
is not a ConstantInt, so it was being interpreted as an array
index.

llvm-svn: 188713

11 years agoG M: minor fix to silence warning in cmake version 2.8.11.20130809-gba97e.
Howard Hinnant [Mon, 19 Aug 2013 21:42:07 +0000 (21:42 +0000)]
G M: minor fix to silence warning in cmake version 2.8.11.20130809-gba97e.

llvm-svn: 188712

11 years agoUse less verbose code and update comments.
Eric Christopher [Mon, 19 Aug 2013 21:41:38 +0000 (21:41 +0000)]
Use less verbose code and update comments.

llvm-svn: 188711

11 years agoRevert non-test parts of r188507
Matt Arsenault [Mon, 19 Aug 2013 21:40:31 +0000 (21:40 +0000)]
Revert non-test parts of r188507

Re-add the inboundsless tests I didn't add originally

llvm-svn: 188710

11 years agoAdding tests for PIC with MCJIT
Andrew Kaylor [Mon, 19 Aug 2013 21:08:35 +0000 (21:08 +0000)]
Adding tests for PIC with MCJIT

llvm-svn: 188709

11 years agoTurn on pubnames by default on linux.
Eric Christopher [Mon, 19 Aug 2013 21:07:38 +0000 (21:07 +0000)]
Turn on pubnames by default on linux.

Until gdb supports the new accelerator tables we should add the
pubnames section so that gdb_index can be generated from gold
at link time. On darwin we already emit the accelerator tables
and so don't need to worry about pubnames.

llvm-svn: 188708

11 years agoPR16933: Don't try to codegen things after we've seen errors.
David Blaikie [Mon, 19 Aug 2013 21:02:26 +0000 (21:02 +0000)]
PR16933: Don't try to codegen things after we've seen errors.

Refactor the underlying code a bit to remove unnecessary calls to
"hasErrorOccurred" & make them consistently at all the entry points to
the IRGen ASTConsumer.

llvm-svn: 188707

11 years agoChange the sidebar to link directly to the C++ API docs and the Python API docs,
Jason Molenda [Mon, 19 Aug 2013 20:53:56 +0000 (20:53 +0000)]
Change the sidebar to link directly to the C++ API docs and the Python API docs,
instead of pointing to a page with two links on it.

llvm-svn: 188706

11 years agoconst'ify Sema::ActOnCompoundStmt by
Robert Wilhelm [Mon, 19 Aug 2013 20:51:20 +0000 (20:51 +0000)]
const'ify Sema::ActOnCompoundStmt by
changing Parameter of Sema::ActOnCompoundStmt from MutableArrayRef to
ArrayRef.
No functionality change intended.

llvm-svn: 188705

11 years agoMake the link to the Python API docs more explicit in the Python Reference page.
Jason Molenda [Mon, 19 Aug 2013 20:46:15 +0000 (20:46 +0000)]
Make the link to the Python API docs more explicit in the Python Reference page.

llvm-svn: 188704

11 years agoMake it explicit what "API Documentation" is documenting (the C++/Python SB APIs)
Jason Molenda [Mon, 19 Aug 2013 20:40:22 +0000 (20:40 +0000)]
Make it explicit what "API Documentation" is documenting (the C++/Python SB APIs)

llvm-svn: 188703

11 years agoSuppress an annoying CMake warning in ChooseMSVCCRT.cmake
Reid Kleckner [Mon, 19 Aug 2013 20:25:26 +0000 (20:25 +0000)]
Suppress an annoying CMake warning in ChooseMSVCCRT.cmake

Warning was:
  Argument not separated from preceding token by whitespace.

llvm-svn: 188701

11 years agoBump the value of the __APPLE_CC__ predefined macro up to 6000.
Bob Wilson [Mon, 19 Aug 2013 20:23:37 +0000 (20:23 +0000)]
Bump the value of the __APPLE_CC__ predefined macro up to 6000.

The previous value was set to match some ancient version of Apple's GCC.
The value should be higher than anything used by Apple's GCC, but we don't
intend for this value to be updated in the future. We have other macros to
identify compiler versions. <rdar://problem/14749599>

llvm-svn: 188700

11 years agoImprove the widening of integral binary vector operations
Paul Redmond [Mon, 19 Aug 2013 20:01:35 +0000 (20:01 +0000)]
Improve the widening of integral binary vector operations

- split WidenVecRes_Binary into WidenVecRes_Binary and WidenVecRes_BinaryCanTrap
  - WidenVecRes_BinaryCanTrap preserves the original behaviour for operations
    that can trap
  - WidenVecRes_Binary simply widens the operation and improves codegen for
    3-element vectors by allowing widening and promotion on x86 (matches the
    behaviour of unary and ternary operation widening)
- use WidenVecRes_Binary for operations on integers.

Reviewed by: nrotem

llvm-svn: 188699

11 years agoFixed DataExtractor to correctly display Intel extended doubles.
Richard Mitton [Mon, 19 Aug 2013 19:39:03 +0000 (19:39 +0000)]
Fixed DataExtractor to correctly display Intel extended doubles.

This means that "register read stmm0 --format f" actually works now.

This is a little messy but LLDB assumes 'long double' is portable, when it is not.

llvm-svn: 188698

11 years agoAdding comments to document RuntimeDyld relocation handling
Andrew Kaylor [Mon, 19 Aug 2013 19:38:06 +0000 (19:38 +0000)]
Adding comments to document RuntimeDyld relocation handling

llvm-svn: 188697

11 years agoObjectiveC migrator. Start auditing CF functions
Fariborz Jahanian [Mon, 19 Aug 2013 19:13:34 +0000 (19:13 +0000)]
ObjectiveC migrator. Start auditing CF functions
for possible use of CF_IMPLICIT_BRIDGING_ENABLE
pragma.

llvm-svn: 188691

11 years ago[mips] Fix instruction definitions that were incorrectly marked as code-gen-only.
Akira Hatanaka [Mon, 19 Aug 2013 19:08:03 +0000 (19:08 +0000)]
[mips] Fix instruction definitions that were incorrectly marked as code-gen-only.

llvm-svn: 188690

11 years agoAdd definition of __warn_unused_result__ attribute. It will be used in the
Jakub Staszak [Mon, 19 Aug 2013 19:02:33 +0000 (19:02 +0000)]
Add definition of __warn_unused_result__ attribute. It will be used in the
futher commits.

llvm-svn: 188689

11 years agoIntroduce SpecialCaseList::isIn overload for GlobalAliases.
Peter Collingbourne [Mon, 19 Aug 2013 19:00:35 +0000 (19:00 +0000)]
Introduce SpecialCaseList::isIn overload for GlobalAliases.

Differential Revision: http://llvm-reviews.chandlerc.com/D1437

llvm-svn: 188688

11 years agoRevert "Revert "Revert "DebugInfo: Omit debug info for dynamic classes in TUs that...
Michael Gottesman [Mon, 19 Aug 2013 18:46:16 +0000 (18:46 +0000)]
Revert "Revert "Revert "DebugInfo: Omit debug info for dynamic classes in TUs that do not have the vtable for that class"""

This reverts commit r188642.

This change is causing LTO builds to cause our 16 GB machines to swap and OOM
all weekend. I am going to work with Dave Blaikie to resolve the issue.

Sorry Dave =(.

llvm-svn: 188687

11 years agoSimplify assert-neutral matching in test case.
David Blaikie [Mon, 19 Aug 2013 18:35:25 +0000 (18:35 +0000)]
Simplify assert-neutral matching in test case.

Originally committed in r188651, improved/fixed to be assert-neutral by
Takumi in r188661.

llvm-svn: 188686

11 years agoclang-cl: Expose the -Xclang option
Hans Wennborg [Mon, 19 Aug 2013 18:32:59 +0000 (18:32 +0000)]
clang-cl: Expose the -Xclang option

llvm-svn: 188685

11 years agoAdd mul_hi implementation [v2]
Aaron Watry [Mon, 19 Aug 2013 18:31:49 +0000 (18:31 +0000)]
Add mul_hi implementation [v2]

Everything except long/ulong is handled by just casting to the next larger type,
doing the math and then shifting/casting the result.

For 64-bit types, we break the high/low parts of each operand apart, and do
a FOIL-based multiplication.

v2:
  Discard the stack-overflow implementation due to copyright concerns.
  - The implementation is still FOIL-based, but discards the previous code.

Reviewed-by: Tom Stellard <thomas.stellard@amd.com>
llvm-svn: 188684

11 years ago[analyzer] Add a triple to test/Analysis/cfg.cpp
Jordan Rose [Mon, 19 Aug 2013 17:46:55 +0000 (17:46 +0000)]
[analyzer] Add a triple to test/Analysis/cfg.cpp

llvm-svn: 188683

11 years ago[analyzer] Don't run unreachable code checker on inlined functions.
Jordan Rose [Mon, 19 Aug 2013 17:03:12 +0000 (17:03 +0000)]
[analyzer] Don't run unreachable code checker on inlined functions.

This is still an alpha checker, but we use it in certain tests to make sure
something is not being executed.

This should fix the buildbots.

llvm-svn: 188682

11 years ago[analyzer] Add "unmodified variable" checker to the Potential Checkers.
Jordan Rose [Mon, 19 Aug 2013 16:27:37 +0000 (16:27 +0000)]
[analyzer] Add "unmodified variable" checker to the Potential Checkers.

This is PR16890.

llvm-svn: 188681

11 years ago[analyzer] Assume that strings are no longer than SIZE_MAX/4.
Jordan Rose [Mon, 19 Aug 2013 16:27:34 +0000 (16:27 +0000)]
[analyzer] Assume that strings are no longer than SIZE_MAX/4.

This keeps the analyzer from making silly assumptions, like thinking
strlen(foo)+1 could wrap around to 0. This fixes PR16558.

Patch by Karthik Bhat!

llvm-svn: 188680

11 years agoOmit arguments of __builtin_object_size from the CFG.
Jordan Rose [Mon, 19 Aug 2013 16:27:28 +0000 (16:27 +0000)]
Omit arguments of __builtin_object_size from the CFG.

This builtin does not actually evaluate its arguments for side effects,
so we shouldn't include them in the CFG. In the analyzer, rely on the
constant expression evaluator to get the proper semantics, at least for
now. (In the future, we could get ambitious and try to provide path-
sensitive size values.)

In theory, this does pose a problem for liveness analysis: a variable can
be used within the __builtin_object_size argument expression but not show
up as live. However, it is very unlikely that such a value would be used
to compute the object size and not used to access the object in some way.

<rdar://problem/14760817>

llvm-svn: 188679

11 years agoUse cstdio instead of stdio.h
Dmitri Gribenko [Mon, 19 Aug 2013 16:14:33 +0000 (16:14 +0000)]
Use cstdio instead of stdio.h

llvm-svn: 188678

11 years ago[analyzer] Fix inefficiency in dead symbol removal
Pavel Labath [Mon, 19 Aug 2013 15:23:34 +0000 (15:23 +0000)]
[analyzer] Fix inefficiency in dead symbol removal

Summary:
ScanReachableSymbols uses a "visited" set to avoid scanning the same object
twice. However, it did not use the optimization for LazyCompoundVal objects,
which resulted in exponential complexity for long chains of temporary objects.
Adding this resulted in a decrease of analysis time from >3h to 3 seconds for
some files.

Reviewers: jordan_rose

CC: cfe-commits
Differential Revision: http://llvm-reviews.chandlerc.com/D1398

llvm-svn: 188677

11 years agoThumb2 add immediate alias for SP
Mihai Popa [Mon, 19 Aug 2013 15:02:25 +0000 (15:02 +0000)]
Thumb2 add immediate alias for SP

The Thumb2 add immediate is in fact defined for SP. The manual is misleading as it points to a different section for add immediate with SP, however the encoding is the same as for add immediate with register only with the SP operand hard coded. As such add immediate with SP and add immediate with register can safely be treated as the same instruction.

All the patch does is adjust a register constraint on an instruction alias.

llvm-svn: 188676

11 years agoRe-apply r188666
Alexey Samsonov [Mon, 19 Aug 2013 13:59:22 +0000 (13:59 +0000)]
Re-apply r188666

llvm-svn: 188675

11 years agoAdd dependencies on TransformUtils library in preparation for re-applying r188666
Alexey Samsonov [Mon, 19 Aug 2013 13:58:56 +0000 (13:58 +0000)]
Add dependencies on TransformUtils library in preparation for re-applying r188666

llvm-svn: 188674

11 years agoAVX-512: added arithmetic and logical operations.
Elena Demikhovsky [Mon, 19 Aug 2013 13:26:14 +0000 (13:26 +0000)]
AVX-512: added arithmetic and logical operations.
ADD, SUB, MUL integer and FP types. OR, AND, XOR.
Added embeded broadcast form for these instructions.

llvm-svn: 188673

11 years agoFix -Wcovered-switch-default warning from r188664
Alexey Samsonov [Mon, 19 Aug 2013 13:07:12 +0000 (13:07 +0000)]
Fix -Wcovered-switch-default warning from r188664

llvm-svn: 188672

11 years ago[SystemZ] Add negative integer absolute (load negative)
Richard Sandiford [Mon, 19 Aug 2013 12:56:58 +0000 (12:56 +0000)]
[SystemZ] Add negative integer absolute (load negative)

For now this matches the equivalent of (neg (abs ...)), which did hit a few
times in projects/test-suite.  We should probably also match cases where
absolute-like selects are used with reversed arguments.

llvm-svn: 188671

11 years ago[SystemZ] Add integer absolute (load positive)
Richard Sandiford [Mon, 19 Aug 2013 12:48:54 +0000 (12:48 +0000)]
[SystemZ] Add integer absolute (load positive)

llvm-svn: 188670

11 years ago[SystemZ] Add support for sibling calls
Richard Sandiford [Mon, 19 Aug 2013 12:42:31 +0000 (12:42 +0000)]
[SystemZ] Add support for sibling calls

This first cut is pretty conservative.  The final argument register (R6)
is call-saved, so we would need to make sure that the R6 argument to a
sibling call is the same as the R6 argument to the calling function,
which seems worth keeping as a separate patch.

Saying that integer truncations are free means that we no longer
use the extending instructions LGF and LLGF for spills in int-conv-09.ll
and int-conv-10.ll.  Instead we treat the registers as 64 bits wide and
truncate them to 32-bits where necessary.  I think it's unlikely we'd
use LGF and LLGF for spills in other situations for the same reason,
so I'm removing the tests rather than replacing them.  The associated
code is generic and applies to many more instructions than just
LGF and LLGF, so there is no corresponding code removal.

llvm-svn: 188669

11 years agoclang/Driver: [PR12920] Don't forward any -W arguments to gcc-as and gcc-ld.
NAKAMURA Takumi [Mon, 19 Aug 2013 11:51:51 +0000 (11:51 +0000)]
clang/Driver: [PR12920] Don't forward any -W arguments to gcc-as and gcc-ld.

AFAIK, there are no -W options for gcc-as and gcc-ld.
It caused failure to build clang with gcc-4.7 on cygwin.

FIXME: Could we recategorize Options for gcc-as and gcc-ld?
llvm-svn: 188668

11 years agoRevert r188666: it breaks the buildbots
Alexey Samsonov [Mon, 19 Aug 2013 11:42:54 +0000 (11:42 +0000)]
Revert r188666: it breaks the buildbots

llvm-svn: 188667

11 years agoFix PR16768: properly report malformed sanitizer blacklist file contents
Alexey Samsonov [Mon, 19 Aug 2013 10:41:30 +0000 (10:41 +0000)]
Fix PR16768: properly report malformed sanitizer blacklist file contents

llvm-svn: 188666

11 years agoclang-format: Fix return type line break decision.
Daniel Jasper [Mon, 19 Aug 2013 10:16:18 +0000 (10:16 +0000)]
clang-format: Fix return type line break decision.

This accidentally introduced by r186077, as function names were not
correctly recognized in templated declarations.

Before:
  template <class TemplateIt>
  SomeReturnType
  SomeFunction(TemplateIt begin, TemplateIt end, TemplateIt* stop) {}

After:
  template <class TemplateIt>
  SomeReturnType SomeFunction(TemplateIt begin, TemplateIt end,
                              TemplateIt* stop) {}

llvm-svn: 188665

11 years agoXCore target: Add target specific EmitVAArg
Robert Lytton [Mon, 19 Aug 2013 09:46:39 +0000 (09:46 +0000)]
XCore target: Add target specific EmitVAArg

This is so aggregates can be passed as var args too.

llvm-svn: 188664

11 years agoXCore target: correct test layout
Robert Lytton [Mon, 19 Aug 2013 09:46:32 +0000 (09:46 +0000)]
XCore target: correct test layout

llvm-svn: 188663

11 years agoExplicitly specify triple in driver test from r188660
Alexey Samsonov [Mon, 19 Aug 2013 09:45:19 +0000 (09:45 +0000)]
Explicitly specify triple in driver test from r188660

llvm-svn: 188662