put changelog information to packages
[platform/upstream/libzypp.git] / zypp / detail / PackageImplIf.h
2005-12-02 Jiri Srainput changelog information to packages
2005-11-25 Jiri Srainbackup - creating package resolvable
2005-11-24 Jiri Srainbackup of YUM*Impl skeletons
2005-11-24 Michael AndresPackageImplIf: added basic attributes to the interface.
2005-11-17 Michael AndresRefined Resolvables interfaces, implementatio interface...