From: Marek Szyprowski Date: Tue, 10 Apr 2012 04:10:32 +0000 (-0700) Subject: ARM: EXYNOS: fix regulator name for NURI board X-Git-Tag: upstream/snapshot3+hdmi~7683^2^2~3 X-Git-Url: http://review.tizen.org/git/?a=commitdiff_plain;h=f441f8a0a180827e3f7bd705aed9cffba62cebc2;p=platform%2Fadaptation%2Frenesas_rcar%2Frenesas_kernel.git ARM: EXYNOS: fix regulator name for NURI board Regulator names should not contain slash to avoid issues with debugfs. Signed-off-by: Marek Szyprowski Signed-off-by: Kyungmin Park Signed-off-by: Kukjin Kim --- diff --git a/arch/arm/mach-exynos/mach-nuri.c b/arch/arm/mach-exynos/mach-nuri.c index b3982c8..f92ec88 100644 --- a/arch/arm/mach-exynos/mach-nuri.c +++ b/arch/arm/mach-exynos/mach-nuri.c @@ -571,7 +571,7 @@ static struct regulator_init_data __initdata max8997_ldo7_data = { static struct regulator_init_data __initdata max8997_ldo8_data = { .constraints = { - .name = "VUSB/VDAC_3.3V_C210", + .name = "VUSB+VDAC_3.3V_C210", .min_uV = 3300000, .max_uV = 3300000, .valid_ops_mask = REGULATOR_CHANGE_STATUS,