From: Ulrich Drepper Date: Wed, 1 Nov 2000 20:59:56 +0000 (+0000) Subject: (CLK_TCK): Cast sysconf result to __clock_t. X-Git-Tag: glibc-2.16-ports-merge^2~2138 X-Git-Url: http://review.tizen.org/git/?a=commitdiff_plain;h=e569ff55acc5e81e203d1397eb9a146fe26563e6;p=platform%2Fupstream%2Fglibc.git (CLK_TCK): Cast sysconf result to __clock_t. --- diff --git a/sysdeps/unix/sysv/linux/alpha/bits/time.h b/sysdeps/unix/sysv/linux/alpha/bits/time.h index b9d9f3f..93c01c0 100644 --- a/sysdeps/unix/sysv/linux/alpha/bits/time.h +++ b/sysdeps/unix/sysv/linux/alpha/bits/time.h @@ -36,8 +36,9 @@ # ifndef __STRICT_ANSI__ /* Even though CLOCKS_PER_SEC has such a strange value CLK_TCK presents the real value for clock ticks per second for the system. */ +# include extern long int __sysconf (int); -# define CLK_TCK (__sysconf (2)) /* 2 is _SC_CLK_TCK */ +# define CLK_TCK ((__clock_t) __sysconf (2)) /* 2 is _SC_CLK_TCK */ # endif # ifdef __USE_POSIX199309