From: Iago Toral Quiroga Date: Mon, 30 May 2016 07:08:04 +0000 (+0200) Subject: i965/vec4: fix indentation in pack_uniform_registers X-Git-Tag: upstream/17.1.0~3531 X-Git-Url: http://review.tizen.org/git/?a=commitdiff_plain;h=49be3abbe7afd64f9e3435e9a9e341e30acacb52;p=platform%2Fupstream%2Fmesa.git i965/vec4: fix indentation in pack_uniform_registers Reviewed-by: Matt Turner --- diff --git a/src/mesa/drivers/dri/i965/brw_vec4.cpp b/src/mesa/drivers/dri/i965/brw_vec4.cpp index bce40b8..1d65b99 100644 --- a/src/mesa/drivers/dri/i965/brw_vec4.cpp +++ b/src/mesa/drivers/dri/i965/brw_vec4.cpp @@ -660,25 +660,25 @@ vec4_visitor::pack_uniform_registers() int dst; /* Find the lowest place we can slot this uniform in. */ for (dst = 0; dst < src; dst++) { - if (chans_used[dst] + size <= 4) - break; + if (chans_used[dst] + size <= 4) + break; } if (src == dst) { - new_loc[src] = dst; - new_chan[src] = 0; + new_loc[src] = dst; + new_chan[src] = 0; } else { - new_loc[src] = dst; - new_chan[src] = chans_used[dst]; + new_loc[src] = dst; + new_chan[src] = chans_used[dst]; - /* Move the references to the data */ - for (int j = 0; j < size; j++) { - stage_prog_data->param[dst * 4 + new_chan[src] + j] = - stage_prog_data->param[src * 4 + j]; - } + /* Move the references to the data */ + for (int j = 0; j < size; j++) { + stage_prog_data->param[dst * 4 + new_chan[src] + j] = + stage_prog_data->param[src * 4 + j]; + } - chans_used[dst] += size; - chans_used[src] = 0; + chans_used[dst] += size; + chans_used[src] = 0; } new_uniform_count = MAX2(new_uniform_count, dst + 1); @@ -691,8 +691,8 @@ vec4_visitor::pack_uniform_registers() for (int i = 0 ; i < 3; i++) { int src = inst->src[i].nr; - if (inst->src[i].file != UNIFORM) - continue; + if (inst->src[i].file != UNIFORM) + continue; inst->src[i].nr = new_loc[src]; inst->src[i].swizzle += BRW_SWIZZLE4(new_chan[src], new_chan[src],