From: Michael Tokarev Date: Tue, 29 Dec 2015 09:43:39 +0000 (+0300) Subject: unicore32: convert get_sp_from_cpustate from macro to inline X-Git-Tag: TizenStudio_2.0_p2.4~27^2~6^2~8^2~312^2~5 X-Git-Url: http://review.tizen.org/git/?a=commitdiff_plain;h=27e112f9fd0dcac6c3448f0c71db1b62f0589ffd;p=sdk%2Femulator%2Fqemu.git unicore32: convert get_sp_from_cpustate from macro to inline All other architectures define get_sp_from_cpustate as an inline function, only unicore32 uses a #define. With this, some usages are impossible, for example, enabling sigaltstack in linux-user/syscall.c results in linux-user/syscall.c: In function ‘do_syscall’: linux-user/syscall.c:8299:39: error: dereferencing ‘void *’ pointer [-Werror] get_sp_from_cpustate(arg1, arg2, get_sp_from_cpustate((CPUArchState *)cpu_env)); ^ linux-user/syscall.c:8299:39: error: request for member ‘regs’ in something not a structure or union Signed-off-by: Michael Tokarev Signed-off-by: Riku Voipio --- diff --git a/linux-user/unicore32/target_signal.h b/linux-user/unicore32/target_signal.h index 8b255c4..7c44238 100644 --- a/linux-user/unicore32/target_signal.h +++ b/linux-user/unicore32/target_signal.h @@ -21,6 +21,10 @@ typedef struct target_sigaltstack { #define TARGET_SS_ONSTACK 1 #define TARGET_SS_DISABLE 2 -#define get_sp_from_cpustate(cpustate) (cpustate->regs[29]) +static inline abi_ulong get_sp_from_cpustate(CPUUniCore32State *state) +{ + return state->regs[29]; +} + #endif /* TARGET_SIGNAL_H */