From: paolo Date: Wed, 23 Jun 2004 16:03:52 +0000 (+0000) Subject: 2004-06-23 Paolo Carlini X-Git-Tag: upstream/4.9.2~70336 X-Git-Url: http://review.tizen.org/git/?a=commitdiff_plain;h=1704f90ade1d807b8feb35fafce3036ec573909f;p=platform%2Fupstream%2Flinaro-gcc.git 2004-06-23 Paolo Carlini PR libstdc++/16154 * include/bits/boost_concept_check.h (struct _TrivialIteratorConcept): Don't require the _DefaultConstructibleConcept. (struct _ForwardIteratorConcept): Require it here. git-svn-id: svn+ssh://gcc.gnu.org/svn/gcc/trunk@83554 138bc75d-0d04-0410-961f-82ee72b054a4 --- diff --git a/libstdc++-v3/ChangeLog b/libstdc++-v3/ChangeLog index 4ab2ddd..18c1ead 100644 --- a/libstdc++-v3/ChangeLog +++ b/libstdc++-v3/ChangeLog @@ -1,3 +1,10 @@ +2004-06-23 Paolo Carlini + + PR libstdc++/16154 + * include/bits/boost_concept_check.h (struct _TrivialIteratorConcept): + Don't require the _DefaultConstructibleConcept. + (struct _ForwardIteratorConcept): Require it here. + 2004-06-22 Alexandre Oliva * include/bits/istream.tcc (getline): Make sure arguments passed diff --git a/libstdc++-v3/include/bits/boost_concept_check.h b/libstdc++-v3/include/bits/boost_concept_check.h index cf084fb..7c99838 100644 --- a/libstdc++-v3/include/bits/boost_concept_check.h +++ b/libstdc++-v3/include/bits/boost_concept_check.h @@ -418,7 +418,7 @@ struct _Aux_require_same<_Tp,_Tp> { typedef _Tp _Type; }; struct _TrivialIteratorConcept { void __constraints() { - __function_requires< _DefaultConstructibleConcept<_Tp> >(); +// __function_requires< _DefaultConstructibleConcept<_Tp> >(); __function_requires< _AssignableConcept<_Tp> >(); __function_requires< _EqualityComparableConcept<_Tp> >(); // typedef typename std::iterator_traits<_Tp>::value_type _V; @@ -475,6 +475,7 @@ struct _Aux_require_same<_Tp,_Tp> { typedef _Tp _Type; }; { void __constraints() { __function_requires< _InputIteratorConcept<_Tp> >(); + __function_requires< _DefaultConstructibleConcept<_Tp> >(); __function_requires< _ConvertibleConcept< typename std::iterator_traits<_Tp>::iterator_category, std::forward_iterator_tag> >();