utests: disable SetProperty tc 92/172692/1
authorBoram Park <boram1288.park@samsung.com>
Thu, 15 Mar 2018 05:28:56 +0000 (14:28 +0900)
committerBoram Park <boram1288.park@samsung.com>
Thu, 15 Mar 2018 06:59:03 +0000 (15:59 +0900)
not avaiable to test

Change-Id: I782bdd0f4aec95421352cb4c607e1ead13ecc2ab

utests/src/ut_tdm_layer.cpp
utests/src/ut_tdm_output.cpp

index 4d97221..caca8eb 100644 (file)
@@ -548,24 +548,8 @@ TEST_P(TDMLayer, LayerGetZposNullParam)
        ASSERT_EQ(tdm_layer_get_zpos(layers[0], NULL), TDM_ERROR_INVALID_PARAMETER);
 }
 
-TEST_P(TDMLayer, LayerSetProperty)
+TEST_P(TDMLayer, DISABLED_LayerSetProperty)
 {
-       TDM_UT_SKIP_FLAG(has_layers);
-
-       for (int l = 0; l < layer_count; l++) {
-               if (!ut_tdm_layer_is_avaiable(layers[l]))
-                       continue;
-
-               const tdm_prop *props = (const tdm_prop *)TDM_UT_INVALID_VALUE;
-               int count = TDM_UT_INVALID_VALUE;
-               tdm_value value = {.s32 = 0};
-
-               ASSERT_EQ(tdm_layer_get_available_properties(layers[l], &props, &count), TDM_ERROR_NONE);
-               ASSERT_GE(count, 0);
-
-               if (count > 0)
-                       ASSERT_EQ(tdm_layer_set_property(layers[l], props[0].id, value), TDM_ERROR_NONE);
-       }
 }
 
 TEST_P(TDMLayer, LayerSetPropertyNullObject)
index 479224c..d4bb6e1 100644 (file)
@@ -820,22 +820,8 @@ TEST_P(TDMOutput, OutputGetPrimaryIndexNullOther)
        ASSERT_EQ(tdm_output_get_primary_index(outputs[0], NULL), TDM_ERROR_INVALID_PARAMETER);
 }
 
-TEST_P(TDMOutput, OutputSetProperty)
+TEST_P(TDMOutput, DISABLED_OutputSetProperty)
 {
-       TDM_UT_SKIP_FLAG(has_outputs);
-
-       for (int o = 0; o < output_count; o++) {
-               const tdm_prop *props = (const tdm_prop *)TDM_UT_INVALID_VALUE;
-               int count = TDM_UT_INVALID_VALUE;
-               tdm_value value = {.s32 = 0};
-
-               ASSERT_EQ(tdm_output_get_available_properties(outputs[o], &props, &count), TDM_ERROR_NONE);
-               ASSERT_GE(count, 0);
-               if (count > 0) {
-                       ASSERT_TRUE(props != NULL && props != (const tdm_prop *)TDM_UT_INVALID_VALUE);
-                       ASSERT_EQ(tdm_output_set_property(outputs[o], props[0].id, value), TDM_ERROR_NONE);
-               }
-       }
 }
 
 TEST_P(TDMOutput, OutputSetPropertyNullObject)