sfc: Copy shared files needed for Siena (part 1)
authorMartin Habets <habetsm.xilinx@gmail.com>
Mon, 9 May 2022 15:31:31 +0000 (16:31 +0100)
committerJakub Kicinski <kuba@kernel.org>
Tue, 10 May 2022 22:38:14 +0000 (15:38 -0700)
These are the files starting with b through i.
No changes are done, those will be done with subsequent commits.

Signed-off-by: Martin Habets <habetsm.xilinx@gmail.com>
Signed-off-by: Jakub Kicinski <kuba@kernel.org>
14 files changed:
drivers/net/ethernet/sfc/siena/bitfield.h [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/efx.c [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/efx.h [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/efx_channels.c [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/efx_channels.h [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/efx_common.c [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/efx_common.h [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/enum.h [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/ethtool.c [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/ethtool_common.c [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/ethtool_common.h [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/farch_regs.h [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/filter.h [new file with mode: 0644]
drivers/net/ethernet/sfc/siena/io.h [new file with mode: 0644]

diff --git a/drivers/net/ethernet/sfc/siena/bitfield.h b/drivers/net/ethernet/sfc/siena/bitfield.h
new file mode 100644 (file)
index 0000000..1f981df
--- /dev/null
@@ -0,0 +1,614 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2005-2006 Fen Systems Ltd.
+ * Copyright 2006-2013 Solarflare Communications Inc.
+ */
+
+#ifndef EFX_BITFIELD_H
+#define EFX_BITFIELD_H
+
+/*
+ * Efx bitfield access
+ *
+ * Efx NICs make extensive use of bitfields up to 128 bits
+ * wide.  Since there is no native 128-bit datatype on most systems,
+ * and since 64-bit datatypes are inefficient on 32-bit systems and
+ * vice versa, we wrap accesses in a way that uses the most efficient
+ * datatype.
+ *
+ * The NICs are PCI devices and therefore little-endian.  Since most
+ * of the quantities that we deal with are DMAed to/from host memory,
+ * we define our datatypes (efx_oword_t, efx_qword_t and
+ * efx_dword_t) to be little-endian.
+ */
+
+/* Lowest bit numbers and widths */
+#define EFX_DUMMY_FIELD_LBN 0
+#define EFX_DUMMY_FIELD_WIDTH 0
+#define EFX_WORD_0_LBN 0
+#define EFX_WORD_0_WIDTH 16
+#define EFX_WORD_1_LBN 16
+#define EFX_WORD_1_WIDTH 16
+#define EFX_DWORD_0_LBN 0
+#define EFX_DWORD_0_WIDTH 32
+#define EFX_DWORD_1_LBN 32
+#define EFX_DWORD_1_WIDTH 32
+#define EFX_DWORD_2_LBN 64
+#define EFX_DWORD_2_WIDTH 32
+#define EFX_DWORD_3_LBN 96
+#define EFX_DWORD_3_WIDTH 32
+#define EFX_QWORD_0_LBN 0
+#define EFX_QWORD_0_WIDTH 64
+
+/* Specified attribute (e.g. LBN) of the specified field */
+#define EFX_VAL(field, attribute) field ## _ ## attribute
+/* Low bit number of the specified field */
+#define EFX_LOW_BIT(field) EFX_VAL(field, LBN)
+/* Bit width of the specified field */
+#define EFX_WIDTH(field) EFX_VAL(field, WIDTH)
+/* High bit number of the specified field */
+#define EFX_HIGH_BIT(field) (EFX_LOW_BIT(field) + EFX_WIDTH(field) - 1)
+/* Mask equal in width to the specified field.
+ *
+ * For example, a field with width 5 would have a mask of 0x1f.
+ *
+ * The maximum width mask that can be generated is 64 bits.
+ */
+#define EFX_MASK64(width)                      \
+       ((width) == 64 ? ~((u64) 0) :           \
+        (((((u64) 1) << (width))) - 1))
+
+/* Mask equal in width to the specified field.
+ *
+ * For example, a field with width 5 would have a mask of 0x1f.
+ *
+ * The maximum width mask that can be generated is 32 bits.  Use
+ * EFX_MASK64 for higher width fields.
+ */
+#define EFX_MASK32(width)                      \
+       ((width) == 32 ? ~((u32) 0) :           \
+        (((((u32) 1) << (width))) - 1))
+
+/* A doubleword (i.e. 4 byte) datatype - little-endian in HW */
+typedef union efx_dword {
+       __le32 u32[1];
+} efx_dword_t;
+
+/* A quadword (i.e. 8 byte) datatype - little-endian in HW */
+typedef union efx_qword {
+       __le64 u64[1];
+       __le32 u32[2];
+       efx_dword_t dword[2];
+} efx_qword_t;
+
+/* An octword (eight-word, i.e. 16 byte) datatype - little-endian in HW */
+typedef union efx_oword {
+       __le64 u64[2];
+       efx_qword_t qword[2];
+       __le32 u32[4];
+       efx_dword_t dword[4];
+} efx_oword_t;
+
+/* Format string and value expanders for printk */
+#define EFX_DWORD_FMT "%08x"
+#define EFX_QWORD_FMT "%08x:%08x"
+#define EFX_OWORD_FMT "%08x:%08x:%08x:%08x"
+#define EFX_DWORD_VAL(dword)                           \
+       ((unsigned int) le32_to_cpu((dword).u32[0]))
+#define EFX_QWORD_VAL(qword)                           \
+       ((unsigned int) le32_to_cpu((qword).u32[1])),   \
+       ((unsigned int) le32_to_cpu((qword).u32[0]))
+#define EFX_OWORD_VAL(oword)                           \
+       ((unsigned int) le32_to_cpu((oword).u32[3])),   \
+       ((unsigned int) le32_to_cpu((oword).u32[2])),   \
+       ((unsigned int) le32_to_cpu((oword).u32[1])),   \
+       ((unsigned int) le32_to_cpu((oword).u32[0]))
+
+/*
+ * Extract bit field portion [low,high) from the native-endian element
+ * which contains bits [min,max).
+ *
+ * For example, suppose "element" represents the high 32 bits of a
+ * 64-bit value, and we wish to extract the bits belonging to the bit
+ * field occupying bits 28-45 of this 64-bit value.
+ *
+ * Then EFX_EXTRACT ( element, 32, 63, 28, 45 ) would give
+ *
+ *   ( element ) << 4
+ *
+ * The result will contain the relevant bits filled in in the range
+ * [0,high-low), with garbage in bits [high-low+1,...).
+ */
+#define EFX_EXTRACT_NATIVE(native_element, min, max, low, high)                \
+       ((low) > (max) || (high) < (min) ? 0 :                          \
+        (low) > (min) ?                                                \
+        (native_element) >> ((low) - (min)) :                          \
+        (native_element) << ((min) - (low)))
+
+/*
+ * Extract bit field portion [low,high) from the 64-bit little-endian
+ * element which contains bits [min,max)
+ */
+#define EFX_EXTRACT64(element, min, max, low, high)                    \
+       EFX_EXTRACT_NATIVE(le64_to_cpu(element), min, max, low, high)
+
+/*
+ * Extract bit field portion [low,high) from the 32-bit little-endian
+ * element which contains bits [min,max)
+ */
+#define EFX_EXTRACT32(element, min, max, low, high)                    \
+       EFX_EXTRACT_NATIVE(le32_to_cpu(element), min, max, low, high)
+
+#define EFX_EXTRACT_OWORD64(oword, low, high)                          \
+       ((EFX_EXTRACT64((oword).u64[0], 0, 63, low, high) |             \
+         EFX_EXTRACT64((oword).u64[1], 64, 127, low, high)) &          \
+        EFX_MASK64((high) + 1 - (low)))
+
+#define EFX_EXTRACT_QWORD64(qword, low, high)                          \
+       (EFX_EXTRACT64((qword).u64[0], 0, 63, low, high) &              \
+        EFX_MASK64((high) + 1 - (low)))
+
+#define EFX_EXTRACT_OWORD32(oword, low, high)                          \
+       ((EFX_EXTRACT32((oword).u32[0], 0, 31, low, high) |             \
+         EFX_EXTRACT32((oword).u32[1], 32, 63, low, high) |            \
+         EFX_EXTRACT32((oword).u32[2], 64, 95, low, high) |            \
+         EFX_EXTRACT32((oword).u32[3], 96, 127, low, high)) &          \
+        EFX_MASK32((high) + 1 - (low)))
+
+#define EFX_EXTRACT_QWORD32(qword, low, high)                          \
+       ((EFX_EXTRACT32((qword).u32[0], 0, 31, low, high) |             \
+         EFX_EXTRACT32((qword).u32[1], 32, 63, low, high)) &           \
+        EFX_MASK32((high) + 1 - (low)))
+
+#define EFX_EXTRACT_DWORD(dword, low, high)                    \
+       (EFX_EXTRACT32((dword).u32[0], 0, 31, low, high) &      \
+        EFX_MASK32((high) + 1 - (low)))
+
+#define EFX_OWORD_FIELD64(oword, field)                                \
+       EFX_EXTRACT_OWORD64(oword, EFX_LOW_BIT(field),          \
+                           EFX_HIGH_BIT(field))
+
+#define EFX_QWORD_FIELD64(qword, field)                                \
+       EFX_EXTRACT_QWORD64(qword, EFX_LOW_BIT(field),          \
+                           EFX_HIGH_BIT(field))
+
+#define EFX_OWORD_FIELD32(oword, field)                                \
+       EFX_EXTRACT_OWORD32(oword, EFX_LOW_BIT(field),          \
+                           EFX_HIGH_BIT(field))
+
+#define EFX_QWORD_FIELD32(qword, field)                                \
+       EFX_EXTRACT_QWORD32(qword, EFX_LOW_BIT(field),          \
+                           EFX_HIGH_BIT(field))
+
+#define EFX_DWORD_FIELD(dword, field)                          \
+       EFX_EXTRACT_DWORD(dword, EFX_LOW_BIT(field),            \
+                         EFX_HIGH_BIT(field))
+
+#define EFX_OWORD_IS_ZERO64(oword)                                     \
+       (((oword).u64[0] | (oword).u64[1]) == (__force __le64) 0)
+
+#define EFX_QWORD_IS_ZERO64(qword)                                     \
+       (((qword).u64[0]) == (__force __le64) 0)
+
+#define EFX_OWORD_IS_ZERO32(oword)                                          \
+       (((oword).u32[0] | (oword).u32[1] | (oword).u32[2] | (oword).u32[3]) \
+        == (__force __le32) 0)
+
+#define EFX_QWORD_IS_ZERO32(qword)                                     \
+       (((qword).u32[0] | (qword).u32[1]) == (__force __le32) 0)
+
+#define EFX_DWORD_IS_ZERO(dword)                                       \
+       (((dword).u32[0]) == (__force __le32) 0)
+
+#define EFX_OWORD_IS_ALL_ONES64(oword)                                 \
+       (((oword).u64[0] & (oword).u64[1]) == ~((__force __le64) 0))
+
+#define EFX_QWORD_IS_ALL_ONES64(qword)                                 \
+       ((qword).u64[0] == ~((__force __le64) 0))
+
+#define EFX_OWORD_IS_ALL_ONES32(oword)                                 \
+       (((oword).u32[0] & (oword).u32[1] & (oword).u32[2] & (oword).u32[3]) \
+        == ~((__force __le32) 0))
+
+#define EFX_QWORD_IS_ALL_ONES32(qword)                                 \
+       (((qword).u32[0] & (qword).u32[1]) == ~((__force __le32) 0))
+
+#define EFX_DWORD_IS_ALL_ONES(dword)                                   \
+       ((dword).u32[0] == ~((__force __le32) 0))
+
+#if BITS_PER_LONG == 64
+#define EFX_OWORD_FIELD                EFX_OWORD_FIELD64
+#define EFX_QWORD_FIELD                EFX_QWORD_FIELD64
+#define EFX_OWORD_IS_ZERO      EFX_OWORD_IS_ZERO64
+#define EFX_QWORD_IS_ZERO      EFX_QWORD_IS_ZERO64
+#define EFX_OWORD_IS_ALL_ONES  EFX_OWORD_IS_ALL_ONES64
+#define EFX_QWORD_IS_ALL_ONES  EFX_QWORD_IS_ALL_ONES64
+#else
+#define EFX_OWORD_FIELD                EFX_OWORD_FIELD32
+#define EFX_QWORD_FIELD                EFX_QWORD_FIELD32
+#define EFX_OWORD_IS_ZERO      EFX_OWORD_IS_ZERO32
+#define EFX_QWORD_IS_ZERO      EFX_QWORD_IS_ZERO32
+#define EFX_OWORD_IS_ALL_ONES  EFX_OWORD_IS_ALL_ONES32
+#define EFX_QWORD_IS_ALL_ONES  EFX_QWORD_IS_ALL_ONES32
+#endif
+
+/*
+ * Construct bit field portion
+ *
+ * Creates the portion of the bit field [low,high) that lies within
+ * the range [min,max).
+ */
+#define EFX_INSERT_NATIVE64(min, max, low, high, value)                \
+       (((low > max) || (high < min)) ? 0 :                    \
+        ((low > min) ?                                         \
+         (((u64) (value)) << (low - min)) :            \
+         (((u64) (value)) >> (min - low))))
+
+#define EFX_INSERT_NATIVE32(min, max, low, high, value)                \
+       (((low > max) || (high < min)) ? 0 :                    \
+        ((low > min) ?                                         \
+         (((u32) (value)) << (low - min)) :            \
+         (((u32) (value)) >> (min - low))))
+
+#define EFX_INSERT_NATIVE(min, max, low, high, value)          \
+       ((((max - min) >= 32) || ((high - low) >= 32)) ?        \
+        EFX_INSERT_NATIVE64(min, max, low, high, value) :      \
+        EFX_INSERT_NATIVE32(min, max, low, high, value))
+
+/*
+ * Construct bit field portion
+ *
+ * Creates the portion of the named bit field that lies within the
+ * range [min,max).
+ */
+#define EFX_INSERT_FIELD_NATIVE(min, max, field, value)                \
+       EFX_INSERT_NATIVE(min, max, EFX_LOW_BIT(field),         \
+                         EFX_HIGH_BIT(field), value)
+
+/*
+ * Construct bit field
+ *
+ * Creates the portion of the named bit fields that lie within the
+ * range [min,max).
+ */
+#define EFX_INSERT_FIELDS_NATIVE(min, max,                             \
+                                field1, value1,                        \
+                                field2, value2,                        \
+                                field3, value3,                        \
+                                field4, value4,                        \
+                                field5, value5,                        \
+                                field6, value6,                        \
+                                field7, value7,                        \
+                                field8, value8,                        \
+                                field9, value9,                        \
+                                field10, value10,                      \
+                                field11, value11,                      \
+                                field12, value12,                      \
+                                field13, value13,                      \
+                                field14, value14,                      \
+                                field15, value15,                      \
+                                field16, value16,                      \
+                                field17, value17,                      \
+                                field18, value18,                      \
+                                field19, value19)                      \
+       (EFX_INSERT_FIELD_NATIVE((min), (max), field1, (value1)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field2, (value2)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field3, (value3)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field4, (value4)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field5, (value5)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field6, (value6)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field7, (value7)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field8, (value8)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field9, (value9)) |      \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field10, (value10)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field11, (value11)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field12, (value12)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field13, (value13)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field14, (value14)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field15, (value15)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field16, (value16)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field17, (value17)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field18, (value18)) |    \
+        EFX_INSERT_FIELD_NATIVE((min), (max), field19, (value19)))
+
+#define EFX_INSERT_FIELDS64(...)                               \
+       cpu_to_le64(EFX_INSERT_FIELDS_NATIVE(__VA_ARGS__))
+
+#define EFX_INSERT_FIELDS32(...)                               \
+       cpu_to_le32(EFX_INSERT_FIELDS_NATIVE(__VA_ARGS__))
+
+#define EFX_POPULATE_OWORD64(oword, ...) do {                          \
+       (oword).u64[0] = EFX_INSERT_FIELDS64(0, 63, __VA_ARGS__);       \
+       (oword).u64[1] = EFX_INSERT_FIELDS64(64, 127, __VA_ARGS__);     \
+       } while (0)
+
+#define EFX_POPULATE_QWORD64(qword, ...) do {                          \
+       (qword).u64[0] = EFX_INSERT_FIELDS64(0, 63, __VA_ARGS__);       \
+       } while (0)
+
+#define EFX_POPULATE_OWORD32(oword, ...) do {                          \
+       (oword).u32[0] = EFX_INSERT_FIELDS32(0, 31, __VA_ARGS__);       \
+       (oword).u32[1] = EFX_INSERT_FIELDS32(32, 63, __VA_ARGS__);      \
+       (oword).u32[2] = EFX_INSERT_FIELDS32(64, 95, __VA_ARGS__);      \
+       (oword).u32[3] = EFX_INSERT_FIELDS32(96, 127, __VA_ARGS__);     \
+       } while (0)
+
+#define EFX_POPULATE_QWORD32(qword, ...) do {                          \
+       (qword).u32[0] = EFX_INSERT_FIELDS32(0, 31, __VA_ARGS__);       \
+       (qword).u32[1] = EFX_INSERT_FIELDS32(32, 63, __VA_ARGS__);      \
+       } while (0)
+
+#define EFX_POPULATE_DWORD(dword, ...) do {                            \
+       (dword).u32[0] = EFX_INSERT_FIELDS32(0, 31, __VA_ARGS__);       \
+       } while (0)
+
+#if BITS_PER_LONG == 64
+#define EFX_POPULATE_OWORD EFX_POPULATE_OWORD64
+#define EFX_POPULATE_QWORD EFX_POPULATE_QWORD64
+#else
+#define EFX_POPULATE_OWORD EFX_POPULATE_OWORD32
+#define EFX_POPULATE_QWORD EFX_POPULATE_QWORD32
+#endif
+
+/* Populate an octword field with various numbers of arguments */
+#define EFX_POPULATE_OWORD_19 EFX_POPULATE_OWORD
+#define EFX_POPULATE_OWORD_18(oword, ...) \
+       EFX_POPULATE_OWORD_19(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_17(oword, ...) \
+       EFX_POPULATE_OWORD_18(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_16(oword, ...) \
+       EFX_POPULATE_OWORD_17(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_15(oword, ...) \
+       EFX_POPULATE_OWORD_16(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_14(oword, ...) \
+       EFX_POPULATE_OWORD_15(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_13(oword, ...) \
+       EFX_POPULATE_OWORD_14(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_12(oword, ...) \
+       EFX_POPULATE_OWORD_13(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_11(oword, ...) \
+       EFX_POPULATE_OWORD_12(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_10(oword, ...) \
+       EFX_POPULATE_OWORD_11(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_9(oword, ...) \
+       EFX_POPULATE_OWORD_10(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_8(oword, ...) \
+       EFX_POPULATE_OWORD_9(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_7(oword, ...) \
+       EFX_POPULATE_OWORD_8(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_6(oword, ...) \
+       EFX_POPULATE_OWORD_7(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_5(oword, ...) \
+       EFX_POPULATE_OWORD_6(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_4(oword, ...) \
+       EFX_POPULATE_OWORD_5(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_3(oword, ...) \
+       EFX_POPULATE_OWORD_4(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_2(oword, ...) \
+       EFX_POPULATE_OWORD_3(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_OWORD_1(oword, ...) \
+       EFX_POPULATE_OWORD_2(oword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_ZERO_OWORD(oword) \
+       EFX_POPULATE_OWORD_1(oword, EFX_DUMMY_FIELD, 0)
+#define EFX_SET_OWORD(oword) \
+       EFX_POPULATE_OWORD_4(oword, \
+                            EFX_DWORD_0, 0xffffffff, \
+                            EFX_DWORD_1, 0xffffffff, \
+                            EFX_DWORD_2, 0xffffffff, \
+                            EFX_DWORD_3, 0xffffffff)
+
+/* Populate a quadword field with various numbers of arguments */
+#define EFX_POPULATE_QWORD_19 EFX_POPULATE_QWORD
+#define EFX_POPULATE_QWORD_18(qword, ...) \
+       EFX_POPULATE_QWORD_19(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_17(qword, ...) \
+       EFX_POPULATE_QWORD_18(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_16(qword, ...) \
+       EFX_POPULATE_QWORD_17(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_15(qword, ...) \
+       EFX_POPULATE_QWORD_16(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_14(qword, ...) \
+       EFX_POPULATE_QWORD_15(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_13(qword, ...) \
+       EFX_POPULATE_QWORD_14(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_12(qword, ...) \
+       EFX_POPULATE_QWORD_13(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_11(qword, ...) \
+       EFX_POPULATE_QWORD_12(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_10(qword, ...) \
+       EFX_POPULATE_QWORD_11(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_9(qword, ...) \
+       EFX_POPULATE_QWORD_10(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_8(qword, ...) \
+       EFX_POPULATE_QWORD_9(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_7(qword, ...) \
+       EFX_POPULATE_QWORD_8(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_6(qword, ...) \
+       EFX_POPULATE_QWORD_7(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_5(qword, ...) \
+       EFX_POPULATE_QWORD_6(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_4(qword, ...) \
+       EFX_POPULATE_QWORD_5(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_3(qword, ...) \
+       EFX_POPULATE_QWORD_4(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_2(qword, ...) \
+       EFX_POPULATE_QWORD_3(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_QWORD_1(qword, ...) \
+       EFX_POPULATE_QWORD_2(qword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_ZERO_QWORD(qword) \
+       EFX_POPULATE_QWORD_1(qword, EFX_DUMMY_FIELD, 0)
+#define EFX_SET_QWORD(qword) \
+       EFX_POPULATE_QWORD_2(qword, \
+                            EFX_DWORD_0, 0xffffffff, \
+                            EFX_DWORD_1, 0xffffffff)
+
+/* Populate a dword field with various numbers of arguments */
+#define EFX_POPULATE_DWORD_19 EFX_POPULATE_DWORD
+#define EFX_POPULATE_DWORD_18(dword, ...) \
+       EFX_POPULATE_DWORD_19(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_17(dword, ...) \
+       EFX_POPULATE_DWORD_18(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_16(dword, ...) \
+       EFX_POPULATE_DWORD_17(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_15(dword, ...) \
+       EFX_POPULATE_DWORD_16(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_14(dword, ...) \
+       EFX_POPULATE_DWORD_15(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_13(dword, ...) \
+       EFX_POPULATE_DWORD_14(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_12(dword, ...) \
+       EFX_POPULATE_DWORD_13(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_11(dword, ...) \
+       EFX_POPULATE_DWORD_12(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_10(dword, ...) \
+       EFX_POPULATE_DWORD_11(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_9(dword, ...) \
+       EFX_POPULATE_DWORD_10(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_8(dword, ...) \
+       EFX_POPULATE_DWORD_9(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_7(dword, ...) \
+       EFX_POPULATE_DWORD_8(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_6(dword, ...) \
+       EFX_POPULATE_DWORD_7(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_5(dword, ...) \
+       EFX_POPULATE_DWORD_6(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_4(dword, ...) \
+       EFX_POPULATE_DWORD_5(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_3(dword, ...) \
+       EFX_POPULATE_DWORD_4(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_2(dword, ...) \
+       EFX_POPULATE_DWORD_3(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_POPULATE_DWORD_1(dword, ...) \
+       EFX_POPULATE_DWORD_2(dword, EFX_DUMMY_FIELD, 0, __VA_ARGS__)
+#define EFX_ZERO_DWORD(dword) \
+       EFX_POPULATE_DWORD_1(dword, EFX_DUMMY_FIELD, 0)
+#define EFX_SET_DWORD(dword) \
+       EFX_POPULATE_DWORD_1(dword, EFX_DWORD_0, 0xffffffff)
+
+/*
+ * Modify a named field within an already-populated structure.  Used
+ * for read-modify-write operations.
+ *
+ */
+#define EFX_INVERT_OWORD(oword) do {           \
+       (oword).u64[0] = ~((oword).u64[0]);     \
+       (oword).u64[1] = ~((oword).u64[1]);     \
+       } while (0)
+
+#define EFX_AND_OWORD(oword, from, mask)                       \
+       do {                                                    \
+               (oword).u64[0] = (from).u64[0] & (mask).u64[0]; \
+               (oword).u64[1] = (from).u64[1] & (mask).u64[1]; \
+       } while (0)
+
+#define EFX_AND_QWORD(qword, from, mask)                       \
+               (qword).u64[0] = (from).u64[0] & (mask).u64[0]
+
+#define EFX_OR_OWORD(oword, from, mask)                                \
+       do {                                                    \
+               (oword).u64[0] = (from).u64[0] | (mask).u64[0]; \
+               (oword).u64[1] = (from).u64[1] | (mask).u64[1]; \
+       } while (0)
+
+#define EFX_INSERT64(min, max, low, high, value)                       \
+       cpu_to_le64(EFX_INSERT_NATIVE(min, max, low, high, value))
+
+#define EFX_INSERT32(min, max, low, high, value)                       \
+       cpu_to_le32(EFX_INSERT_NATIVE(min, max, low, high, value))
+
+#define EFX_INPLACE_MASK64(min, max, low, high)                                \
+       EFX_INSERT64(min, max, low, high, EFX_MASK64((high) + 1 - (low)))
+
+#define EFX_INPLACE_MASK32(min, max, low, high)                                \
+       EFX_INSERT32(min, max, low, high, EFX_MASK32((high) + 1 - (low)))
+
+#define EFX_SET_OWORD64(oword, low, high, value) do {                  \
+       (oword).u64[0] = (((oword).u64[0]                               \
+                          & ~EFX_INPLACE_MASK64(0,  63, low, high))    \
+                         | EFX_INSERT64(0,  63, low, high, value));    \
+       (oword).u64[1] = (((oword).u64[1]                               \
+                          & ~EFX_INPLACE_MASK64(64, 127, low, high))   \
+                         | EFX_INSERT64(64, 127, low, high, value));   \
+       } while (0)
+
+#define EFX_SET_QWORD64(qword, low, high, value) do {                  \
+       (qword).u64[0] = (((qword).u64[0]                               \
+                          & ~EFX_INPLACE_MASK64(0, 63, low, high))     \
+                         | EFX_INSERT64(0, 63, low, high, value));     \
+       } while (0)
+
+#define EFX_SET_OWORD32(oword, low, high, value) do {                  \
+       (oword).u32[0] = (((oword).u32[0]                               \
+                          & ~EFX_INPLACE_MASK32(0, 31, low, high))     \
+                         | EFX_INSERT32(0, 31, low, high, value));     \
+       (oword).u32[1] = (((oword).u32[1]                               \
+                          & ~EFX_INPLACE_MASK32(32, 63, low, high))    \
+                         | EFX_INSERT32(32, 63, low, high, value));    \
+       (oword).u32[2] = (((oword).u32[2]                               \
+                          & ~EFX_INPLACE_MASK32(64, 95, low, high))    \
+                         | EFX_INSERT32(64, 95, low, high, value));    \
+       (oword).u32[3] = (((oword).u32[3]                               \
+                          & ~EFX_INPLACE_MASK32(96, 127, low, high))   \
+                         | EFX_INSERT32(96, 127, low, high, value));   \
+       } while (0)
+
+#define EFX_SET_QWORD32(qword, low, high, value) do {                  \
+       (qword).u32[0] = (((qword).u32[0]                               \
+                          & ~EFX_INPLACE_MASK32(0, 31, low, high))     \
+                         | EFX_INSERT32(0, 31, low, high, value));     \
+       (qword).u32[1] = (((qword).u32[1]                               \
+                          & ~EFX_INPLACE_MASK32(32, 63, low, high))    \
+                         | EFX_INSERT32(32, 63, low, high, value));    \
+       } while (0)
+
+#define EFX_SET_DWORD32(dword, low, high, value) do {                  \
+       (dword).u32[0] = (((dword).u32[0]                               \
+                          & ~EFX_INPLACE_MASK32(0, 31, low, high))     \
+                         | EFX_INSERT32(0, 31, low, high, value));     \
+       } while (0)
+
+#define EFX_SET_OWORD_FIELD64(oword, field, value)                     \
+       EFX_SET_OWORD64(oword, EFX_LOW_BIT(field),                      \
+                        EFX_HIGH_BIT(field), value)
+
+#define EFX_SET_QWORD_FIELD64(qword, field, value)                     \
+       EFX_SET_QWORD64(qword, EFX_LOW_BIT(field),                      \
+                        EFX_HIGH_BIT(field), value)
+
+#define EFX_SET_OWORD_FIELD32(oword, field, value)                     \
+       EFX_SET_OWORD32(oword, EFX_LOW_BIT(field),                      \
+                        EFX_HIGH_BIT(field), value)
+
+#define EFX_SET_QWORD_FIELD32(qword, field, value)                     \
+       EFX_SET_QWORD32(qword, EFX_LOW_BIT(field),                      \
+                        EFX_HIGH_BIT(field), value)
+
+#define EFX_SET_DWORD_FIELD(dword, field, value)                       \
+       EFX_SET_DWORD32(dword, EFX_LOW_BIT(field),                      \
+                        EFX_HIGH_BIT(field), value)
+
+
+
+#if BITS_PER_LONG == 64
+#define EFX_SET_OWORD_FIELD EFX_SET_OWORD_FIELD64
+#define EFX_SET_QWORD_FIELD EFX_SET_QWORD_FIELD64
+#else
+#define EFX_SET_OWORD_FIELD EFX_SET_OWORD_FIELD32
+#define EFX_SET_QWORD_FIELD EFX_SET_QWORD_FIELD32
+#endif
+
+/* Used to avoid compiler warnings about shift range exceeding width
+ * of the data types when dma_addr_t is only 32 bits wide.
+ */
+#define DMA_ADDR_T_WIDTH       (8 * sizeof(dma_addr_t))
+#define EFX_DMA_TYPE_WIDTH(width) \
+       (((width) < DMA_ADDR_T_WIDTH) ? (width) : DMA_ADDR_T_WIDTH)
+
+
+/* Static initialiser */
+#define EFX_OWORD32(a, b, c, d)                                \
+       { .u32 = { cpu_to_le32(a), cpu_to_le32(b),      \
+                  cpu_to_le32(c), cpu_to_le32(d) } }
+
+#endif /* EFX_BITFIELD_H */
diff --git a/drivers/net/ethernet/sfc/siena/efx.c b/drivers/net/ethernet/sfc/siena/efx.c
new file mode 100644 (file)
index 0000000..5a77235
--- /dev/null
@@ -0,0 +1,1335 @@
+// SPDX-License-Identifier: GPL-2.0-only
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2005-2006 Fen Systems Ltd.
+ * Copyright 2005-2013 Solarflare Communications Inc.
+ */
+
+#include <linux/filter.h>
+#include <linux/module.h>
+#include <linux/pci.h>
+#include <linux/netdevice.h>
+#include <linux/etherdevice.h>
+#include <linux/delay.h>
+#include <linux/notifier.h>
+#include <linux/ip.h>
+#include <linux/tcp.h>
+#include <linux/in.h>
+#include <linux/ethtool.h>
+#include <linux/topology.h>
+#include <linux/gfp.h>
+#include <linux/aer.h>
+#include <linux/interrupt.h>
+#include "net_driver.h"
+#include <net/gre.h>
+#include <net/udp_tunnel.h>
+#include "efx.h"
+#include "efx_common.h"
+#include "efx_channels.h"
+#include "ef100.h"
+#include "rx_common.h"
+#include "tx_common.h"
+#include "nic.h"
+#include "io.h"
+#include "selftest.h"
+#include "sriov.h"
+
+#include "mcdi_port_common.h"
+#include "mcdi_pcol.h"
+#include "workarounds.h"
+
+/**************************************************************************
+ *
+ * Configurable values
+ *
+ *************************************************************************/
+
+module_param_named(interrupt_mode, efx_interrupt_mode, uint, 0444);
+MODULE_PARM_DESC(interrupt_mode,
+                "Interrupt mode (0=>MSIX 1=>MSI 2=>legacy)");
+
+module_param(rss_cpus, uint, 0444);
+MODULE_PARM_DESC(rss_cpus, "Number of CPUs to use for Receive-Side Scaling");
+
+/*
+ * Use separate channels for TX and RX events
+ *
+ * Set this to 1 to use separate channels for TX and RX. It allows us
+ * to control interrupt affinity separately for TX and RX.
+ *
+ * This is only used in MSI-X interrupt mode
+ */
+bool efx_separate_tx_channels;
+module_param(efx_separate_tx_channels, bool, 0444);
+MODULE_PARM_DESC(efx_separate_tx_channels,
+                "Use separate channels for TX and RX");
+
+/* Initial interrupt moderation settings.  They can be modified after
+ * module load with ethtool.
+ *
+ * The default for RX should strike a balance between increasing the
+ * round-trip latency and reducing overhead.
+ */
+static unsigned int rx_irq_mod_usec = 60;
+
+/* Initial interrupt moderation settings.  They can be modified after
+ * module load with ethtool.
+ *
+ * This default is chosen to ensure that a 10G link does not go idle
+ * while a TX queue is stopped after it has become full.  A queue is
+ * restarted when it drops below half full.  The time this takes (assuming
+ * worst case 3 descriptors per packet and 1024 descriptors) is
+ *   512 / 3 * 1.2 = 205 usec.
+ */
+static unsigned int tx_irq_mod_usec = 150;
+
+static bool phy_flash_cfg;
+module_param(phy_flash_cfg, bool, 0644);
+MODULE_PARM_DESC(phy_flash_cfg, "Set PHYs into reflash mode initially");
+
+static unsigned debug = (NETIF_MSG_DRV | NETIF_MSG_PROBE |
+                        NETIF_MSG_LINK | NETIF_MSG_IFDOWN |
+                        NETIF_MSG_IFUP | NETIF_MSG_RX_ERR |
+                        NETIF_MSG_TX_ERR | NETIF_MSG_HW);
+module_param(debug, uint, 0);
+MODULE_PARM_DESC(debug, "Bitmapped debugging message enable value");
+
+/**************************************************************************
+ *
+ * Utility functions and prototypes
+ *
+ *************************************************************************/
+
+static void efx_remove_port(struct efx_nic *efx);
+static int efx_xdp_setup_prog(struct efx_nic *efx, struct bpf_prog *prog);
+static int efx_xdp(struct net_device *dev, struct netdev_bpf *xdp);
+static int efx_xdp_xmit(struct net_device *dev, int n, struct xdp_frame **xdpfs,
+                       u32 flags);
+
+#define EFX_ASSERT_RESET_SERIALISED(efx)               \
+       do {                                            \
+               if ((efx->state == STATE_READY) ||      \
+                   (efx->state == STATE_RECOVERY) ||   \
+                   (efx->state == STATE_DISABLED))     \
+                       ASSERT_RTNL();                  \
+       } while (0)
+
+/**************************************************************************
+ *
+ * Port handling
+ *
+ **************************************************************************/
+
+static void efx_fini_port(struct efx_nic *efx);
+
+static int efx_probe_port(struct efx_nic *efx)
+{
+       int rc;
+
+       netif_dbg(efx, probe, efx->net_dev, "create port\n");
+
+       if (phy_flash_cfg)
+               efx->phy_mode = PHY_MODE_SPECIAL;
+
+       /* Connect up MAC/PHY operations table */
+       rc = efx->type->probe_port(efx);
+       if (rc)
+               return rc;
+
+       /* Initialise MAC address to permanent address */
+       eth_hw_addr_set(efx->net_dev, efx->net_dev->perm_addr);
+
+       return 0;
+}
+
+static int efx_init_port(struct efx_nic *efx)
+{
+       int rc;
+
+       netif_dbg(efx, drv, efx->net_dev, "init port\n");
+
+       mutex_lock(&efx->mac_lock);
+
+       efx->port_initialized = true;
+
+       /* Ensure the PHY advertises the correct flow control settings */
+       rc = efx_mcdi_port_reconfigure(efx);
+       if (rc && rc != -EPERM)
+               goto fail;
+
+       mutex_unlock(&efx->mac_lock);
+       return 0;
+
+fail:
+       mutex_unlock(&efx->mac_lock);
+       return rc;
+}
+
+static void efx_fini_port(struct efx_nic *efx)
+{
+       netif_dbg(efx, drv, efx->net_dev, "shut down port\n");
+
+       if (!efx->port_initialized)
+               return;
+
+       efx->port_initialized = false;
+
+       efx->link_state.up = false;
+       efx_link_status_changed(efx);
+}
+
+static void efx_remove_port(struct efx_nic *efx)
+{
+       netif_dbg(efx, drv, efx->net_dev, "destroying port\n");
+
+       efx->type->remove_port(efx);
+}
+
+/**************************************************************************
+ *
+ * NIC handling
+ *
+ **************************************************************************/
+
+static LIST_HEAD(efx_primary_list);
+static LIST_HEAD(efx_unassociated_list);
+
+static bool efx_same_controller(struct efx_nic *left, struct efx_nic *right)
+{
+       return left->type == right->type &&
+               left->vpd_sn && right->vpd_sn &&
+               !strcmp(left->vpd_sn, right->vpd_sn);
+}
+
+static void efx_associate(struct efx_nic *efx)
+{
+       struct efx_nic *other, *next;
+
+       if (efx->primary == efx) {
+               /* Adding primary function; look for secondaries */
+
+               netif_dbg(efx, probe, efx->net_dev, "adding to primary list\n");
+               list_add_tail(&efx->node, &efx_primary_list);
+
+               list_for_each_entry_safe(other, next, &efx_unassociated_list,
+                                        node) {
+                       if (efx_same_controller(efx, other)) {
+                               list_del(&other->node);
+                               netif_dbg(other, probe, other->net_dev,
+                                         "moving to secondary list of %s %s\n",
+                                         pci_name(efx->pci_dev),
+                                         efx->net_dev->name);
+                               list_add_tail(&other->node,
+                                             &efx->secondary_list);
+                               other->primary = efx;
+                       }
+               }
+       } else {
+               /* Adding secondary function; look for primary */
+
+               list_for_each_entry(other, &efx_primary_list, node) {
+                       if (efx_same_controller(efx, other)) {
+                               netif_dbg(efx, probe, efx->net_dev,
+                                         "adding to secondary list of %s %s\n",
+                                         pci_name(other->pci_dev),
+                                         other->net_dev->name);
+                               list_add_tail(&efx->node,
+                                             &other->secondary_list);
+                               efx->primary = other;
+                               return;
+                       }
+               }
+
+               netif_dbg(efx, probe, efx->net_dev,
+                         "adding to unassociated list\n");
+               list_add_tail(&efx->node, &efx_unassociated_list);
+       }
+}
+
+static void efx_dissociate(struct efx_nic *efx)
+{
+       struct efx_nic *other, *next;
+
+       list_del(&efx->node);
+       efx->primary = NULL;
+
+       list_for_each_entry_safe(other, next, &efx->secondary_list, node) {
+               list_del(&other->node);
+               netif_dbg(other, probe, other->net_dev,
+                         "moving to unassociated list\n");
+               list_add_tail(&other->node, &efx_unassociated_list);
+               other->primary = NULL;
+       }
+}
+
+static int efx_probe_nic(struct efx_nic *efx)
+{
+       int rc;
+
+       netif_dbg(efx, probe, efx->net_dev, "creating NIC\n");
+
+       /* Carry out hardware-type specific initialisation */
+       rc = efx->type->probe(efx);
+       if (rc)
+               return rc;
+
+       do {
+               if (!efx->max_channels || !efx->max_tx_channels) {
+                       netif_err(efx, drv, efx->net_dev,
+                                 "Insufficient resources to allocate"
+                                 " any channels\n");
+                       rc = -ENOSPC;
+                       goto fail1;
+               }
+
+               /* Determine the number of channels and queues by trying
+                * to hook in MSI-X interrupts.
+                */
+               rc = efx_probe_interrupts(efx);
+               if (rc)
+                       goto fail1;
+
+               rc = efx_set_channels(efx);
+               if (rc)
+                       goto fail1;
+
+               /* dimension_resources can fail with EAGAIN */
+               rc = efx->type->dimension_resources(efx);
+               if (rc != 0 && rc != -EAGAIN)
+                       goto fail2;
+
+               if (rc == -EAGAIN)
+                       /* try again with new max_channels */
+                       efx_remove_interrupts(efx);
+
+       } while (rc == -EAGAIN);
+
+       if (efx->n_channels > 1)
+               netdev_rss_key_fill(efx->rss_context.rx_hash_key,
+                                   sizeof(efx->rss_context.rx_hash_key));
+       efx_set_default_rx_indir_table(efx, &efx->rss_context);
+
+       /* Initialise the interrupt moderation settings */
+       efx->irq_mod_step_us = DIV_ROUND_UP(efx->timer_quantum_ns, 1000);
+       efx_init_irq_moderation(efx, tx_irq_mod_usec, rx_irq_mod_usec, true,
+                               true);
+
+       return 0;
+
+fail2:
+       efx_remove_interrupts(efx);
+fail1:
+       efx->type->remove(efx);
+       return rc;
+}
+
+static void efx_remove_nic(struct efx_nic *efx)
+{
+       netif_dbg(efx, drv, efx->net_dev, "destroying NIC\n");
+
+       efx_remove_interrupts(efx);
+       efx->type->remove(efx);
+}
+
+/**************************************************************************
+ *
+ * NIC startup/shutdown
+ *
+ *************************************************************************/
+
+static int efx_probe_all(struct efx_nic *efx)
+{
+       int rc;
+
+       rc = efx_probe_nic(efx);
+       if (rc) {
+               netif_err(efx, probe, efx->net_dev, "failed to create NIC\n");
+               goto fail1;
+       }
+
+       rc = efx_probe_port(efx);
+       if (rc) {
+               netif_err(efx, probe, efx->net_dev, "failed to create port\n");
+               goto fail2;
+       }
+
+       BUILD_BUG_ON(EFX_DEFAULT_DMAQ_SIZE < EFX_RXQ_MIN_ENT);
+       if (WARN_ON(EFX_DEFAULT_DMAQ_SIZE < EFX_TXQ_MIN_ENT(efx))) {
+               rc = -EINVAL;
+               goto fail3;
+       }
+
+#ifdef CONFIG_SFC_SRIOV
+       rc = efx->type->vswitching_probe(efx);
+       if (rc) /* not fatal; the PF will still work fine */
+               netif_warn(efx, probe, efx->net_dev,
+                          "failed to setup vswitching rc=%d;"
+                          " VFs may not function\n", rc);
+#endif
+
+       rc = efx_probe_filters(efx);
+       if (rc) {
+               netif_err(efx, probe, efx->net_dev,
+                         "failed to create filter tables\n");
+               goto fail4;
+       }
+
+       rc = efx_probe_channels(efx);
+       if (rc)
+               goto fail5;
+
+       return 0;
+
+ fail5:
+       efx_remove_filters(efx);
+ fail4:
+#ifdef CONFIG_SFC_SRIOV
+       efx->type->vswitching_remove(efx);
+#endif
+ fail3:
+       efx_remove_port(efx);
+ fail2:
+       efx_remove_nic(efx);
+ fail1:
+       return rc;
+}
+
+static void efx_remove_all(struct efx_nic *efx)
+{
+       rtnl_lock();
+       efx_xdp_setup_prog(efx, NULL);
+       rtnl_unlock();
+
+       efx_remove_channels(efx);
+       efx_remove_filters(efx);
+#ifdef CONFIG_SFC_SRIOV
+       efx->type->vswitching_remove(efx);
+#endif
+       efx_remove_port(efx);
+       efx_remove_nic(efx);
+}
+
+/**************************************************************************
+ *
+ * Interrupt moderation
+ *
+ **************************************************************************/
+unsigned int efx_usecs_to_ticks(struct efx_nic *efx, unsigned int usecs)
+{
+       if (usecs == 0)
+               return 0;
+       if (usecs * 1000 < efx->timer_quantum_ns)
+               return 1; /* never round down to 0 */
+       return usecs * 1000 / efx->timer_quantum_ns;
+}
+
+unsigned int efx_ticks_to_usecs(struct efx_nic *efx, unsigned int ticks)
+{
+       /* We must round up when converting ticks to microseconds
+        * because we round down when converting the other way.
+        */
+       return DIV_ROUND_UP(ticks * efx->timer_quantum_ns, 1000);
+}
+
+/* Set interrupt moderation parameters */
+int efx_init_irq_moderation(struct efx_nic *efx, unsigned int tx_usecs,
+                           unsigned int rx_usecs, bool rx_adaptive,
+                           bool rx_may_override_tx)
+{
+       struct efx_channel *channel;
+       unsigned int timer_max_us;
+
+       EFX_ASSERT_RESET_SERIALISED(efx);
+
+       timer_max_us = efx->timer_max_ns / 1000;
+
+       if (tx_usecs > timer_max_us || rx_usecs > timer_max_us)
+               return -EINVAL;
+
+       if (tx_usecs != rx_usecs && efx->tx_channel_offset == 0 &&
+           !rx_may_override_tx) {
+               netif_err(efx, drv, efx->net_dev, "Channels are shared. "
+                         "RX and TX IRQ moderation must be equal\n");
+               return -EINVAL;
+       }
+
+       efx->irq_rx_adaptive = rx_adaptive;
+       efx->irq_rx_moderation_us = rx_usecs;
+       efx_for_each_channel(channel, efx) {
+               if (efx_channel_has_rx_queue(channel))
+                       channel->irq_moderation_us = rx_usecs;
+               else if (efx_channel_has_tx_queues(channel))
+                       channel->irq_moderation_us = tx_usecs;
+               else if (efx_channel_is_xdp_tx(channel))
+                       channel->irq_moderation_us = tx_usecs;
+       }
+
+       return 0;
+}
+
+void efx_get_irq_moderation(struct efx_nic *efx, unsigned int *tx_usecs,
+                           unsigned int *rx_usecs, bool *rx_adaptive)
+{
+       *rx_adaptive = efx->irq_rx_adaptive;
+       *rx_usecs = efx->irq_rx_moderation_us;
+
+       /* If channels are shared between RX and TX, so is IRQ
+        * moderation.  Otherwise, IRQ moderation is the same for all
+        * TX channels and is not adaptive.
+        */
+       if (efx->tx_channel_offset == 0) {
+               *tx_usecs = *rx_usecs;
+       } else {
+               struct efx_channel *tx_channel;
+
+               tx_channel = efx->channel[efx->tx_channel_offset];
+               *tx_usecs = tx_channel->irq_moderation_us;
+       }
+}
+
+/**************************************************************************
+ *
+ * ioctls
+ *
+ *************************************************************************/
+
+/* Net device ioctl
+ * Context: process, rtnl_lock() held.
+ */
+static int efx_ioctl(struct net_device *net_dev, struct ifreq *ifr, int cmd)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       struct mii_ioctl_data *data = if_mii(ifr);
+
+       if (cmd == SIOCSHWTSTAMP)
+               return efx_ptp_set_ts_config(efx, ifr);
+       if (cmd == SIOCGHWTSTAMP)
+               return efx_ptp_get_ts_config(efx, ifr);
+
+       /* Convert phy_id from older PRTAD/DEVAD format */
+       if ((cmd == SIOCGMIIREG || cmd == SIOCSMIIREG) &&
+           (data->phy_id & 0xfc00) == 0x0400)
+               data->phy_id ^= MDIO_PHY_ID_C45 | 0x0400;
+
+       return mdio_mii_ioctl(&efx->mdio, data, cmd);
+}
+
+/**************************************************************************
+ *
+ * Kernel net device interface
+ *
+ *************************************************************************/
+
+/* Context: process, rtnl_lock() held. */
+int efx_net_open(struct net_device *net_dev)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int rc;
+
+       netif_dbg(efx, ifup, efx->net_dev, "opening device on CPU %d\n",
+                 raw_smp_processor_id());
+
+       rc = efx_check_disabled(efx);
+       if (rc)
+               return rc;
+       if (efx->phy_mode & PHY_MODE_SPECIAL)
+               return -EBUSY;
+       if (efx_mcdi_poll_reboot(efx) && efx_reset(efx, RESET_TYPE_ALL))
+               return -EIO;
+
+       /* Notify the kernel of the link state polled during driver load,
+        * before the monitor starts running */
+       efx_link_status_changed(efx);
+
+       efx_start_all(efx);
+       if (efx->state == STATE_DISABLED || efx->reset_pending)
+               netif_device_detach(efx->net_dev);
+       efx_selftest_async_start(efx);
+       return 0;
+}
+
+/* Context: process, rtnl_lock() held.
+ * Note that the kernel will ignore our return code; this method
+ * should really be a void.
+ */
+int efx_net_stop(struct net_device *net_dev)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       netif_dbg(efx, ifdown, efx->net_dev, "closing on CPU %d\n",
+                 raw_smp_processor_id());
+
+       /* Stop the device and flush all the channels */
+       efx_stop_all(efx);
+
+       return 0;
+}
+
+static int efx_vlan_rx_add_vid(struct net_device *net_dev, __be16 proto, u16 vid)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       if (efx->type->vlan_rx_add_vid)
+               return efx->type->vlan_rx_add_vid(efx, proto, vid);
+       else
+               return -EOPNOTSUPP;
+}
+
+static int efx_vlan_rx_kill_vid(struct net_device *net_dev, __be16 proto, u16 vid)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       if (efx->type->vlan_rx_kill_vid)
+               return efx->type->vlan_rx_kill_vid(efx, proto, vid);
+       else
+               return -EOPNOTSUPP;
+}
+
+static const struct net_device_ops efx_netdev_ops = {
+       .ndo_open               = efx_net_open,
+       .ndo_stop               = efx_net_stop,
+       .ndo_get_stats64        = efx_net_stats,
+       .ndo_tx_timeout         = efx_watchdog,
+       .ndo_start_xmit         = efx_hard_start_xmit,
+       .ndo_validate_addr      = eth_validate_addr,
+       .ndo_eth_ioctl          = efx_ioctl,
+       .ndo_change_mtu         = efx_change_mtu,
+       .ndo_set_mac_address    = efx_set_mac_address,
+       .ndo_set_rx_mode        = efx_set_rx_mode,
+       .ndo_set_features       = efx_set_features,
+       .ndo_features_check     = efx_features_check,
+       .ndo_vlan_rx_add_vid    = efx_vlan_rx_add_vid,
+       .ndo_vlan_rx_kill_vid   = efx_vlan_rx_kill_vid,
+#ifdef CONFIG_SFC_SRIOV
+       .ndo_set_vf_mac         = efx_sriov_set_vf_mac,
+       .ndo_set_vf_vlan        = efx_sriov_set_vf_vlan,
+       .ndo_set_vf_spoofchk    = efx_sriov_set_vf_spoofchk,
+       .ndo_get_vf_config      = efx_sriov_get_vf_config,
+       .ndo_set_vf_link_state  = efx_sriov_set_vf_link_state,
+#endif
+       .ndo_get_phys_port_id   = efx_get_phys_port_id,
+       .ndo_get_phys_port_name = efx_get_phys_port_name,
+       .ndo_setup_tc           = efx_setup_tc,
+#ifdef CONFIG_RFS_ACCEL
+       .ndo_rx_flow_steer      = efx_filter_rfs,
+#endif
+       .ndo_xdp_xmit           = efx_xdp_xmit,
+       .ndo_bpf                = efx_xdp
+};
+
+static int efx_xdp_setup_prog(struct efx_nic *efx, struct bpf_prog *prog)
+{
+       struct bpf_prog *old_prog;
+
+       if (efx->xdp_rxq_info_failed) {
+               netif_err(efx, drv, efx->net_dev,
+                         "Unable to bind XDP program due to previous failure of rxq_info\n");
+               return -EINVAL;
+       }
+
+       if (prog && efx->net_dev->mtu > efx_xdp_max_mtu(efx)) {
+               netif_err(efx, drv, efx->net_dev,
+                         "Unable to configure XDP with MTU of %d (max: %d)\n",
+                         efx->net_dev->mtu, efx_xdp_max_mtu(efx));
+               return -EINVAL;
+       }
+
+       old_prog = rtnl_dereference(efx->xdp_prog);
+       rcu_assign_pointer(efx->xdp_prog, prog);
+       /* Release the reference that was originally passed by the caller. */
+       if (old_prog)
+               bpf_prog_put(old_prog);
+
+       return 0;
+}
+
+/* Context: process, rtnl_lock() held. */
+static int efx_xdp(struct net_device *dev, struct netdev_bpf *xdp)
+{
+       struct efx_nic *efx = netdev_priv(dev);
+
+       switch (xdp->command) {
+       case XDP_SETUP_PROG:
+               return efx_xdp_setup_prog(efx, xdp->prog);
+       default:
+               return -EINVAL;
+       }
+}
+
+static int efx_xdp_xmit(struct net_device *dev, int n, struct xdp_frame **xdpfs,
+                       u32 flags)
+{
+       struct efx_nic *efx = netdev_priv(dev);
+
+       if (!netif_running(dev))
+               return -EINVAL;
+
+       return efx_xdp_tx_buffers(efx, n, xdpfs, flags & XDP_XMIT_FLUSH);
+}
+
+static void efx_update_name(struct efx_nic *efx)
+{
+       strcpy(efx->name, efx->net_dev->name);
+       efx_mtd_rename(efx);
+       efx_set_channel_names(efx);
+}
+
+static int efx_netdev_event(struct notifier_block *this,
+                           unsigned long event, void *ptr)
+{
+       struct net_device *net_dev = netdev_notifier_info_to_dev(ptr);
+
+       if ((net_dev->netdev_ops == &efx_netdev_ops) &&
+           event == NETDEV_CHANGENAME)
+               efx_update_name(netdev_priv(net_dev));
+
+       return NOTIFY_DONE;
+}
+
+static struct notifier_block efx_netdev_notifier = {
+       .notifier_call = efx_netdev_event,
+};
+
+static ssize_t phy_type_show(struct device *dev,
+                            struct device_attribute *attr, char *buf)
+{
+       struct efx_nic *efx = dev_get_drvdata(dev);
+       return sprintf(buf, "%d\n", efx->phy_type);
+}
+static DEVICE_ATTR_RO(phy_type);
+
+static int efx_register_netdev(struct efx_nic *efx)
+{
+       struct net_device *net_dev = efx->net_dev;
+       struct efx_channel *channel;
+       int rc;
+
+       net_dev->watchdog_timeo = 5 * HZ;
+       net_dev->irq = efx->pci_dev->irq;
+       net_dev->netdev_ops = &efx_netdev_ops;
+       if (efx_nic_rev(efx) >= EFX_REV_HUNT_A0)
+               net_dev->priv_flags |= IFF_UNICAST_FLT;
+       net_dev->ethtool_ops = &efx_ethtool_ops;
+       netif_set_tso_max_segs(net_dev, EFX_TSO_MAX_SEGS);
+       net_dev->min_mtu = EFX_MIN_MTU;
+       net_dev->max_mtu = EFX_MAX_MTU;
+
+       rtnl_lock();
+
+       /* Enable resets to be scheduled and check whether any were
+        * already requested.  If so, the NIC is probably hosed so we
+        * abort.
+        */
+       efx->state = STATE_READY;
+       smp_mb(); /* ensure we change state before checking reset_pending */
+       if (efx->reset_pending) {
+               pci_err(efx->pci_dev, "aborting probe due to scheduled reset\n");
+               rc = -EIO;
+               goto fail_locked;
+       }
+
+       rc = dev_alloc_name(net_dev, net_dev->name);
+       if (rc < 0)
+               goto fail_locked;
+       efx_update_name(efx);
+
+       /* Always start with carrier off; PHY events will detect the link */
+       netif_carrier_off(net_dev);
+
+       rc = register_netdevice(net_dev);
+       if (rc)
+               goto fail_locked;
+
+       efx_for_each_channel(channel, efx) {
+               struct efx_tx_queue *tx_queue;
+               efx_for_each_channel_tx_queue(tx_queue, channel)
+                       efx_init_tx_queue_core_txq(tx_queue);
+       }
+
+       efx_associate(efx);
+
+       rtnl_unlock();
+
+       rc = device_create_file(&efx->pci_dev->dev, &dev_attr_phy_type);
+       if (rc) {
+               netif_err(efx, drv, efx->net_dev,
+                         "failed to init net dev attributes\n");
+               goto fail_registered;
+       }
+
+       efx_init_mcdi_logging(efx);
+
+       return 0;
+
+fail_registered:
+       rtnl_lock();
+       efx_dissociate(efx);
+       unregister_netdevice(net_dev);
+fail_locked:
+       efx->state = STATE_UNINIT;
+       rtnl_unlock();
+       netif_err(efx, drv, efx->net_dev, "could not register net dev\n");
+       return rc;
+}
+
+static void efx_unregister_netdev(struct efx_nic *efx)
+{
+       if (!efx->net_dev)
+               return;
+
+       BUG_ON(netdev_priv(efx->net_dev) != efx);
+
+       if (efx_dev_registered(efx)) {
+               strlcpy(efx->name, pci_name(efx->pci_dev), sizeof(efx->name));
+               efx_fini_mcdi_logging(efx);
+               device_remove_file(&efx->pci_dev->dev, &dev_attr_phy_type);
+               unregister_netdev(efx->net_dev);
+       }
+}
+
+/**************************************************************************
+ *
+ * List of NICs we support
+ *
+ **************************************************************************/
+
+/* PCI device ID table */
+static const struct pci_device_id efx_pci_table[] = {
+       {PCI_DEVICE(PCI_VENDOR_ID_SOLARFLARE, 0x0903),  /* SFC9120 PF */
+        .driver_data = (unsigned long) &efx_hunt_a0_nic_type},
+       {PCI_DEVICE(PCI_VENDOR_ID_SOLARFLARE, 0x1903),  /* SFC9120 VF */
+        .driver_data = (unsigned long) &efx_hunt_a0_vf_nic_type},
+       {PCI_DEVICE(PCI_VENDOR_ID_SOLARFLARE, 0x0923),  /* SFC9140 PF */
+        .driver_data = (unsigned long) &efx_hunt_a0_nic_type},
+       {PCI_DEVICE(PCI_VENDOR_ID_SOLARFLARE, 0x1923),  /* SFC9140 VF */
+        .driver_data = (unsigned long) &efx_hunt_a0_vf_nic_type},
+       {PCI_DEVICE(PCI_VENDOR_ID_SOLARFLARE, 0x0a03),  /* SFC9220 PF */
+        .driver_data = (unsigned long) &efx_hunt_a0_nic_type},
+       {PCI_DEVICE(PCI_VENDOR_ID_SOLARFLARE, 0x1a03),  /* SFC9220 VF */
+        .driver_data = (unsigned long) &efx_hunt_a0_vf_nic_type},
+       {PCI_DEVICE(PCI_VENDOR_ID_SOLARFLARE, 0x0b03),  /* SFC9250 PF */
+        .driver_data = (unsigned long) &efx_hunt_a0_nic_type},
+       {PCI_DEVICE(PCI_VENDOR_ID_SOLARFLARE, 0x1b03),  /* SFC9250 VF */
+        .driver_data = (unsigned long) &efx_hunt_a0_vf_nic_type},
+       {0}                     /* end of list */
+};
+
+/**************************************************************************
+ *
+ * Data housekeeping
+ *
+ **************************************************************************/
+
+void efx_update_sw_stats(struct efx_nic *efx, u64 *stats)
+{
+       u64 n_rx_nodesc_trunc = 0;
+       struct efx_channel *channel;
+
+       efx_for_each_channel(channel, efx)
+               n_rx_nodesc_trunc += channel->n_rx_nodesc_trunc;
+       stats[GENERIC_STAT_rx_nodesc_trunc] = n_rx_nodesc_trunc;
+       stats[GENERIC_STAT_rx_noskb_drops] = atomic_read(&efx->n_rx_noskb_drops);
+}
+
+/**************************************************************************
+ *
+ * PCI interface
+ *
+ **************************************************************************/
+
+/* Main body of final NIC shutdown code
+ * This is called only at module unload (or hotplug removal).
+ */
+static void efx_pci_remove_main(struct efx_nic *efx)
+{
+       /* Flush reset_work. It can no longer be scheduled since we
+        * are not READY.
+        */
+       BUG_ON(efx->state == STATE_READY);
+       efx_flush_reset_workqueue(efx);
+
+       efx_disable_interrupts(efx);
+       efx_clear_interrupt_affinity(efx);
+       efx_nic_fini_interrupt(efx);
+       efx_fini_port(efx);
+       efx->type->fini(efx);
+       efx_fini_napi(efx);
+       efx_remove_all(efx);
+}
+
+/* Final NIC shutdown
+ * This is called only at module unload (or hotplug removal).  A PF can call
+ * this on its VFs to ensure they are unbound first.
+ */
+static void efx_pci_remove(struct pci_dev *pci_dev)
+{
+       struct efx_nic *efx;
+
+       efx = pci_get_drvdata(pci_dev);
+       if (!efx)
+               return;
+
+       /* Mark the NIC as fini, then stop the interface */
+       rtnl_lock();
+       efx_dissociate(efx);
+       dev_close(efx->net_dev);
+       efx_disable_interrupts(efx);
+       efx->state = STATE_UNINIT;
+       rtnl_unlock();
+
+       if (efx->type->sriov_fini)
+               efx->type->sriov_fini(efx);
+
+       efx_unregister_netdev(efx);
+
+       efx_mtd_remove(efx);
+
+       efx_pci_remove_main(efx);
+
+       efx_fini_io(efx);
+       netif_dbg(efx, drv, efx->net_dev, "shutdown successful\n");
+
+       efx_fini_struct(efx);
+       free_netdev(efx->net_dev);
+
+       pci_disable_pcie_error_reporting(pci_dev);
+};
+
+/* NIC VPD information
+ * Called during probe to display the part number of the
+ * installed NIC.
+ */
+static void efx_probe_vpd_strings(struct efx_nic *efx)
+{
+       struct pci_dev *dev = efx->pci_dev;
+       unsigned int vpd_size, kw_len;
+       u8 *vpd_data;
+       int start;
+
+       vpd_data = pci_vpd_alloc(dev, &vpd_size);
+       if (IS_ERR(vpd_data)) {
+               pci_warn(dev, "Unable to read VPD\n");
+               return;
+       }
+
+       start = pci_vpd_find_ro_info_keyword(vpd_data, vpd_size,
+                                            PCI_VPD_RO_KEYWORD_PARTNO, &kw_len);
+       if (start < 0)
+               pci_err(dev, "Part number not found or incomplete\n");
+       else
+               pci_info(dev, "Part Number : %.*s\n", kw_len, vpd_data + start);
+
+       start = pci_vpd_find_ro_info_keyword(vpd_data, vpd_size,
+                                            PCI_VPD_RO_KEYWORD_SERIALNO, &kw_len);
+       if (start < 0)
+               pci_err(dev, "Serial number not found or incomplete\n");
+       else
+               efx->vpd_sn = kmemdup_nul(vpd_data + start, kw_len, GFP_KERNEL);
+
+       kfree(vpd_data);
+}
+
+
+/* Main body of NIC initialisation
+ * This is called at module load (or hotplug insertion, theoretically).
+ */
+static int efx_pci_probe_main(struct efx_nic *efx)
+{
+       int rc;
+
+       /* Do start-of-day initialisation */
+       rc = efx_probe_all(efx);
+       if (rc)
+               goto fail1;
+
+       efx_init_napi(efx);
+
+       down_write(&efx->filter_sem);
+       rc = efx->type->init(efx);
+       up_write(&efx->filter_sem);
+       if (rc) {
+               pci_err(efx->pci_dev, "failed to initialise NIC\n");
+               goto fail3;
+       }
+
+       rc = efx_init_port(efx);
+       if (rc) {
+               netif_err(efx, probe, efx->net_dev,
+                         "failed to initialise port\n");
+               goto fail4;
+       }
+
+       rc = efx_nic_init_interrupt(efx);
+       if (rc)
+               goto fail5;
+
+       efx_set_interrupt_affinity(efx);
+       rc = efx_enable_interrupts(efx);
+       if (rc)
+               goto fail6;
+
+       return 0;
+
+ fail6:
+       efx_clear_interrupt_affinity(efx);
+       efx_nic_fini_interrupt(efx);
+ fail5:
+       efx_fini_port(efx);
+ fail4:
+       efx->type->fini(efx);
+ fail3:
+       efx_fini_napi(efx);
+       efx_remove_all(efx);
+ fail1:
+       return rc;
+}
+
+static int efx_pci_probe_post_io(struct efx_nic *efx)
+{
+       struct net_device *net_dev = efx->net_dev;
+       int rc = efx_pci_probe_main(efx);
+
+       if (rc)
+               return rc;
+
+       if (efx->type->sriov_init) {
+               rc = efx->type->sriov_init(efx);
+               if (rc)
+                       pci_err(efx->pci_dev, "SR-IOV can't be enabled rc %d\n",
+                               rc);
+       }
+
+       /* Determine netdevice features */
+       net_dev->features |= (efx->type->offload_features | NETIF_F_SG |
+                             NETIF_F_TSO | NETIF_F_RXCSUM | NETIF_F_RXALL);
+       if (efx->type->offload_features & (NETIF_F_IPV6_CSUM | NETIF_F_HW_CSUM))
+               net_dev->features |= NETIF_F_TSO6;
+       /* Check whether device supports TSO */
+       if (!efx->type->tso_versions || !efx->type->tso_versions(efx))
+               net_dev->features &= ~NETIF_F_ALL_TSO;
+       /* Mask for features that also apply to VLAN devices */
+       net_dev->vlan_features |= (NETIF_F_HW_CSUM | NETIF_F_SG |
+                                  NETIF_F_HIGHDMA | NETIF_F_ALL_TSO |
+                                  NETIF_F_RXCSUM);
+
+       net_dev->hw_features |= net_dev->features & ~efx->fixed_features;
+
+       /* Disable receiving frames with bad FCS, by default. */
+       net_dev->features &= ~NETIF_F_RXALL;
+
+       /* Disable VLAN filtering by default.  It may be enforced if
+        * the feature is fixed (i.e. VLAN filters are required to
+        * receive VLAN tagged packets due to vPort restrictions).
+        */
+       net_dev->features &= ~NETIF_F_HW_VLAN_CTAG_FILTER;
+       net_dev->features |= efx->fixed_features;
+
+       rc = efx_register_netdev(efx);
+       if (!rc)
+               return 0;
+
+       efx_pci_remove_main(efx);
+       return rc;
+}
+
+/* NIC initialisation
+ *
+ * This is called at module load (or hotplug insertion,
+ * theoretically).  It sets up PCI mappings, resets the NIC,
+ * sets up and registers the network devices with the kernel and hooks
+ * the interrupt service routine.  It does not prepare the device for
+ * transmission; this is left to the first time one of the network
+ * interfaces is brought up (i.e. efx_net_open).
+ */
+static int efx_pci_probe(struct pci_dev *pci_dev,
+                        const struct pci_device_id *entry)
+{
+       struct net_device *net_dev;
+       struct efx_nic *efx;
+       int rc;
+
+       /* Allocate and initialise a struct net_device and struct efx_nic */
+       net_dev = alloc_etherdev_mqs(sizeof(*efx), EFX_MAX_CORE_TX_QUEUES,
+                                    EFX_MAX_RX_QUEUES);
+       if (!net_dev)
+               return -ENOMEM;
+       efx = netdev_priv(net_dev);
+       efx->type = (const struct efx_nic_type *) entry->driver_data;
+       efx->fixed_features |= NETIF_F_HIGHDMA;
+
+       pci_set_drvdata(pci_dev, efx);
+       SET_NETDEV_DEV(net_dev, &pci_dev->dev);
+       rc = efx_init_struct(efx, pci_dev, net_dev);
+       if (rc)
+               goto fail1;
+
+       pci_info(pci_dev, "Solarflare NIC detected\n");
+
+       if (!efx->type->is_vf)
+               efx_probe_vpd_strings(efx);
+
+       /* Set up basic I/O (BAR mappings etc) */
+       rc = efx_init_io(efx, efx->type->mem_bar(efx), efx->type->max_dma_mask,
+                        efx->type->mem_map_size(efx));
+       if (rc)
+               goto fail2;
+
+       rc = efx_pci_probe_post_io(efx);
+       if (rc) {
+               /* On failure, retry once immediately.
+                * If we aborted probe due to a scheduled reset, dismiss it.
+                */
+               efx->reset_pending = 0;
+               rc = efx_pci_probe_post_io(efx);
+               if (rc) {
+                       /* On another failure, retry once more
+                        * after a 50-305ms delay.
+                        */
+                       unsigned char r;
+
+                       get_random_bytes(&r, 1);
+                       msleep((unsigned int)r + 50);
+                       efx->reset_pending = 0;
+                       rc = efx_pci_probe_post_io(efx);
+               }
+       }
+       if (rc)
+               goto fail3;
+
+       netif_dbg(efx, probe, efx->net_dev, "initialisation successful\n");
+
+       /* Try to create MTDs, but allow this to fail */
+       rtnl_lock();
+       rc = efx_mtd_probe(efx);
+       rtnl_unlock();
+       if (rc && rc != -EPERM)
+               netif_warn(efx, probe, efx->net_dev,
+                          "failed to create MTDs (%d)\n", rc);
+
+       (void)pci_enable_pcie_error_reporting(pci_dev);
+
+       if (efx->type->udp_tnl_push_ports)
+               efx->type->udp_tnl_push_ports(efx);
+
+       return 0;
+
+ fail3:
+       efx_fini_io(efx);
+ fail2:
+       efx_fini_struct(efx);
+ fail1:
+       WARN_ON(rc > 0);
+       netif_dbg(efx, drv, efx->net_dev, "initialisation failed. rc=%d\n", rc);
+       free_netdev(net_dev);
+       return rc;
+}
+
+/* efx_pci_sriov_configure returns the actual number of Virtual Functions
+ * enabled on success
+ */
+#ifdef CONFIG_SFC_SRIOV
+static int efx_pci_sriov_configure(struct pci_dev *dev, int num_vfs)
+{
+       int rc;
+       struct efx_nic *efx = pci_get_drvdata(dev);
+
+       if (efx->type->sriov_configure) {
+               rc = efx->type->sriov_configure(efx, num_vfs);
+               if (rc)
+                       return rc;
+               else
+                       return num_vfs;
+       } else
+               return -EOPNOTSUPP;
+}
+#endif
+
+static int efx_pm_freeze(struct device *dev)
+{
+       struct efx_nic *efx = dev_get_drvdata(dev);
+
+       rtnl_lock();
+
+       if (efx->state != STATE_DISABLED) {
+               efx->state = STATE_UNINIT;
+
+               efx_device_detach_sync(efx);
+
+               efx_stop_all(efx);
+               efx_disable_interrupts(efx);
+       }
+
+       rtnl_unlock();
+
+       return 0;
+}
+
+static int efx_pm_thaw(struct device *dev)
+{
+       int rc;
+       struct efx_nic *efx = dev_get_drvdata(dev);
+
+       rtnl_lock();
+
+       if (efx->state != STATE_DISABLED) {
+               rc = efx_enable_interrupts(efx);
+               if (rc)
+                       goto fail;
+
+               mutex_lock(&efx->mac_lock);
+               efx_mcdi_port_reconfigure(efx);
+               mutex_unlock(&efx->mac_lock);
+
+               efx_start_all(efx);
+
+               efx_device_attach_if_not_resetting(efx);
+
+               efx->state = STATE_READY;
+
+               efx->type->resume_wol(efx);
+       }
+
+       rtnl_unlock();
+
+       /* Reschedule any quenched resets scheduled during efx_pm_freeze() */
+       efx_queue_reset_work(efx);
+
+       return 0;
+
+fail:
+       rtnl_unlock();
+
+       return rc;
+}
+
+static int efx_pm_poweroff(struct device *dev)
+{
+       struct pci_dev *pci_dev = to_pci_dev(dev);
+       struct efx_nic *efx = pci_get_drvdata(pci_dev);
+
+       efx->type->fini(efx);
+
+       efx->reset_pending = 0;
+
+       pci_save_state(pci_dev);
+       return pci_set_power_state(pci_dev, PCI_D3hot);
+}
+
+/* Used for both resume and restore */
+static int efx_pm_resume(struct device *dev)
+{
+       struct pci_dev *pci_dev = to_pci_dev(dev);
+       struct efx_nic *efx = pci_get_drvdata(pci_dev);
+       int rc;
+
+       rc = pci_set_power_state(pci_dev, PCI_D0);
+       if (rc)
+               return rc;
+       pci_restore_state(pci_dev);
+       rc = pci_enable_device(pci_dev);
+       if (rc)
+               return rc;
+       pci_set_master(efx->pci_dev);
+       rc = efx->type->reset(efx, RESET_TYPE_ALL);
+       if (rc)
+               return rc;
+       down_write(&efx->filter_sem);
+       rc = efx->type->init(efx);
+       up_write(&efx->filter_sem);
+       if (rc)
+               return rc;
+       rc = efx_pm_thaw(dev);
+       return rc;
+}
+
+static int efx_pm_suspend(struct device *dev)
+{
+       int rc;
+
+       efx_pm_freeze(dev);
+       rc = efx_pm_poweroff(dev);
+       if (rc)
+               efx_pm_resume(dev);
+       return rc;
+}
+
+static const struct dev_pm_ops efx_pm_ops = {
+       .suspend        = efx_pm_suspend,
+       .resume         = efx_pm_resume,
+       .freeze         = efx_pm_freeze,
+       .thaw           = efx_pm_thaw,
+       .poweroff       = efx_pm_poweroff,
+       .restore        = efx_pm_resume,
+};
+
+static struct pci_driver efx_pci_driver = {
+       .name           = KBUILD_MODNAME,
+       .id_table       = efx_pci_table,
+       .probe          = efx_pci_probe,
+       .remove         = efx_pci_remove,
+       .driver.pm      = &efx_pm_ops,
+       .err_handler    = &efx_err_handlers,
+#ifdef CONFIG_SFC_SRIOV
+       .sriov_configure = efx_pci_sriov_configure,
+#endif
+};
+
+/**************************************************************************
+ *
+ * Kernel module interface
+ *
+ *************************************************************************/
+
+static int __init efx_init_module(void)
+{
+       int rc;
+
+       printk(KERN_INFO "Solarflare NET driver\n");
+
+       rc = register_netdevice_notifier(&efx_netdev_notifier);
+       if (rc)
+               goto err_notifier;
+
+       rc = efx_create_reset_workqueue();
+       if (rc)
+               goto err_reset;
+
+       rc = pci_register_driver(&efx_pci_driver);
+       if (rc < 0)
+               goto err_pci;
+
+       rc = pci_register_driver(&ef100_pci_driver);
+       if (rc < 0)
+               goto err_pci_ef100;
+
+       return 0;
+
+ err_pci_ef100:
+       pci_unregister_driver(&efx_pci_driver);
+ err_pci:
+       efx_destroy_reset_workqueue();
+ err_reset:
+       unregister_netdevice_notifier(&efx_netdev_notifier);
+ err_notifier:
+       return rc;
+}
+
+static void __exit efx_exit_module(void)
+{
+       printk(KERN_INFO "Solarflare NET driver unloading\n");
+
+       pci_unregister_driver(&ef100_pci_driver);
+       pci_unregister_driver(&efx_pci_driver);
+       efx_destroy_reset_workqueue();
+       unregister_netdevice_notifier(&efx_netdev_notifier);
+
+}
+
+module_init(efx_init_module);
+module_exit(efx_exit_module);
+
+MODULE_AUTHOR("Solarflare Communications and "
+             "Michael Brown <mbrown@fensystems.co.uk>");
+MODULE_DESCRIPTION("Solarflare network driver");
+MODULE_LICENSE("GPL");
+MODULE_DEVICE_TABLE(pci, efx_pci_table);
diff --git a/drivers/net/ethernet/sfc/siena/efx.h b/drivers/net/ethernet/sfc/siena/efx.h
new file mode 100644 (file)
index 0000000..c05a83d
--- /dev/null
@@ -0,0 +1,236 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2005-2006 Fen Systems Ltd.
+ * Copyright 2006-2013 Solarflare Communications Inc.
+ */
+
+#ifndef EFX_EFX_H
+#define EFX_EFX_H
+
+#include <linux/indirect_call_wrapper.h>
+#include "net_driver.h"
+#include "ef100_rx.h"
+#include "ef100_tx.h"
+#include "filter.h"
+
+int efx_net_open(struct net_device *net_dev);
+int efx_net_stop(struct net_device *net_dev);
+
+/* TX */
+void efx_init_tx_queue_core_txq(struct efx_tx_queue *tx_queue);
+netdev_tx_t efx_hard_start_xmit(struct sk_buff *skb,
+                               struct net_device *net_dev);
+netdev_tx_t __efx_enqueue_skb(struct efx_tx_queue *tx_queue, struct sk_buff *skb);
+static inline netdev_tx_t efx_enqueue_skb(struct efx_tx_queue *tx_queue, struct sk_buff *skb)
+{
+       return INDIRECT_CALL_2(tx_queue->efx->type->tx_enqueue,
+                              ef100_enqueue_skb, __efx_enqueue_skb,
+                              tx_queue, skb);
+}
+void efx_xmit_done_single(struct efx_tx_queue *tx_queue);
+int efx_setup_tc(struct net_device *net_dev, enum tc_setup_type type,
+                void *type_data);
+extern unsigned int efx_piobuf_size;
+
+/* RX */
+void __efx_rx_packet(struct efx_channel *channel);
+void efx_rx_packet(struct efx_rx_queue *rx_queue, unsigned int index,
+                  unsigned int n_frags, unsigned int len, u16 flags);
+static inline void efx_rx_flush_packet(struct efx_channel *channel)
+{
+       if (channel->rx_pkt_n_frags)
+               INDIRECT_CALL_2(channel->efx->type->rx_packet,
+                               __ef100_rx_packet, __efx_rx_packet,
+                               channel);
+}
+static inline bool efx_rx_buf_hash_valid(struct efx_nic *efx, const u8 *prefix)
+{
+       if (efx->type->rx_buf_hash_valid)
+               return INDIRECT_CALL_1(efx->type->rx_buf_hash_valid,
+                                      ef100_rx_buf_hash_valid,
+                                      prefix);
+       return true;
+}
+
+/* Maximum number of TCP segments we support for soft-TSO */
+#define EFX_TSO_MAX_SEGS       100
+
+/* The smallest [rt]xq_entries that the driver supports.  RX minimum
+ * is a bit arbitrary.  For TX, we must have space for at least 2
+ * TSO skbs.
+ */
+#define EFX_RXQ_MIN_ENT                128U
+#define EFX_TXQ_MIN_ENT(efx)   (2 * efx_tx_max_skb_descs(efx))
+
+/* All EF10 architecture NICs steal one bit of the DMAQ size for various
+ * other purposes when counting TxQ entries, so we halve the queue size.
+ */
+#define EFX_TXQ_MAX_ENT(efx)   (EFX_WORKAROUND_EF10(efx) ? \
+                                EFX_MAX_DMAQ_SIZE / 2 : EFX_MAX_DMAQ_SIZE)
+
+static inline bool efx_rss_enabled(struct efx_nic *efx)
+{
+       return efx->rss_spread > 1;
+}
+
+/* Filters */
+
+/**
+ * efx_filter_insert_filter - add or replace a filter
+ * @efx: NIC in which to insert the filter
+ * @spec: Specification for the filter
+ * @replace_equal: Flag for whether the specified filter may replace an
+ *     existing filter with equal priority
+ *
+ * On success, return the filter ID.
+ * On failure, return a negative error code.
+ *
+ * If existing filters have equal match values to the new filter spec,
+ * then the new filter might replace them or the function might fail,
+ * as follows.
+ *
+ * 1. If the existing filters have lower priority, or @replace_equal
+ *    is set and they have equal priority, replace them.
+ *
+ * 2. If the existing filters have higher priority, return -%EPERM.
+ *
+ * 3. If !efx_filter_is_mc_recipient(@spec), or the NIC does not
+ *    support delivery to multiple recipients, return -%EEXIST.
+ *
+ * This implies that filters for multiple multicast recipients must
+ * all be inserted with the same priority and @replace_equal = %false.
+ */
+static inline s32 efx_filter_insert_filter(struct efx_nic *efx,
+                                          struct efx_filter_spec *spec,
+                                          bool replace_equal)
+{
+       return efx->type->filter_insert(efx, spec, replace_equal);
+}
+
+/**
+ * efx_filter_remove_id_safe - remove a filter by ID, carefully
+ * @efx: NIC from which to remove the filter
+ * @priority: Priority of filter, as passed to @efx_filter_insert_filter
+ * @filter_id: ID of filter, as returned by @efx_filter_insert_filter
+ *
+ * This function will range-check @filter_id, so it is safe to call
+ * with a value passed from userland.
+ */
+static inline int efx_filter_remove_id_safe(struct efx_nic *efx,
+                                           enum efx_filter_priority priority,
+                                           u32 filter_id)
+{
+       return efx->type->filter_remove_safe(efx, priority, filter_id);
+}
+
+/**
+ * efx_filter_get_filter_safe - retrieve a filter by ID, carefully
+ * @efx: NIC from which to remove the filter
+ * @priority: Priority of filter, as passed to @efx_filter_insert_filter
+ * @filter_id: ID of filter, as returned by @efx_filter_insert_filter
+ * @spec: Buffer in which to store filter specification
+ *
+ * This function will range-check @filter_id, so it is safe to call
+ * with a value passed from userland.
+ */
+static inline int
+efx_filter_get_filter_safe(struct efx_nic *efx,
+                          enum efx_filter_priority priority,
+                          u32 filter_id, struct efx_filter_spec *spec)
+{
+       return efx->type->filter_get_safe(efx, priority, filter_id, spec);
+}
+
+static inline u32 efx_filter_count_rx_used(struct efx_nic *efx,
+                                          enum efx_filter_priority priority)
+{
+       return efx->type->filter_count_rx_used(efx, priority);
+}
+static inline u32 efx_filter_get_rx_id_limit(struct efx_nic *efx)
+{
+       return efx->type->filter_get_rx_id_limit(efx);
+}
+static inline s32 efx_filter_get_rx_ids(struct efx_nic *efx,
+                                       enum efx_filter_priority priority,
+                                       u32 *buf, u32 size)
+{
+       return efx->type->filter_get_rx_ids(efx, priority, buf, size);
+}
+
+/* RSS contexts */
+static inline bool efx_rss_active(struct efx_rss_context *ctx)
+{
+       return ctx->context_id != EFX_MCDI_RSS_CONTEXT_INVALID;
+}
+
+/* Ethtool support */
+extern const struct ethtool_ops efx_ethtool_ops;
+
+/* Global */
+unsigned int efx_usecs_to_ticks(struct efx_nic *efx, unsigned int usecs);
+unsigned int efx_ticks_to_usecs(struct efx_nic *efx, unsigned int ticks);
+int efx_init_irq_moderation(struct efx_nic *efx, unsigned int tx_usecs,
+                           unsigned int rx_usecs, bool rx_adaptive,
+                           bool rx_may_override_tx);
+void efx_get_irq_moderation(struct efx_nic *efx, unsigned int *tx_usecs,
+                           unsigned int *rx_usecs, bool *rx_adaptive);
+
+/* Update the generic software stats in the passed stats array */
+void efx_update_sw_stats(struct efx_nic *efx, u64 *stats);
+
+/* MTD */
+#ifdef CONFIG_SFC_MTD
+int efx_mtd_add(struct efx_nic *efx, struct efx_mtd_partition *parts,
+               size_t n_parts, size_t sizeof_part);
+static inline int efx_mtd_probe(struct efx_nic *efx)
+{
+       return efx->type->mtd_probe(efx);
+}
+void efx_mtd_rename(struct efx_nic *efx);
+void efx_mtd_remove(struct efx_nic *efx);
+#else
+static inline int efx_mtd_probe(struct efx_nic *efx) { return 0; }
+static inline void efx_mtd_rename(struct efx_nic *efx) {}
+static inline void efx_mtd_remove(struct efx_nic *efx) {}
+#endif
+
+#ifdef CONFIG_SFC_SRIOV
+static inline unsigned int efx_vf_size(struct efx_nic *efx)
+{
+       return 1 << efx->vi_scale;
+}
+#endif
+
+static inline void efx_device_detach_sync(struct efx_nic *efx)
+{
+       struct net_device *dev = efx->net_dev;
+
+       /* Lock/freeze all TX queues so that we can be sure the
+        * TX scheduler is stopped when we're done and before
+        * netif_device_present() becomes false.
+        */
+       netif_tx_lock_bh(dev);
+       netif_device_detach(dev);
+       netif_tx_unlock_bh(dev);
+}
+
+static inline void efx_device_attach_if_not_resetting(struct efx_nic *efx)
+{
+       if ((efx->state != STATE_DISABLED) && !efx->reset_pending)
+               netif_device_attach(efx->net_dev);
+}
+
+static inline bool efx_rwsem_assert_write_locked(struct rw_semaphore *sem)
+{
+       if (WARN_ON(down_read_trylock(sem))) {
+               up_read(sem);
+               return false;
+       }
+       return true;
+}
+
+int efx_xdp_tx_buffers(struct efx_nic *efx, int n, struct xdp_frame **xdpfs,
+                      bool flush);
+
+#endif /* EFX_EFX_H */
diff --git a/drivers/net/ethernet/sfc/siena/efx_channels.c b/drivers/net/ethernet/sfc/siena/efx_channels.c
new file mode 100644 (file)
index 0000000..3f28f98
--- /dev/null
@@ -0,0 +1,1368 @@
+// SPDX-License-Identifier: GPL-2.0-only
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2018 Solarflare Communications Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify it
+ * under the terms of the GNU General Public License version 2 as published
+ * by the Free Software Foundation, incorporated herein by reference.
+ */
+
+#include "net_driver.h"
+#include <linux/module.h>
+#include <linux/filter.h>
+#include "efx_channels.h"
+#include "efx.h"
+#include "efx_common.h"
+#include "tx_common.h"
+#include "rx_common.h"
+#include "nic.h"
+#include "sriov.h"
+#include "workarounds.h"
+
+/* This is the first interrupt mode to try out of:
+ * 0 => MSI-X
+ * 1 => MSI
+ * 2 => legacy
+ */
+unsigned int efx_interrupt_mode = EFX_INT_MODE_MSIX;
+
+/* This is the requested number of CPUs to use for Receive-Side Scaling (RSS),
+ * i.e. the number of CPUs among which we may distribute simultaneous
+ * interrupt handling.
+ *
+ * Cards without MSI-X will only target one CPU via legacy or MSI interrupt.
+ * The default (0) means to assign an interrupt to each core.
+ */
+unsigned int rss_cpus;
+
+static unsigned int irq_adapt_low_thresh = 8000;
+module_param(irq_adapt_low_thresh, uint, 0644);
+MODULE_PARM_DESC(irq_adapt_low_thresh,
+                "Threshold score for reducing IRQ moderation");
+
+static unsigned int irq_adapt_high_thresh = 16000;
+module_param(irq_adapt_high_thresh, uint, 0644);
+MODULE_PARM_DESC(irq_adapt_high_thresh,
+                "Threshold score for increasing IRQ moderation");
+
+/* This is the weight assigned to each of the (per-channel) virtual
+ * NAPI devices.
+ */
+static int napi_weight = 64;
+
+static const struct efx_channel_type efx_default_channel_type;
+
+/*************
+ * INTERRUPTS
+ *************/
+
+static unsigned int count_online_cores(struct efx_nic *efx, bool local_node)
+{
+       cpumask_var_t filter_mask;
+       unsigned int count;
+       int cpu;
+
+       if (unlikely(!zalloc_cpumask_var(&filter_mask, GFP_KERNEL))) {
+               netif_warn(efx, probe, efx->net_dev,
+                          "RSS disabled due to allocation failure\n");
+               return 1;
+       }
+
+       cpumask_copy(filter_mask, cpu_online_mask);
+       if (local_node)
+               cpumask_and(filter_mask, filter_mask,
+                           cpumask_of_pcibus(efx->pci_dev->bus));
+
+       count = 0;
+       for_each_cpu(cpu, filter_mask) {
+               ++count;
+               cpumask_andnot(filter_mask, filter_mask, topology_sibling_cpumask(cpu));
+       }
+
+       free_cpumask_var(filter_mask);
+
+       return count;
+}
+
+static unsigned int efx_wanted_parallelism(struct efx_nic *efx)
+{
+       unsigned int count;
+
+       if (rss_cpus) {
+               count = rss_cpus;
+       } else {
+               count = count_online_cores(efx, true);
+
+               /* If no online CPUs in local node, fallback to any online CPUs */
+               if (count == 0)
+                       count = count_online_cores(efx, false);
+       }
+
+       if (count > EFX_MAX_RX_QUEUES) {
+               netif_cond_dbg(efx, probe, efx->net_dev, !rss_cpus, warn,
+                              "Reducing number of rx queues from %u to %u.\n",
+                              count, EFX_MAX_RX_QUEUES);
+               count = EFX_MAX_RX_QUEUES;
+       }
+
+       /* If RSS is requested for the PF *and* VFs then we can't write RSS
+        * table entries that are inaccessible to VFs
+        */
+#ifdef CONFIG_SFC_SRIOV
+       if (efx->type->sriov_wanted) {
+               if (efx->type->sriov_wanted(efx) && efx_vf_size(efx) > 1 &&
+                   count > efx_vf_size(efx)) {
+                       netif_warn(efx, probe, efx->net_dev,
+                                  "Reducing number of RSS channels from %u to %u for "
+                                  "VF support. Increase vf-msix-limit to use more "
+                                  "channels on the PF.\n",
+                                  count, efx_vf_size(efx));
+                       count = efx_vf_size(efx);
+               }
+       }
+#endif
+
+       return count;
+}
+
+static int efx_allocate_msix_channels(struct efx_nic *efx,
+                                     unsigned int max_channels,
+                                     unsigned int extra_channels,
+                                     unsigned int parallelism)
+{
+       unsigned int n_channels = parallelism;
+       int vec_count;
+       int tx_per_ev;
+       int n_xdp_tx;
+       int n_xdp_ev;
+
+       if (efx_separate_tx_channels)
+               n_channels *= 2;
+       n_channels += extra_channels;
+
+       /* To allow XDP transmit to happen from arbitrary NAPI contexts
+        * we allocate a TX queue per CPU. We share event queues across
+        * multiple tx queues, assuming tx and ev queues are both
+        * maximum size.
+        */
+       tx_per_ev = EFX_MAX_EVQ_SIZE / EFX_TXQ_MAX_ENT(efx);
+       tx_per_ev = min(tx_per_ev, EFX_MAX_TXQ_PER_CHANNEL);
+       n_xdp_tx = num_possible_cpus();
+       n_xdp_ev = DIV_ROUND_UP(n_xdp_tx, tx_per_ev);
+
+       vec_count = pci_msix_vec_count(efx->pci_dev);
+       if (vec_count < 0)
+               return vec_count;
+
+       max_channels = min_t(unsigned int, vec_count, max_channels);
+
+       /* Check resources.
+        * We need a channel per event queue, plus a VI per tx queue.
+        * This may be more pessimistic than it needs to be.
+        */
+       if (n_channels >= max_channels) {
+               efx->xdp_txq_queues_mode = EFX_XDP_TX_QUEUES_BORROWED;
+               netif_warn(efx, drv, efx->net_dev,
+                          "Insufficient resources for %d XDP event queues (%d other channels, max %d)\n",
+                          n_xdp_ev, n_channels, max_channels);
+               netif_warn(efx, drv, efx->net_dev,
+                          "XDP_TX and XDP_REDIRECT might decrease device's performance\n");
+       } else if (n_channels + n_xdp_tx > efx->max_vis) {
+               efx->xdp_txq_queues_mode = EFX_XDP_TX_QUEUES_BORROWED;
+               netif_warn(efx, drv, efx->net_dev,
+                          "Insufficient resources for %d XDP TX queues (%d other channels, max VIs %d)\n",
+                          n_xdp_tx, n_channels, efx->max_vis);
+               netif_warn(efx, drv, efx->net_dev,
+                          "XDP_TX and XDP_REDIRECT might decrease device's performance\n");
+       } else if (n_channels + n_xdp_ev > max_channels) {
+               efx->xdp_txq_queues_mode = EFX_XDP_TX_QUEUES_SHARED;
+               netif_warn(efx, drv, efx->net_dev,
+                          "Insufficient resources for %d XDP event queues (%d other channels, max %d)\n",
+                          n_xdp_ev, n_channels, max_channels);
+
+               n_xdp_ev = max_channels - n_channels;
+               netif_warn(efx, drv, efx->net_dev,
+                          "XDP_TX and XDP_REDIRECT will work with reduced performance (%d cpus/tx_queue)\n",
+                          DIV_ROUND_UP(n_xdp_tx, tx_per_ev * n_xdp_ev));
+       } else {
+               efx->xdp_txq_queues_mode = EFX_XDP_TX_QUEUES_DEDICATED;
+       }
+
+       if (efx->xdp_txq_queues_mode != EFX_XDP_TX_QUEUES_BORROWED) {
+               efx->n_xdp_channels = n_xdp_ev;
+               efx->xdp_tx_per_channel = tx_per_ev;
+               efx->xdp_tx_queue_count = n_xdp_tx;
+               n_channels += n_xdp_ev;
+               netif_dbg(efx, drv, efx->net_dev,
+                         "Allocating %d TX and %d event queues for XDP\n",
+                         n_xdp_ev * tx_per_ev, n_xdp_ev);
+       } else {
+               efx->n_xdp_channels = 0;
+               efx->xdp_tx_per_channel = 0;
+               efx->xdp_tx_queue_count = n_xdp_tx;
+       }
+
+       if (vec_count < n_channels) {
+               netif_err(efx, drv, efx->net_dev,
+                         "WARNING: Insufficient MSI-X vectors available (%d < %u).\n",
+                         vec_count, n_channels);
+               netif_err(efx, drv, efx->net_dev,
+                         "WARNING: Performance may be reduced.\n");
+               n_channels = vec_count;
+       }
+
+       n_channels = min(n_channels, max_channels);
+
+       efx->n_channels = n_channels;
+
+       /* Ignore XDP tx channels when creating rx channels. */
+       n_channels -= efx->n_xdp_channels;
+
+       if (efx_separate_tx_channels) {
+               efx->n_tx_channels =
+                       min(max(n_channels / 2, 1U),
+                           efx->max_tx_channels);
+               efx->tx_channel_offset =
+                       n_channels - efx->n_tx_channels;
+               efx->n_rx_channels =
+                       max(n_channels -
+                           efx->n_tx_channels, 1U);
+       } else {
+               efx->n_tx_channels = min(n_channels, efx->max_tx_channels);
+               efx->tx_channel_offset = 0;
+               efx->n_rx_channels = n_channels;
+       }
+
+       efx->n_rx_channels = min(efx->n_rx_channels, parallelism);
+       efx->n_tx_channels = min(efx->n_tx_channels, parallelism);
+
+       efx->xdp_channel_offset = n_channels;
+
+       netif_dbg(efx, drv, efx->net_dev,
+                 "Allocating %u RX channels\n",
+                 efx->n_rx_channels);
+
+       return efx->n_channels;
+}
+
+/* Probe the number and type of interrupts we are able to obtain, and
+ * the resulting numbers of channels and RX queues.
+ */
+int efx_probe_interrupts(struct efx_nic *efx)
+{
+       unsigned int extra_channels = 0;
+       unsigned int rss_spread;
+       unsigned int i, j;
+       int rc;
+
+       for (i = 0; i < EFX_MAX_EXTRA_CHANNELS; i++)
+               if (efx->extra_channel_type[i])
+                       ++extra_channels;
+
+       if (efx->interrupt_mode == EFX_INT_MODE_MSIX) {
+               unsigned int parallelism = efx_wanted_parallelism(efx);
+               struct msix_entry xentries[EFX_MAX_CHANNELS];
+               unsigned int n_channels;
+
+               rc = efx_allocate_msix_channels(efx, efx->max_channels,
+                                               extra_channels, parallelism);
+               if (rc >= 0) {
+                       n_channels = rc;
+                       for (i = 0; i < n_channels; i++)
+                               xentries[i].entry = i;
+                       rc = pci_enable_msix_range(efx->pci_dev, xentries, 1,
+                                                  n_channels);
+               }
+               if (rc < 0) {
+                       /* Fall back to single channel MSI */
+                       netif_err(efx, drv, efx->net_dev,
+                                 "could not enable MSI-X\n");
+                       if (efx->type->min_interrupt_mode >= EFX_INT_MODE_MSI)
+                               efx->interrupt_mode = EFX_INT_MODE_MSI;
+                       else
+                               return rc;
+               } else if (rc < n_channels) {
+                       netif_err(efx, drv, efx->net_dev,
+                                 "WARNING: Insufficient MSI-X vectors"
+                                 " available (%d < %u).\n", rc, n_channels);
+                       netif_err(efx, drv, efx->net_dev,
+                                 "WARNING: Performance may be reduced.\n");
+                       n_channels = rc;
+               }
+
+               if (rc > 0) {
+                       for (i = 0; i < efx->n_channels; i++)
+                               efx_get_channel(efx, i)->irq =
+                                       xentries[i].vector;
+               }
+       }
+
+       /* Try single interrupt MSI */
+       if (efx->interrupt_mode == EFX_INT_MODE_MSI) {
+               efx->n_channels = 1;
+               efx->n_rx_channels = 1;
+               efx->n_tx_channels = 1;
+               efx->n_xdp_channels = 0;
+               efx->xdp_channel_offset = efx->n_channels;
+               rc = pci_enable_msi(efx->pci_dev);
+               if (rc == 0) {
+                       efx_get_channel(efx, 0)->irq = efx->pci_dev->irq;
+               } else {
+                       netif_err(efx, drv, efx->net_dev,
+                                 "could not enable MSI\n");
+                       if (efx->type->min_interrupt_mode >= EFX_INT_MODE_LEGACY)
+                               efx->interrupt_mode = EFX_INT_MODE_LEGACY;
+                       else
+                               return rc;
+               }
+       }
+
+       /* Assume legacy interrupts */
+       if (efx->interrupt_mode == EFX_INT_MODE_LEGACY) {
+               efx->n_channels = 1 + (efx_separate_tx_channels ? 1 : 0);
+               efx->n_rx_channels = 1;
+               efx->n_tx_channels = 1;
+               efx->n_xdp_channels = 0;
+               efx->xdp_channel_offset = efx->n_channels;
+               efx->legacy_irq = efx->pci_dev->irq;
+       }
+
+       /* Assign extra channels if possible, before XDP channels */
+       efx->n_extra_tx_channels = 0;
+       j = efx->xdp_channel_offset;
+       for (i = 0; i < EFX_MAX_EXTRA_CHANNELS; i++) {
+               if (!efx->extra_channel_type[i])
+                       continue;
+               if (j <= efx->tx_channel_offset + efx->n_tx_channels) {
+                       efx->extra_channel_type[i]->handle_no_channel(efx);
+               } else {
+                       --j;
+                       efx_get_channel(efx, j)->type =
+                               efx->extra_channel_type[i];
+                       if (efx_channel_has_tx_queues(efx_get_channel(efx, j)))
+                               efx->n_extra_tx_channels++;
+               }
+       }
+
+       rss_spread = efx->n_rx_channels;
+       /* RSS might be usable on VFs even if it is disabled on the PF */
+#ifdef CONFIG_SFC_SRIOV
+       if (efx->type->sriov_wanted) {
+               efx->rss_spread = ((rss_spread > 1 ||
+                                   !efx->type->sriov_wanted(efx)) ?
+                                  rss_spread : efx_vf_size(efx));
+               return 0;
+       }
+#endif
+       efx->rss_spread = rss_spread;
+
+       return 0;
+}
+
+#if defined(CONFIG_SMP)
+void efx_set_interrupt_affinity(struct efx_nic *efx)
+{
+       const struct cpumask *numa_mask = cpumask_of_pcibus(efx->pci_dev->bus);
+       struct efx_channel *channel;
+       unsigned int cpu;
+
+       /* If no online CPUs in local node, fallback to any online CPU */
+       if (cpumask_first_and(cpu_online_mask, numa_mask) >= nr_cpu_ids)
+               numa_mask = cpu_online_mask;
+
+       cpu = -1;
+       efx_for_each_channel(channel, efx) {
+               cpu = cpumask_next_and(cpu, cpu_online_mask, numa_mask);
+               if (cpu >= nr_cpu_ids)
+                       cpu = cpumask_first_and(cpu_online_mask, numa_mask);
+               irq_set_affinity_hint(channel->irq, cpumask_of(cpu));
+       }
+}
+
+void efx_clear_interrupt_affinity(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+
+       efx_for_each_channel(channel, efx)
+               irq_set_affinity_hint(channel->irq, NULL);
+}
+#else
+void
+efx_set_interrupt_affinity(struct efx_nic *efx __attribute__ ((unused)))
+{
+}
+
+void
+efx_clear_interrupt_affinity(struct efx_nic *efx __attribute__ ((unused)))
+{
+}
+#endif /* CONFIG_SMP */
+
+void efx_remove_interrupts(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+
+       /* Remove MSI/MSI-X interrupts */
+       efx_for_each_channel(channel, efx)
+               channel->irq = 0;
+       pci_disable_msi(efx->pci_dev);
+       pci_disable_msix(efx->pci_dev);
+
+       /* Remove legacy interrupt */
+       efx->legacy_irq = 0;
+}
+
+/***************
+ * EVENT QUEUES
+ ***************/
+
+/* Create event queue
+ * Event queue memory allocations are done only once.  If the channel
+ * is reset, the memory buffer will be reused; this guards against
+ * errors during channel reset and also simplifies interrupt handling.
+ */
+int efx_probe_eventq(struct efx_channel *channel)
+{
+       struct efx_nic *efx = channel->efx;
+       unsigned long entries;
+
+       netif_dbg(efx, probe, efx->net_dev,
+                 "chan %d create event queue\n", channel->channel);
+
+       /* Build an event queue with room for one event per tx and rx buffer,
+        * plus some extra for link state events and MCDI completions.
+        */
+       entries = roundup_pow_of_two(efx->rxq_entries + efx->txq_entries + 128);
+       EFX_WARN_ON_PARANOID(entries > EFX_MAX_EVQ_SIZE);
+       channel->eventq_mask = max(entries, EFX_MIN_EVQ_SIZE) - 1;
+
+       return efx_nic_probe_eventq(channel);
+}
+
+/* Prepare channel's event queue */
+int efx_init_eventq(struct efx_channel *channel)
+{
+       struct efx_nic *efx = channel->efx;
+       int rc;
+
+       EFX_WARN_ON_PARANOID(channel->eventq_init);
+
+       netif_dbg(efx, drv, efx->net_dev,
+                 "chan %d init event queue\n", channel->channel);
+
+       rc = efx_nic_init_eventq(channel);
+       if (rc == 0) {
+               efx->type->push_irq_moderation(channel);
+               channel->eventq_read_ptr = 0;
+               channel->eventq_init = true;
+       }
+       return rc;
+}
+
+/* Enable event queue processing and NAPI */
+void efx_start_eventq(struct efx_channel *channel)
+{
+       netif_dbg(channel->efx, ifup, channel->efx->net_dev,
+                 "chan %d start event queue\n", channel->channel);
+
+       /* Make sure the NAPI handler sees the enabled flag set */
+       channel->enabled = true;
+       smp_wmb();
+
+       napi_enable(&channel->napi_str);
+       efx_nic_eventq_read_ack(channel);
+}
+
+/* Disable event queue processing and NAPI */
+void efx_stop_eventq(struct efx_channel *channel)
+{
+       if (!channel->enabled)
+               return;
+
+       napi_disable(&channel->napi_str);
+       channel->enabled = false;
+}
+
+void efx_fini_eventq(struct efx_channel *channel)
+{
+       if (!channel->eventq_init)
+               return;
+
+       netif_dbg(channel->efx, drv, channel->efx->net_dev,
+                 "chan %d fini event queue\n", channel->channel);
+
+       efx_nic_fini_eventq(channel);
+       channel->eventq_init = false;
+}
+
+void efx_remove_eventq(struct efx_channel *channel)
+{
+       netif_dbg(channel->efx, drv, channel->efx->net_dev,
+                 "chan %d remove event queue\n", channel->channel);
+
+       efx_nic_remove_eventq(channel);
+}
+
+/**************************************************************************
+ *
+ * Channel handling
+ *
+ *************************************************************************/
+
+#ifdef CONFIG_RFS_ACCEL
+static void efx_filter_rfs_expire(struct work_struct *data)
+{
+       struct delayed_work *dwork = to_delayed_work(data);
+       struct efx_channel *channel;
+       unsigned int time, quota;
+
+       channel = container_of(dwork, struct efx_channel, filter_work);
+       time = jiffies - channel->rfs_last_expiry;
+       quota = channel->rfs_filter_count * time / (30 * HZ);
+       if (quota >= 20 && __efx_filter_rfs_expire(channel, min(channel->rfs_filter_count, quota)))
+               channel->rfs_last_expiry += time;
+       /* Ensure we do more work eventually even if NAPI poll is not happening */
+       schedule_delayed_work(dwork, 30 * HZ);
+}
+#endif
+
+/* Allocate and initialise a channel structure. */
+static struct efx_channel *efx_alloc_channel(struct efx_nic *efx, int i)
+{
+       struct efx_rx_queue *rx_queue;
+       struct efx_tx_queue *tx_queue;
+       struct efx_channel *channel;
+       int j;
+
+       channel = kzalloc(sizeof(*channel), GFP_KERNEL);
+       if (!channel)
+               return NULL;
+
+       channel->efx = efx;
+       channel->channel = i;
+       channel->type = &efx_default_channel_type;
+
+       for (j = 0; j < EFX_MAX_TXQ_PER_CHANNEL; j++) {
+               tx_queue = &channel->tx_queue[j];
+               tx_queue->efx = efx;
+               tx_queue->queue = -1;
+               tx_queue->label = j;
+               tx_queue->channel = channel;
+       }
+
+#ifdef CONFIG_RFS_ACCEL
+       INIT_DELAYED_WORK(&channel->filter_work, efx_filter_rfs_expire);
+#endif
+
+       rx_queue = &channel->rx_queue;
+       rx_queue->efx = efx;
+       timer_setup(&rx_queue->slow_fill, efx_rx_slow_fill, 0);
+
+       return channel;
+}
+
+int efx_init_channels(struct efx_nic *efx)
+{
+       unsigned int i;
+
+       for (i = 0; i < EFX_MAX_CHANNELS; i++) {
+               efx->channel[i] = efx_alloc_channel(efx, i);
+               if (!efx->channel[i])
+                       return -ENOMEM;
+               efx->msi_context[i].efx = efx;
+               efx->msi_context[i].index = i;
+       }
+
+       /* Higher numbered interrupt modes are less capable! */
+       efx->interrupt_mode = min(efx->type->min_interrupt_mode,
+                                 efx_interrupt_mode);
+
+       efx->max_channels = EFX_MAX_CHANNELS;
+       efx->max_tx_channels = EFX_MAX_CHANNELS;
+
+       return 0;
+}
+
+void efx_fini_channels(struct efx_nic *efx)
+{
+       unsigned int i;
+
+       for (i = 0; i < EFX_MAX_CHANNELS; i++)
+               if (efx->channel[i]) {
+                       kfree(efx->channel[i]);
+                       efx->channel[i] = NULL;
+               }
+}
+
+/* Allocate and initialise a channel structure, copying parameters
+ * (but not resources) from an old channel structure.
+ */
+static
+struct efx_channel *efx_copy_channel(const struct efx_channel *old_channel)
+{
+       struct efx_rx_queue *rx_queue;
+       struct efx_tx_queue *tx_queue;
+       struct efx_channel *channel;
+       int j;
+
+       channel = kmalloc(sizeof(*channel), GFP_KERNEL);
+       if (!channel)
+               return NULL;
+
+       *channel = *old_channel;
+
+       channel->napi_dev = NULL;
+       INIT_HLIST_NODE(&channel->napi_str.napi_hash_node);
+       channel->napi_str.napi_id = 0;
+       channel->napi_str.state = 0;
+       memset(&channel->eventq, 0, sizeof(channel->eventq));
+
+       for (j = 0; j < EFX_MAX_TXQ_PER_CHANNEL; j++) {
+               tx_queue = &channel->tx_queue[j];
+               if (tx_queue->channel)
+                       tx_queue->channel = channel;
+               tx_queue->buffer = NULL;
+               tx_queue->cb_page = NULL;
+               memset(&tx_queue->txd, 0, sizeof(tx_queue->txd));
+       }
+
+       rx_queue = &channel->rx_queue;
+       rx_queue->buffer = NULL;
+       memset(&rx_queue->rxd, 0, sizeof(rx_queue->rxd));
+       timer_setup(&rx_queue->slow_fill, efx_rx_slow_fill, 0);
+#ifdef CONFIG_RFS_ACCEL
+       INIT_DELAYED_WORK(&channel->filter_work, efx_filter_rfs_expire);
+#endif
+
+       return channel;
+}
+
+static int efx_probe_channel(struct efx_channel *channel)
+{
+       struct efx_tx_queue *tx_queue;
+       struct efx_rx_queue *rx_queue;
+       int rc;
+
+       netif_dbg(channel->efx, probe, channel->efx->net_dev,
+                 "creating channel %d\n", channel->channel);
+
+       rc = channel->type->pre_probe(channel);
+       if (rc)
+               goto fail;
+
+       rc = efx_probe_eventq(channel);
+       if (rc)
+               goto fail;
+
+       efx_for_each_channel_tx_queue(tx_queue, channel) {
+               rc = efx_probe_tx_queue(tx_queue);
+               if (rc)
+                       goto fail;
+       }
+
+       efx_for_each_channel_rx_queue(rx_queue, channel) {
+               rc = efx_probe_rx_queue(rx_queue);
+               if (rc)
+                       goto fail;
+       }
+
+       channel->rx_list = NULL;
+
+       return 0;
+
+fail:
+       efx_remove_channel(channel);
+       return rc;
+}
+
+static void efx_get_channel_name(struct efx_channel *channel, char *buf,
+                                size_t len)
+{
+       struct efx_nic *efx = channel->efx;
+       const char *type;
+       int number;
+
+       number = channel->channel;
+
+       if (number >= efx->xdp_channel_offset &&
+           !WARN_ON_ONCE(!efx->n_xdp_channels)) {
+               type = "-xdp";
+               number -= efx->xdp_channel_offset;
+       } else if (efx->tx_channel_offset == 0) {
+               type = "";
+       } else if (number < efx->tx_channel_offset) {
+               type = "-rx";
+       } else {
+               type = "-tx";
+               number -= efx->tx_channel_offset;
+       }
+       snprintf(buf, len, "%s%s-%d", efx->name, type, number);
+}
+
+void efx_set_channel_names(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+
+       efx_for_each_channel(channel, efx)
+               channel->type->get_name(channel,
+                                       efx->msi_context[channel->channel].name,
+                                       sizeof(efx->msi_context[0].name));
+}
+
+int efx_probe_channels(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+       int rc;
+
+       /* Restart special buffer allocation */
+       efx->next_buffer_table = 0;
+
+       /* Probe channels in reverse, so that any 'extra' channels
+        * use the start of the buffer table. This allows the traffic
+        * channels to be resized without moving them or wasting the
+        * entries before them.
+        */
+       efx_for_each_channel_rev(channel, efx) {
+               rc = efx_probe_channel(channel);
+               if (rc) {
+                       netif_err(efx, probe, efx->net_dev,
+                                 "failed to create channel %d\n",
+                                 channel->channel);
+                       goto fail;
+               }
+       }
+       efx_set_channel_names(efx);
+
+       return 0;
+
+fail:
+       efx_remove_channels(efx);
+       return rc;
+}
+
+void efx_remove_channel(struct efx_channel *channel)
+{
+       struct efx_tx_queue *tx_queue;
+       struct efx_rx_queue *rx_queue;
+
+       netif_dbg(channel->efx, drv, channel->efx->net_dev,
+                 "destroy chan %d\n", channel->channel);
+
+       efx_for_each_channel_rx_queue(rx_queue, channel)
+               efx_remove_rx_queue(rx_queue);
+       efx_for_each_channel_tx_queue(tx_queue, channel)
+               efx_remove_tx_queue(tx_queue);
+       efx_remove_eventq(channel);
+       channel->type->post_remove(channel);
+}
+
+void efx_remove_channels(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+
+       efx_for_each_channel(channel, efx)
+               efx_remove_channel(channel);
+
+       kfree(efx->xdp_tx_queues);
+}
+
+static int efx_set_xdp_tx_queue(struct efx_nic *efx, int xdp_queue_number,
+                               struct efx_tx_queue *tx_queue)
+{
+       if (xdp_queue_number >= efx->xdp_tx_queue_count)
+               return -EINVAL;
+
+       netif_dbg(efx, drv, efx->net_dev,
+                 "Channel %u TXQ %u is XDP %u, HW %u\n",
+                 tx_queue->channel->channel, tx_queue->label,
+                 xdp_queue_number, tx_queue->queue);
+       efx->xdp_tx_queues[xdp_queue_number] = tx_queue;
+       return 0;
+}
+
+static void efx_set_xdp_channels(struct efx_nic *efx)
+{
+       struct efx_tx_queue *tx_queue;
+       struct efx_channel *channel;
+       unsigned int next_queue = 0;
+       int xdp_queue_number = 0;
+       int rc;
+
+       /* We need to mark which channels really have RX and TX
+        * queues, and adjust the TX queue numbers if we have separate
+        * RX-only and TX-only channels.
+        */
+       efx_for_each_channel(channel, efx) {
+               if (channel->channel < efx->tx_channel_offset)
+                       continue;
+
+               if (efx_channel_is_xdp_tx(channel)) {
+                       efx_for_each_channel_tx_queue(tx_queue, channel) {
+                               tx_queue->queue = next_queue++;
+                               rc = efx_set_xdp_tx_queue(efx, xdp_queue_number,
+                                                         tx_queue);
+                               if (rc == 0)
+                                       xdp_queue_number++;
+                       }
+               } else {
+                       efx_for_each_channel_tx_queue(tx_queue, channel) {
+                               tx_queue->queue = next_queue++;
+                               netif_dbg(efx, drv, efx->net_dev,
+                                         "Channel %u TXQ %u is HW %u\n",
+                                         channel->channel, tx_queue->label,
+                                         tx_queue->queue);
+                       }
+
+                       /* If XDP is borrowing queues from net stack, it must
+                        * use the queue with no csum offload, which is the
+                        * first one of the channel
+                        * (note: tx_queue_by_type is not initialized yet)
+                        */
+                       if (efx->xdp_txq_queues_mode ==
+                           EFX_XDP_TX_QUEUES_BORROWED) {
+                               tx_queue = &channel->tx_queue[0];
+                               rc = efx_set_xdp_tx_queue(efx, xdp_queue_number,
+                                                         tx_queue);
+                               if (rc == 0)
+                                       xdp_queue_number++;
+                       }
+               }
+       }
+       WARN_ON(efx->xdp_txq_queues_mode == EFX_XDP_TX_QUEUES_DEDICATED &&
+               xdp_queue_number != efx->xdp_tx_queue_count);
+       WARN_ON(efx->xdp_txq_queues_mode != EFX_XDP_TX_QUEUES_DEDICATED &&
+               xdp_queue_number > efx->xdp_tx_queue_count);
+
+       /* If we have more CPUs than assigned XDP TX queues, assign the already
+        * existing queues to the exceeding CPUs
+        */
+       next_queue = 0;
+       while (xdp_queue_number < efx->xdp_tx_queue_count) {
+               tx_queue = efx->xdp_tx_queues[next_queue++];
+               rc = efx_set_xdp_tx_queue(efx, xdp_queue_number, tx_queue);
+               if (rc == 0)
+                       xdp_queue_number++;
+       }
+}
+
+int efx_realloc_channels(struct efx_nic *efx, u32 rxq_entries, u32 txq_entries)
+{
+       struct efx_channel *other_channel[EFX_MAX_CHANNELS], *channel;
+       unsigned int i, next_buffer_table = 0;
+       u32 old_rxq_entries, old_txq_entries;
+       int rc, rc2;
+
+       rc = efx_check_disabled(efx);
+       if (rc)
+               return rc;
+
+       /* Not all channels should be reallocated. We must avoid
+        * reallocating their buffer table entries.
+        */
+       efx_for_each_channel(channel, efx) {
+               struct efx_rx_queue *rx_queue;
+               struct efx_tx_queue *tx_queue;
+
+               if (channel->type->copy)
+                       continue;
+               next_buffer_table = max(next_buffer_table,
+                                       channel->eventq.index +
+                                       channel->eventq.entries);
+               efx_for_each_channel_rx_queue(rx_queue, channel)
+                       next_buffer_table = max(next_buffer_table,
+                                               rx_queue->rxd.index +
+                                               rx_queue->rxd.entries);
+               efx_for_each_channel_tx_queue(tx_queue, channel)
+                       next_buffer_table = max(next_buffer_table,
+                                               tx_queue->txd.index +
+                                               tx_queue->txd.entries);
+       }
+
+       efx_device_detach_sync(efx);
+       efx_stop_all(efx);
+       efx_soft_disable_interrupts(efx);
+
+       /* Clone channels (where possible) */
+       memset(other_channel, 0, sizeof(other_channel));
+       for (i = 0; i < efx->n_channels; i++) {
+               channel = efx->channel[i];
+               if (channel->type->copy)
+                       channel = channel->type->copy(channel);
+               if (!channel) {
+                       rc = -ENOMEM;
+                       goto out;
+               }
+               other_channel[i] = channel;
+       }
+
+       /* Swap entry counts and channel pointers */
+       old_rxq_entries = efx->rxq_entries;
+       old_txq_entries = efx->txq_entries;
+       efx->rxq_entries = rxq_entries;
+       efx->txq_entries = txq_entries;
+       for (i = 0; i < efx->n_channels; i++)
+               swap(efx->channel[i], other_channel[i]);
+
+       /* Restart buffer table allocation */
+       efx->next_buffer_table = next_buffer_table;
+
+       for (i = 0; i < efx->n_channels; i++) {
+               channel = efx->channel[i];
+               if (!channel->type->copy)
+                       continue;
+               rc = efx_probe_channel(channel);
+               if (rc)
+                       goto rollback;
+               efx_init_napi_channel(efx->channel[i]);
+       }
+
+       efx_set_xdp_channels(efx);
+out:
+       /* Destroy unused channel structures */
+       for (i = 0; i < efx->n_channels; i++) {
+               channel = other_channel[i];
+               if (channel && channel->type->copy) {
+                       efx_fini_napi_channel(channel);
+                       efx_remove_channel(channel);
+                       kfree(channel);
+               }
+       }
+
+       rc2 = efx_soft_enable_interrupts(efx);
+       if (rc2) {
+               rc = rc ? rc : rc2;
+               netif_err(efx, drv, efx->net_dev,
+                         "unable to restart interrupts on channel reallocation\n");
+               efx_schedule_reset(efx, RESET_TYPE_DISABLE);
+       } else {
+               efx_start_all(efx);
+               efx_device_attach_if_not_resetting(efx);
+       }
+       return rc;
+
+rollback:
+       /* Swap back */
+       efx->rxq_entries = old_rxq_entries;
+       efx->txq_entries = old_txq_entries;
+       for (i = 0; i < efx->n_channels; i++)
+               swap(efx->channel[i], other_channel[i]);
+       goto out;
+}
+
+int efx_set_channels(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+       int rc;
+
+       efx->tx_channel_offset =
+               efx_separate_tx_channels ?
+               efx->n_channels - efx->n_tx_channels : 0;
+
+       if (efx->xdp_tx_queue_count) {
+               EFX_WARN_ON_PARANOID(efx->xdp_tx_queues);
+
+               /* Allocate array for XDP TX queue lookup. */
+               efx->xdp_tx_queues = kcalloc(efx->xdp_tx_queue_count,
+                                            sizeof(*efx->xdp_tx_queues),
+                                            GFP_KERNEL);
+               if (!efx->xdp_tx_queues)
+                       return -ENOMEM;
+       }
+
+       efx_for_each_channel(channel, efx) {
+               if (channel->channel < efx->n_rx_channels)
+                       channel->rx_queue.core_index = channel->channel;
+               else
+                       channel->rx_queue.core_index = -1;
+       }
+
+       efx_set_xdp_channels(efx);
+
+       rc = netif_set_real_num_tx_queues(efx->net_dev, efx->n_tx_channels);
+       if (rc)
+               return rc;
+       return netif_set_real_num_rx_queues(efx->net_dev, efx->n_rx_channels);
+}
+
+static bool efx_default_channel_want_txqs(struct efx_channel *channel)
+{
+       return channel->channel - channel->efx->tx_channel_offset <
+               channel->efx->n_tx_channels;
+}
+
+/*************
+ * START/STOP
+ *************/
+
+int efx_soft_enable_interrupts(struct efx_nic *efx)
+{
+       struct efx_channel *channel, *end_channel;
+       int rc;
+
+       BUG_ON(efx->state == STATE_DISABLED);
+
+       efx->irq_soft_enabled = true;
+       smp_wmb();
+
+       efx_for_each_channel(channel, efx) {
+               if (!channel->type->keep_eventq) {
+                       rc = efx_init_eventq(channel);
+                       if (rc)
+                               goto fail;
+               }
+               efx_start_eventq(channel);
+       }
+
+       efx_mcdi_mode_event(efx);
+
+       return 0;
+fail:
+       end_channel = channel;
+       efx_for_each_channel(channel, efx) {
+               if (channel == end_channel)
+                       break;
+               efx_stop_eventq(channel);
+               if (!channel->type->keep_eventq)
+                       efx_fini_eventq(channel);
+       }
+
+       return rc;
+}
+
+void efx_soft_disable_interrupts(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+
+       if (efx->state == STATE_DISABLED)
+               return;
+
+       efx_mcdi_mode_poll(efx);
+
+       efx->irq_soft_enabled = false;
+       smp_wmb();
+
+       if (efx->legacy_irq)
+               synchronize_irq(efx->legacy_irq);
+
+       efx_for_each_channel(channel, efx) {
+               if (channel->irq)
+                       synchronize_irq(channel->irq);
+
+               efx_stop_eventq(channel);
+               if (!channel->type->keep_eventq)
+                       efx_fini_eventq(channel);
+       }
+
+       /* Flush the asynchronous MCDI request queue */
+       efx_mcdi_flush_async(efx);
+}
+
+int efx_enable_interrupts(struct efx_nic *efx)
+{
+       struct efx_channel *channel, *end_channel;
+       int rc;
+
+       /* TODO: Is this really a bug? */
+       BUG_ON(efx->state == STATE_DISABLED);
+
+       if (efx->eeh_disabled_legacy_irq) {
+               enable_irq(efx->legacy_irq);
+               efx->eeh_disabled_legacy_irq = false;
+       }
+
+       efx->type->irq_enable_master(efx);
+
+       efx_for_each_channel(channel, efx) {
+               if (channel->type->keep_eventq) {
+                       rc = efx_init_eventq(channel);
+                       if (rc)
+                               goto fail;
+               }
+       }
+
+       rc = efx_soft_enable_interrupts(efx);
+       if (rc)
+               goto fail;
+
+       return 0;
+
+fail:
+       end_channel = channel;
+       efx_for_each_channel(channel, efx) {
+               if (channel == end_channel)
+                       break;
+               if (channel->type->keep_eventq)
+                       efx_fini_eventq(channel);
+       }
+
+       efx->type->irq_disable_non_ev(efx);
+
+       return rc;
+}
+
+void efx_disable_interrupts(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+
+       efx_soft_disable_interrupts(efx);
+
+       efx_for_each_channel(channel, efx) {
+               if (channel->type->keep_eventq)
+                       efx_fini_eventq(channel);
+       }
+
+       efx->type->irq_disable_non_ev(efx);
+}
+
+void efx_start_channels(struct efx_nic *efx)
+{
+       struct efx_tx_queue *tx_queue;
+       struct efx_rx_queue *rx_queue;
+       struct efx_channel *channel;
+
+       efx_for_each_channel_rev(channel, efx) {
+               efx_for_each_channel_tx_queue(tx_queue, channel) {
+                       efx_init_tx_queue(tx_queue);
+                       atomic_inc(&efx->active_queues);
+               }
+
+               efx_for_each_channel_rx_queue(rx_queue, channel) {
+                       efx_init_rx_queue(rx_queue);
+                       atomic_inc(&efx->active_queues);
+                       efx_stop_eventq(channel);
+                       efx_fast_push_rx_descriptors(rx_queue, false);
+                       efx_start_eventq(channel);
+               }
+
+               WARN_ON(channel->rx_pkt_n_frags);
+       }
+}
+
+void efx_stop_channels(struct efx_nic *efx)
+{
+       struct efx_tx_queue *tx_queue;
+       struct efx_rx_queue *rx_queue;
+       struct efx_channel *channel;
+       int rc = 0;
+
+       /* Stop RX refill */
+       efx_for_each_channel(channel, efx) {
+               efx_for_each_channel_rx_queue(rx_queue, channel)
+                       rx_queue->refill_enabled = false;
+       }
+
+       efx_for_each_channel(channel, efx) {
+               /* RX packet processing is pipelined, so wait for the
+                * NAPI handler to complete.  At least event queue 0
+                * might be kept active by non-data events, so don't
+                * use napi_synchronize() but actually disable NAPI
+                * temporarily.
+                */
+               if (efx_channel_has_rx_queue(channel)) {
+                       efx_stop_eventq(channel);
+                       efx_start_eventq(channel);
+               }
+       }
+
+       if (efx->type->fini_dmaq)
+               rc = efx->type->fini_dmaq(efx);
+
+       if (rc) {
+               netif_err(efx, drv, efx->net_dev, "failed to flush queues\n");
+       } else {
+               netif_dbg(efx, drv, efx->net_dev,
+                         "successfully flushed all queues\n");
+       }
+
+       efx_for_each_channel(channel, efx) {
+               efx_for_each_channel_rx_queue(rx_queue, channel)
+                       efx_fini_rx_queue(rx_queue);
+               efx_for_each_channel_tx_queue(tx_queue, channel)
+                       efx_fini_tx_queue(tx_queue);
+       }
+}
+
+/**************************************************************************
+ *
+ * NAPI interface
+ *
+ *************************************************************************/
+
+/* Process channel's event queue
+ *
+ * This function is responsible for processing the event queue of a
+ * single channel.  The caller must guarantee that this function will
+ * never be concurrently called more than once on the same channel,
+ * though different channels may be being processed concurrently.
+ */
+static int efx_process_channel(struct efx_channel *channel, int budget)
+{
+       struct efx_tx_queue *tx_queue;
+       struct list_head rx_list;
+       int spent;
+
+       if (unlikely(!channel->enabled))
+               return 0;
+
+       /* Prepare the batch receive list */
+       EFX_WARN_ON_PARANOID(channel->rx_list != NULL);
+       INIT_LIST_HEAD(&rx_list);
+       channel->rx_list = &rx_list;
+
+       efx_for_each_channel_tx_queue(tx_queue, channel) {
+               tx_queue->pkts_compl = 0;
+               tx_queue->bytes_compl = 0;
+       }
+
+       spent = efx_nic_process_eventq(channel, budget);
+       if (spent && efx_channel_has_rx_queue(channel)) {
+               struct efx_rx_queue *rx_queue =
+                       efx_channel_get_rx_queue(channel);
+
+               efx_rx_flush_packet(channel);
+               efx_fast_push_rx_descriptors(rx_queue, true);
+       }
+
+       /* Update BQL */
+       efx_for_each_channel_tx_queue(tx_queue, channel) {
+               if (tx_queue->bytes_compl) {
+                       netdev_tx_completed_queue(tx_queue->core_txq,
+                                                 tx_queue->pkts_compl,
+                                                 tx_queue->bytes_compl);
+               }
+       }
+
+       /* Receive any packets we queued up */
+       netif_receive_skb_list(channel->rx_list);
+       channel->rx_list = NULL;
+
+       return spent;
+}
+
+static void efx_update_irq_mod(struct efx_nic *efx, struct efx_channel *channel)
+{
+       int step = efx->irq_mod_step_us;
+
+       if (channel->irq_mod_score < irq_adapt_low_thresh) {
+               if (channel->irq_moderation_us > step) {
+                       channel->irq_moderation_us -= step;
+                       efx->type->push_irq_moderation(channel);
+               }
+       } else if (channel->irq_mod_score > irq_adapt_high_thresh) {
+               if (channel->irq_moderation_us <
+                   efx->irq_rx_moderation_us) {
+                       channel->irq_moderation_us += step;
+                       efx->type->push_irq_moderation(channel);
+               }
+       }
+
+       channel->irq_count = 0;
+       channel->irq_mod_score = 0;
+}
+
+/* NAPI poll handler
+ *
+ * NAPI guarantees serialisation of polls of the same device, which
+ * provides the guarantee required by efx_process_channel().
+ */
+static int efx_poll(struct napi_struct *napi, int budget)
+{
+       struct efx_channel *channel =
+               container_of(napi, struct efx_channel, napi_str);
+       struct efx_nic *efx = channel->efx;
+#ifdef CONFIG_RFS_ACCEL
+       unsigned int time;
+#endif
+       int spent;
+
+       netif_vdbg(efx, intr, efx->net_dev,
+                  "channel %d NAPI poll executing on CPU %d\n",
+                  channel->channel, raw_smp_processor_id());
+
+       spent = efx_process_channel(channel, budget);
+
+       xdp_do_flush_map();
+
+       if (spent < budget) {
+               if (efx_channel_has_rx_queue(channel) &&
+                   efx->irq_rx_adaptive &&
+                   unlikely(++channel->irq_count == 1000)) {
+                       efx_update_irq_mod(efx, channel);
+               }
+
+#ifdef CONFIG_RFS_ACCEL
+               /* Perhaps expire some ARFS filters */
+               time = jiffies - channel->rfs_last_expiry;
+               /* Would our quota be >= 20? */
+               if (channel->rfs_filter_count * time >= 600 * HZ)
+                       mod_delayed_work(system_wq, &channel->filter_work, 0);
+#endif
+
+               /* There is no race here; although napi_disable() will
+                * only wait for napi_complete(), this isn't a problem
+                * since efx_nic_eventq_read_ack() will have no effect if
+                * interrupts have already been disabled.
+                */
+               if (napi_complete_done(napi, spent))
+                       efx_nic_eventq_read_ack(channel);
+       }
+
+       return spent;
+}
+
+void efx_init_napi_channel(struct efx_channel *channel)
+{
+       struct efx_nic *efx = channel->efx;
+
+       channel->napi_dev = efx->net_dev;
+       netif_napi_add_weight(channel->napi_dev, &channel->napi_str, efx_poll,
+                             napi_weight);
+}
+
+void efx_init_napi(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+
+       efx_for_each_channel(channel, efx)
+               efx_init_napi_channel(channel);
+}
+
+void efx_fini_napi_channel(struct efx_channel *channel)
+{
+       if (channel->napi_dev)
+               netif_napi_del(&channel->napi_str);
+
+       channel->napi_dev = NULL;
+}
+
+void efx_fini_napi(struct efx_nic *efx)
+{
+       struct efx_channel *channel;
+
+       efx_for_each_channel(channel, efx)
+               efx_fini_napi_channel(channel);
+}
+
+/***************
+ * Housekeeping
+ ***************/
+
+static int efx_channel_dummy_op_int(struct efx_channel *channel)
+{
+       return 0;
+}
+
+void efx_channel_dummy_op_void(struct efx_channel *channel)
+{
+}
+
+static const struct efx_channel_type efx_default_channel_type = {
+       .pre_probe              = efx_channel_dummy_op_int,
+       .post_remove            = efx_channel_dummy_op_void,
+       .get_name               = efx_get_channel_name,
+       .copy                   = efx_copy_channel,
+       .want_txqs              = efx_default_channel_want_txqs,
+       .keep_eventq            = false,
+       .want_pio               = true,
+};
diff --git a/drivers/net/ethernet/sfc/siena/efx_channels.h b/drivers/net/ethernet/sfc/siena/efx_channels.h
new file mode 100644 (file)
index 0000000..64abb99
--- /dev/null
@@ -0,0 +1,52 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2018 Solarflare Communications Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify it
+ * under the terms of the GNU General Public License version 2 as published
+ * by the Free Software Foundation, incorporated herein by reference.
+ */
+
+#ifndef EFX_CHANNELS_H
+#define EFX_CHANNELS_H
+
+extern unsigned int efx_interrupt_mode;
+extern unsigned int rss_cpus;
+
+int efx_probe_interrupts(struct efx_nic *efx);
+void efx_remove_interrupts(struct efx_nic *efx);
+int efx_soft_enable_interrupts(struct efx_nic *efx);
+void efx_soft_disable_interrupts(struct efx_nic *efx);
+int efx_enable_interrupts(struct efx_nic *efx);
+void efx_disable_interrupts(struct efx_nic *efx);
+
+void efx_set_interrupt_affinity(struct efx_nic *efx);
+void efx_clear_interrupt_affinity(struct efx_nic *efx);
+
+int efx_probe_eventq(struct efx_channel *channel);
+int efx_init_eventq(struct efx_channel *channel);
+void efx_start_eventq(struct efx_channel *channel);
+void efx_stop_eventq(struct efx_channel *channel);
+void efx_fini_eventq(struct efx_channel *channel);
+void efx_remove_eventq(struct efx_channel *channel);
+
+int efx_realloc_channels(struct efx_nic *efx, u32 rxq_entries, u32 txq_entries);
+void efx_set_channel_names(struct efx_nic *efx);
+int efx_init_channels(struct efx_nic *efx);
+int efx_probe_channels(struct efx_nic *efx);
+int efx_set_channels(struct efx_nic *efx);
+void efx_remove_channel(struct efx_channel *channel);
+void efx_remove_channels(struct efx_nic *efx);
+void efx_fini_channels(struct efx_nic *efx);
+void efx_start_channels(struct efx_nic *efx);
+void efx_stop_channels(struct efx_nic *efx);
+
+void efx_init_napi_channel(struct efx_channel *channel);
+void efx_init_napi(struct efx_nic *efx);
+void efx_fini_napi_channel(struct efx_channel *channel);
+void efx_fini_napi(struct efx_nic *efx);
+
+void efx_channel_dummy_op_void(struct efx_channel *channel);
+
+#endif
diff --git a/drivers/net/ethernet/sfc/siena/efx_common.c b/drivers/net/ethernet/sfc/siena/efx_common.c
new file mode 100644 (file)
index 0000000..f6577e7
--- /dev/null
@@ -0,0 +1,1396 @@
+// SPDX-License-Identifier: GPL-2.0-only
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2018 Solarflare Communications Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify it
+ * under the terms of the GNU General Public License version 2 as published
+ * by the Free Software Foundation, incorporated herein by reference.
+ */
+
+#include "net_driver.h"
+#include <linux/filter.h>
+#include <linux/module.h>
+#include <linux/netdevice.h>
+#include <net/gre.h>
+#include "efx_common.h"
+#include "efx_channels.h"
+#include "efx.h"
+#include "mcdi.h"
+#include "selftest.h"
+#include "rx_common.h"
+#include "tx_common.h"
+#include "nic.h"
+#include "mcdi_port_common.h"
+#include "io.h"
+#include "mcdi_pcol.h"
+
+static unsigned int debug = (NETIF_MSG_DRV | NETIF_MSG_PROBE |
+                            NETIF_MSG_LINK | NETIF_MSG_IFDOWN |
+                            NETIF_MSG_IFUP | NETIF_MSG_RX_ERR |
+                            NETIF_MSG_TX_ERR | NETIF_MSG_HW);
+module_param(debug, uint, 0);
+MODULE_PARM_DESC(debug, "Bitmapped debugging message enable value");
+
+/* This is the time (in jiffies) between invocations of the hardware
+ * monitor.
+ * On Falcon-based NICs, this will:
+ * - Check the on-board hardware monitor;
+ * - Poll the link state and reconfigure the hardware as necessary.
+ * On Siena-based NICs for power systems with EEH support, this will give EEH a
+ * chance to start.
+ */
+static unsigned int efx_monitor_interval = 1 * HZ;
+
+/* How often and how many times to poll for a reset while waiting for a
+ * BIST that another function started to complete.
+ */
+#define BIST_WAIT_DELAY_MS     100
+#define BIST_WAIT_DELAY_COUNT  100
+
+/* Default stats update time */
+#define STATS_PERIOD_MS_DEFAULT 1000
+
+static const unsigned int efx_reset_type_max = RESET_TYPE_MAX;
+static const char *const efx_reset_type_names[] = {
+       [RESET_TYPE_INVISIBLE]          = "INVISIBLE",
+       [RESET_TYPE_ALL]                = "ALL",
+       [RESET_TYPE_RECOVER_OR_ALL]     = "RECOVER_OR_ALL",
+       [RESET_TYPE_WORLD]              = "WORLD",
+       [RESET_TYPE_RECOVER_OR_DISABLE] = "RECOVER_OR_DISABLE",
+       [RESET_TYPE_DATAPATH]           = "DATAPATH",
+       [RESET_TYPE_MC_BIST]            = "MC_BIST",
+       [RESET_TYPE_DISABLE]            = "DISABLE",
+       [RESET_TYPE_TX_WATCHDOG]        = "TX_WATCHDOG",
+       [RESET_TYPE_INT_ERROR]          = "INT_ERROR",
+       [RESET_TYPE_DMA_ERROR]          = "DMA_ERROR",
+       [RESET_TYPE_TX_SKIP]            = "TX_SKIP",
+       [RESET_TYPE_MC_FAILURE]         = "MC_FAILURE",
+       [RESET_TYPE_MCDI_TIMEOUT]       = "MCDI_TIMEOUT (FLR)",
+};
+
+#define RESET_TYPE(type) \
+       STRING_TABLE_LOOKUP(type, efx_reset_type)
+
+/* Loopback mode names (see LOOPBACK_MODE()) */
+const unsigned int efx_loopback_mode_max = LOOPBACK_MAX;
+const char *const efx_loopback_mode_names[] = {
+       [LOOPBACK_NONE]         = "NONE",
+       [LOOPBACK_DATA]         = "DATAPATH",
+       [LOOPBACK_GMAC]         = "GMAC",
+       [LOOPBACK_XGMII]        = "XGMII",
+       [LOOPBACK_XGXS]         = "XGXS",
+       [LOOPBACK_XAUI]         = "XAUI",
+       [LOOPBACK_GMII]         = "GMII",
+       [LOOPBACK_SGMII]        = "SGMII",
+       [LOOPBACK_XGBR]         = "XGBR",
+       [LOOPBACK_XFI]          = "XFI",
+       [LOOPBACK_XAUI_FAR]     = "XAUI_FAR",
+       [LOOPBACK_GMII_FAR]     = "GMII_FAR",
+       [LOOPBACK_SGMII_FAR]    = "SGMII_FAR",
+       [LOOPBACK_XFI_FAR]      = "XFI_FAR",
+       [LOOPBACK_GPHY]         = "GPHY",
+       [LOOPBACK_PHYXS]        = "PHYXS",
+       [LOOPBACK_PCS]          = "PCS",
+       [LOOPBACK_PMAPMD]       = "PMA/PMD",
+       [LOOPBACK_XPORT]        = "XPORT",
+       [LOOPBACK_XGMII_WS]     = "XGMII_WS",
+       [LOOPBACK_XAUI_WS]      = "XAUI_WS",
+       [LOOPBACK_XAUI_WS_FAR]  = "XAUI_WS_FAR",
+       [LOOPBACK_XAUI_WS_NEAR] = "XAUI_WS_NEAR",
+       [LOOPBACK_GMII_WS]      = "GMII_WS",
+       [LOOPBACK_XFI_WS]       = "XFI_WS",
+       [LOOPBACK_XFI_WS_FAR]   = "XFI_WS_FAR",
+       [LOOPBACK_PHYXS_WS]     = "PHYXS_WS",
+};
+
+/* Reset workqueue. If any NIC has a hardware failure then a reset will be
+ * queued onto this work queue. This is not a per-nic work queue, because
+ * efx_reset_work() acquires the rtnl lock, so resets are naturally serialised.
+ */
+static struct workqueue_struct *reset_workqueue;
+
+int efx_create_reset_workqueue(void)
+{
+       reset_workqueue = create_singlethread_workqueue("sfc_reset");
+       if (!reset_workqueue) {
+               printk(KERN_ERR "Failed to create reset workqueue\n");
+               return -ENOMEM;
+       }
+
+       return 0;
+}
+
+void efx_queue_reset_work(struct efx_nic *efx)
+{
+       queue_work(reset_workqueue, &efx->reset_work);
+}
+
+void efx_flush_reset_workqueue(struct efx_nic *efx)
+{
+       cancel_work_sync(&efx->reset_work);
+}
+
+void efx_destroy_reset_workqueue(void)
+{
+       if (reset_workqueue) {
+               destroy_workqueue(reset_workqueue);
+               reset_workqueue = NULL;
+       }
+}
+
+/* We assume that efx->type->reconfigure_mac will always try to sync RX
+ * filters and therefore needs to read-lock the filter table against freeing
+ */
+void efx_mac_reconfigure(struct efx_nic *efx, bool mtu_only)
+{
+       if (efx->type->reconfigure_mac) {
+               down_read(&efx->filter_sem);
+               efx->type->reconfigure_mac(efx, mtu_only);
+               up_read(&efx->filter_sem);
+       }
+}
+
+/* Asynchronous work item for changing MAC promiscuity and multicast
+ * hash.  Avoid a drain/rx_ingress enable by reconfiguring the current
+ * MAC directly.
+ */
+static void efx_mac_work(struct work_struct *data)
+{
+       struct efx_nic *efx = container_of(data, struct efx_nic, mac_work);
+
+       mutex_lock(&efx->mac_lock);
+       if (efx->port_enabled)
+               efx_mac_reconfigure(efx, false);
+       mutex_unlock(&efx->mac_lock);
+}
+
+int efx_set_mac_address(struct net_device *net_dev, void *data)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       struct sockaddr *addr = data;
+       u8 *new_addr = addr->sa_data;
+       u8 old_addr[6];
+       int rc;
+
+       if (!is_valid_ether_addr(new_addr)) {
+               netif_err(efx, drv, efx->net_dev,
+                         "invalid ethernet MAC address requested: %pM\n",
+                         new_addr);
+               return -EADDRNOTAVAIL;
+       }
+
+       /* save old address */
+       ether_addr_copy(old_addr, net_dev->dev_addr);
+       eth_hw_addr_set(net_dev, new_addr);
+       if (efx->type->set_mac_address) {
+               rc = efx->type->set_mac_address(efx);
+               if (rc) {
+                       eth_hw_addr_set(net_dev, old_addr);
+                       return rc;
+               }
+       }
+
+       /* Reconfigure the MAC */
+       mutex_lock(&efx->mac_lock);
+       efx_mac_reconfigure(efx, false);
+       mutex_unlock(&efx->mac_lock);
+
+       return 0;
+}
+
+/* Context: netif_addr_lock held, BHs disabled. */
+void efx_set_rx_mode(struct net_device *net_dev)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       if (efx->port_enabled)
+               queue_work(efx->workqueue, &efx->mac_work);
+       /* Otherwise efx_start_port() will do this */
+}
+
+int efx_set_features(struct net_device *net_dev, netdev_features_t data)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int rc;
+
+       /* If disabling RX n-tuple filtering, clear existing filters */
+       if (net_dev->features & ~data & NETIF_F_NTUPLE) {
+               rc = efx->type->filter_clear_rx(efx, EFX_FILTER_PRI_MANUAL);
+               if (rc)
+                       return rc;
+       }
+
+       /* If Rx VLAN filter is changed, update filters via mac_reconfigure.
+        * If rx-fcs is changed, mac_reconfigure updates that too.
+        */
+       if ((net_dev->features ^ data) & (NETIF_F_HW_VLAN_CTAG_FILTER |
+                                         NETIF_F_RXFCS)) {
+               /* efx_set_rx_mode() will schedule MAC work to update filters
+                * when a new features are finally set in net_dev.
+                */
+               efx_set_rx_mode(net_dev);
+       }
+
+       return 0;
+}
+
+/* This ensures that the kernel is kept informed (via
+ * netif_carrier_on/off) of the link status, and also maintains the
+ * link status's stop on the port's TX queue.
+ */
+void efx_link_status_changed(struct efx_nic *efx)
+{
+       struct efx_link_state *link_state = &efx->link_state;
+
+       /* SFC Bug 5356: A net_dev notifier is registered, so we must ensure
+        * that no events are triggered between unregister_netdev() and the
+        * driver unloading. A more general condition is that NETDEV_CHANGE
+        * can only be generated between NETDEV_UP and NETDEV_DOWN
+        */
+       if (!netif_running(efx->net_dev))
+               return;
+
+       if (link_state->up != netif_carrier_ok(efx->net_dev)) {
+               efx->n_link_state_changes++;
+
+               if (link_state->up)
+                       netif_carrier_on(efx->net_dev);
+               else
+                       netif_carrier_off(efx->net_dev);
+       }
+
+       /* Status message for kernel log */
+       if (link_state->up)
+               netif_info(efx, link, efx->net_dev,
+                          "link up at %uMbps %s-duplex (MTU %d)\n",
+                          link_state->speed, link_state->fd ? "full" : "half",
+                          efx->net_dev->mtu);
+       else
+               netif_info(efx, link, efx->net_dev, "link down\n");
+}
+
+unsigned int efx_xdp_max_mtu(struct efx_nic *efx)
+{
+       /* The maximum MTU that we can fit in a single page, allowing for
+        * framing, overhead and XDP headroom + tailroom.
+        */
+       int overhead = EFX_MAX_FRAME_LEN(0) + sizeof(struct efx_rx_page_state) +
+                      efx->rx_prefix_size + efx->type->rx_buffer_padding +
+                      efx->rx_ip_align + EFX_XDP_HEADROOM + EFX_XDP_TAILROOM;
+
+       return PAGE_SIZE - overhead;
+}
+
+/* Context: process, rtnl_lock() held. */
+int efx_change_mtu(struct net_device *net_dev, int new_mtu)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int rc;
+
+       rc = efx_check_disabled(efx);
+       if (rc)
+               return rc;
+
+       if (rtnl_dereference(efx->xdp_prog) &&
+           new_mtu > efx_xdp_max_mtu(efx)) {
+               netif_err(efx, drv, efx->net_dev,
+                         "Requested MTU of %d too big for XDP (max: %d)\n",
+                         new_mtu, efx_xdp_max_mtu(efx));
+               return -EINVAL;
+       }
+
+       netif_dbg(efx, drv, efx->net_dev, "changing MTU to %d\n", new_mtu);
+
+       efx_device_detach_sync(efx);
+       efx_stop_all(efx);
+
+       mutex_lock(&efx->mac_lock);
+       net_dev->mtu = new_mtu;
+       efx_mac_reconfigure(efx, true);
+       mutex_unlock(&efx->mac_lock);
+
+       efx_start_all(efx);
+       efx_device_attach_if_not_resetting(efx);
+       return 0;
+}
+
+/**************************************************************************
+ *
+ * Hardware monitor
+ *
+ **************************************************************************/
+
+/* Run periodically off the general workqueue */
+static void efx_monitor(struct work_struct *data)
+{
+       struct efx_nic *efx = container_of(data, struct efx_nic,
+                                          monitor_work.work);
+
+       netif_vdbg(efx, timer, efx->net_dev,
+                  "hardware monitor executing on CPU %d\n",
+                  raw_smp_processor_id());
+       BUG_ON(efx->type->monitor == NULL);
+
+       /* If the mac_lock is already held then it is likely a port
+        * reconfiguration is already in place, which will likely do
+        * most of the work of monitor() anyway.
+        */
+       if (mutex_trylock(&efx->mac_lock)) {
+               if (efx->port_enabled && efx->type->monitor)
+                       efx->type->monitor(efx);
+               mutex_unlock(&efx->mac_lock);
+       }
+
+       efx_start_monitor(efx);
+}
+
+void efx_start_monitor(struct efx_nic *efx)
+{
+       if (efx->type->monitor)
+               queue_delayed_work(efx->workqueue, &efx->monitor_work,
+                                  efx_monitor_interval);
+}
+
+/**************************************************************************
+ *
+ * Event queue processing
+ *
+ *************************************************************************/
+
+/* Channels are shutdown and reinitialised whilst the NIC is running
+ * to propagate configuration changes (mtu, checksum offload), or
+ * to clear hardware error conditions
+ */
+static void efx_start_datapath(struct efx_nic *efx)
+{
+       netdev_features_t old_features = efx->net_dev->features;
+       bool old_rx_scatter = efx->rx_scatter;
+       size_t rx_buf_len;
+
+       /* Calculate the rx buffer allocation parameters required to
+        * support the current MTU, including padding for header
+        * alignment and overruns.
+        */
+       efx->rx_dma_len = (efx->rx_prefix_size +
+                          EFX_MAX_FRAME_LEN(efx->net_dev->mtu) +
+                          efx->type->rx_buffer_padding);
+       rx_buf_len = (sizeof(struct efx_rx_page_state)   + EFX_XDP_HEADROOM +
+                     efx->rx_ip_align + efx->rx_dma_len + EFX_XDP_TAILROOM);
+
+       if (rx_buf_len <= PAGE_SIZE) {
+               efx->rx_scatter = efx->type->always_rx_scatter;
+               efx->rx_buffer_order = 0;
+       } else if (efx->type->can_rx_scatter) {
+               BUILD_BUG_ON(EFX_RX_USR_BUF_SIZE % L1_CACHE_BYTES);
+               BUILD_BUG_ON(sizeof(struct efx_rx_page_state) +
+                            2 * ALIGN(NET_IP_ALIGN + EFX_RX_USR_BUF_SIZE,
+                                      EFX_RX_BUF_ALIGNMENT) >
+                            PAGE_SIZE);
+               efx->rx_scatter = true;
+               efx->rx_dma_len = EFX_RX_USR_BUF_SIZE;
+               efx->rx_buffer_order = 0;
+       } else {
+               efx->rx_scatter = false;
+               efx->rx_buffer_order = get_order(rx_buf_len);
+       }
+
+       efx_rx_config_page_split(efx);
+       if (efx->rx_buffer_order)
+               netif_dbg(efx, drv, efx->net_dev,
+                         "RX buf len=%u; page order=%u batch=%u\n",
+                         efx->rx_dma_len, efx->rx_buffer_order,
+                         efx->rx_pages_per_batch);
+       else
+               netif_dbg(efx, drv, efx->net_dev,
+                         "RX buf len=%u step=%u bpp=%u; page batch=%u\n",
+                         efx->rx_dma_len, efx->rx_page_buf_step,
+                         efx->rx_bufs_per_page, efx->rx_pages_per_batch);
+
+       /* Restore previously fixed features in hw_features and remove
+        * features which are fixed now
+        */
+       efx->net_dev->hw_features |= efx->net_dev->features;
+       efx->net_dev->hw_features &= ~efx->fixed_features;
+       efx->net_dev->features |= efx->fixed_features;
+       if (efx->net_dev->features != old_features)
+               netdev_features_change(efx->net_dev);
+
+       /* RX filters may also have scatter-enabled flags */
+       if ((efx->rx_scatter != old_rx_scatter) &&
+           efx->type->filter_update_rx_scatter)
+               efx->type->filter_update_rx_scatter(efx);
+
+       /* We must keep at least one descriptor in a TX ring empty.
+        * We could avoid this when the queue size does not exactly
+        * match the hardware ring size, but it's not that important.
+        * Therefore we stop the queue when one more skb might fill
+        * the ring completely.  We wake it when half way back to
+        * empty.
+        */
+       efx->txq_stop_thresh = efx->txq_entries - efx_tx_max_skb_descs(efx);
+       efx->txq_wake_thresh = efx->txq_stop_thresh / 2;
+
+       /* Initialise the channels */
+       efx_start_channels(efx);
+
+       efx_ptp_start_datapath(efx);
+
+       if (netif_device_present(efx->net_dev))
+               netif_tx_wake_all_queues(efx->net_dev);
+}
+
+static void efx_stop_datapath(struct efx_nic *efx)
+{
+       EFX_ASSERT_RESET_SERIALISED(efx);
+       BUG_ON(efx->port_enabled);
+
+       efx_ptp_stop_datapath(efx);
+
+       efx_stop_channels(efx);
+}
+
+/**************************************************************************
+ *
+ * Port handling
+ *
+ **************************************************************************/
+
+/* Equivalent to efx_link_set_advertising with all-zeroes, except does not
+ * force the Autoneg bit on.
+ */
+void efx_link_clear_advertising(struct efx_nic *efx)
+{
+       bitmap_zero(efx->link_advertising, __ETHTOOL_LINK_MODE_MASK_NBITS);
+       efx->wanted_fc &= ~(EFX_FC_TX | EFX_FC_RX);
+}
+
+void efx_link_set_wanted_fc(struct efx_nic *efx, u8 wanted_fc)
+{
+       efx->wanted_fc = wanted_fc;
+       if (efx->link_advertising[0]) {
+               if (wanted_fc & EFX_FC_RX)
+                       efx->link_advertising[0] |= (ADVERTISED_Pause |
+                                                    ADVERTISED_Asym_Pause);
+               else
+                       efx->link_advertising[0] &= ~(ADVERTISED_Pause |
+                                                     ADVERTISED_Asym_Pause);
+               if (wanted_fc & EFX_FC_TX)
+                       efx->link_advertising[0] ^= ADVERTISED_Asym_Pause;
+       }
+}
+
+static void efx_start_port(struct efx_nic *efx)
+{
+       netif_dbg(efx, ifup, efx->net_dev, "start port\n");
+       BUG_ON(efx->port_enabled);
+
+       mutex_lock(&efx->mac_lock);
+       efx->port_enabled = true;
+
+       /* Ensure MAC ingress/egress is enabled */
+       efx_mac_reconfigure(efx, false);
+
+       mutex_unlock(&efx->mac_lock);
+}
+
+/* Cancel work for MAC reconfiguration, periodic hardware monitoring
+ * and the async self-test, wait for them to finish and prevent them
+ * being scheduled again.  This doesn't cover online resets, which
+ * should only be cancelled when removing the device.
+ */
+static void efx_stop_port(struct efx_nic *efx)
+{
+       netif_dbg(efx, ifdown, efx->net_dev, "stop port\n");
+
+       EFX_ASSERT_RESET_SERIALISED(efx);
+
+       mutex_lock(&efx->mac_lock);
+       efx->port_enabled = false;
+       mutex_unlock(&efx->mac_lock);
+
+       /* Serialise against efx_set_multicast_list() */
+       netif_addr_lock_bh(efx->net_dev);
+       netif_addr_unlock_bh(efx->net_dev);
+
+       cancel_delayed_work_sync(&efx->monitor_work);
+       efx_selftest_async_cancel(efx);
+       cancel_work_sync(&efx->mac_work);
+}
+
+/* If the interface is supposed to be running but is not, start
+ * the hardware and software data path, regular activity for the port
+ * (MAC statistics, link polling, etc.) and schedule the port to be
+ * reconfigured.  Interrupts must already be enabled.  This function
+ * is safe to call multiple times, so long as the NIC is not disabled.
+ * Requires the RTNL lock.
+ */
+void efx_start_all(struct efx_nic *efx)
+{
+       EFX_ASSERT_RESET_SERIALISED(efx);
+       BUG_ON(efx->state == STATE_DISABLED);
+
+       /* Check that it is appropriate to restart the interface. All
+        * of these flags are safe to read under just the rtnl lock
+        */
+       if (efx->port_enabled || !netif_running(efx->net_dev) ||
+           efx->reset_pending)
+               return;
+
+       efx_start_port(efx);
+       efx_start_datapath(efx);
+
+       /* Start the hardware monitor if there is one */
+       efx_start_monitor(efx);
+
+       /* Link state detection is normally event-driven; we have
+        * to poll now because we could have missed a change
+        */
+       mutex_lock(&efx->mac_lock);
+       if (efx_mcdi_phy_poll(efx))
+               efx_link_status_changed(efx);
+       mutex_unlock(&efx->mac_lock);
+
+       if (efx->type->start_stats) {
+               efx->type->start_stats(efx);
+               efx->type->pull_stats(efx);
+               spin_lock_bh(&efx->stats_lock);
+               efx->type->update_stats(efx, NULL, NULL);
+               spin_unlock_bh(&efx->stats_lock);
+       }
+}
+
+/* Quiesce the hardware and software data path, and regular activity
+ * for the port without bringing the link down.  Safe to call multiple
+ * times with the NIC in almost any state, but interrupts should be
+ * enabled.  Requires the RTNL lock.
+ */
+void efx_stop_all(struct efx_nic *efx)
+{
+       EFX_ASSERT_RESET_SERIALISED(efx);
+
+       /* port_enabled can be read safely under the rtnl lock */
+       if (!efx->port_enabled)
+               return;
+
+       if (efx->type->update_stats) {
+               /* update stats before we go down so we can accurately count
+                * rx_nodesc_drops
+                */
+               efx->type->pull_stats(efx);
+               spin_lock_bh(&efx->stats_lock);
+               efx->type->update_stats(efx, NULL, NULL);
+               spin_unlock_bh(&efx->stats_lock);
+               efx->type->stop_stats(efx);
+       }
+
+       efx_stop_port(efx);
+
+       /* Stop the kernel transmit interface.  This is only valid if
+        * the device is stopped or detached; otherwise the watchdog
+        * may fire immediately.
+        */
+       WARN_ON(netif_running(efx->net_dev) &&
+               netif_device_present(efx->net_dev));
+       netif_tx_disable(efx->net_dev);
+
+       efx_stop_datapath(efx);
+}
+
+/* Context: process, dev_base_lock or RTNL held, non-blocking. */
+void efx_net_stats(struct net_device *net_dev, struct rtnl_link_stats64 *stats)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       spin_lock_bh(&efx->stats_lock);
+       efx_nic_update_stats_atomic(efx, NULL, stats);
+       spin_unlock_bh(&efx->stats_lock);
+}
+
+/* Push loopback/power/transmit disable settings to the PHY, and reconfigure
+ * the MAC appropriately. All other PHY configuration changes are pushed
+ * through phy_op->set_settings(), and pushed asynchronously to the MAC
+ * through efx_monitor().
+ *
+ * Callers must hold the mac_lock
+ */
+int __efx_reconfigure_port(struct efx_nic *efx)
+{
+       enum efx_phy_mode phy_mode;
+       int rc = 0;
+
+       WARN_ON(!mutex_is_locked(&efx->mac_lock));
+
+       /* Disable PHY transmit in mac level loopbacks */
+       phy_mode = efx->phy_mode;
+       if (LOOPBACK_INTERNAL(efx))
+               efx->phy_mode |= PHY_MODE_TX_DISABLED;
+       else
+               efx->phy_mode &= ~PHY_MODE_TX_DISABLED;
+
+       if (efx->type->reconfigure_port)
+               rc = efx->type->reconfigure_port(efx);
+
+       if (rc)
+               efx->phy_mode = phy_mode;
+
+       return rc;
+}
+
+/* Reinitialise the MAC to pick up new PHY settings, even if the port is
+ * disabled.
+ */
+int efx_reconfigure_port(struct efx_nic *efx)
+{
+       int rc;
+
+       EFX_ASSERT_RESET_SERIALISED(efx);
+
+       mutex_lock(&efx->mac_lock);
+       rc = __efx_reconfigure_port(efx);
+       mutex_unlock(&efx->mac_lock);
+
+       return rc;
+}
+
+/**************************************************************************
+ *
+ * Device reset and suspend
+ *
+ **************************************************************************/
+
+static void efx_wait_for_bist_end(struct efx_nic *efx)
+{
+       int i;
+
+       for (i = 0; i < BIST_WAIT_DELAY_COUNT; ++i) {
+               if (efx_mcdi_poll_reboot(efx))
+                       goto out;
+               msleep(BIST_WAIT_DELAY_MS);
+       }
+
+       netif_err(efx, drv, efx->net_dev, "Warning: No MC reboot after BIST mode\n");
+out:
+       /* Either way unset the BIST flag. If we found no reboot we probably
+        * won't recover, but we should try.
+        */
+       efx->mc_bist_for_other_fn = false;
+}
+
+/* Try recovery mechanisms.
+ * For now only EEH is supported.
+ * Returns 0 if the recovery mechanisms are unsuccessful.
+ * Returns a non-zero value otherwise.
+ */
+int efx_try_recovery(struct efx_nic *efx)
+{
+#ifdef CONFIG_EEH
+       /* A PCI error can occur and not be seen by EEH because nothing
+        * happens on the PCI bus. In this case the driver may fail and
+        * schedule a 'recover or reset', leading to this recovery handler.
+        * Manually call the eeh failure check function.
+        */
+       struct eeh_dev *eehdev = pci_dev_to_eeh_dev(efx->pci_dev);
+       if (eeh_dev_check_failure(eehdev)) {
+               /* The EEH mechanisms will handle the error and reset the
+                * device if necessary.
+                */
+               return 1;
+       }
+#endif
+       return 0;
+}
+
+/* Tears down the entire software state and most of the hardware state
+ * before reset.
+ */
+void efx_reset_down(struct efx_nic *efx, enum reset_type method)
+{
+       EFX_ASSERT_RESET_SERIALISED(efx);
+
+       if (method == RESET_TYPE_MCDI_TIMEOUT)
+               efx->type->prepare_flr(efx);
+
+       efx_stop_all(efx);
+       efx_disable_interrupts(efx);
+
+       mutex_lock(&efx->mac_lock);
+       down_write(&efx->filter_sem);
+       mutex_lock(&efx->rss_lock);
+       efx->type->fini(efx);
+}
+
+/* Context: netif_tx_lock held, BHs disabled. */
+void efx_watchdog(struct net_device *net_dev, unsigned int txqueue)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       netif_err(efx, tx_err, efx->net_dev,
+                 "TX stuck with port_enabled=%d: resetting channels\n",
+                 efx->port_enabled);
+
+       efx_schedule_reset(efx, RESET_TYPE_TX_WATCHDOG);
+}
+
+/* This function will always ensure that the locks acquired in
+ * efx_reset_down() are released. A failure return code indicates
+ * that we were unable to reinitialise the hardware, and the
+ * driver should be disabled. If ok is false, then the rx and tx
+ * engines are not restarted, pending a RESET_DISABLE.
+ */
+int efx_reset_up(struct efx_nic *efx, enum reset_type method, bool ok)
+{
+       int rc;
+
+       EFX_ASSERT_RESET_SERIALISED(efx);
+
+       if (method == RESET_TYPE_MCDI_TIMEOUT)
+               efx->type->finish_flr(efx);
+
+       /* Ensure that SRAM is initialised even if we're disabling the device */
+       rc = efx->type->init(efx);
+       if (rc) {
+               netif_err(efx, drv, efx->net_dev, "failed to initialise NIC\n");
+               goto fail;
+       }
+
+       if (!ok)
+               goto fail;
+
+       if (efx->port_initialized && method != RESET_TYPE_INVISIBLE &&
+           method != RESET_TYPE_DATAPATH) {
+               rc = efx_mcdi_port_reconfigure(efx);
+               if (rc && rc != -EPERM)
+                       netif_err(efx, drv, efx->net_dev,
+                                 "could not restore PHY settings\n");
+       }
+
+       rc = efx_enable_interrupts(efx);
+       if (rc)
+               goto fail;
+
+#ifdef CONFIG_SFC_SRIOV
+       rc = efx->type->vswitching_restore(efx);
+       if (rc) /* not fatal; the PF will still work fine */
+               netif_warn(efx, probe, efx->net_dev,
+                          "failed to restore vswitching rc=%d;"
+                          " VFs may not function\n", rc);
+#endif
+
+       if (efx->type->rx_restore_rss_contexts)
+               efx->type->rx_restore_rss_contexts(efx);
+       mutex_unlock(&efx->rss_lock);
+       efx->type->filter_table_restore(efx);
+       up_write(&efx->filter_sem);
+       if (efx->type->sriov_reset)
+               efx->type->sriov_reset(efx);
+
+       mutex_unlock(&efx->mac_lock);
+
+       efx_start_all(efx);
+
+       if (efx->type->udp_tnl_push_ports)
+               efx->type->udp_tnl_push_ports(efx);
+
+       return 0;
+
+fail:
+       efx->port_initialized = false;
+
+       mutex_unlock(&efx->rss_lock);
+       up_write(&efx->filter_sem);
+       mutex_unlock(&efx->mac_lock);
+
+       return rc;
+}
+
+/* Reset the NIC using the specified method.  Note that the reset may
+ * fail, in which case the card will be left in an unusable state.
+ *
+ * Caller must hold the rtnl_lock.
+ */
+int efx_reset(struct efx_nic *efx, enum reset_type method)
+{
+       int rc, rc2 = 0;
+       bool disabled;
+
+       netif_info(efx, drv, efx->net_dev, "resetting (%s)\n",
+                  RESET_TYPE(method));
+
+       efx_device_detach_sync(efx);
+       /* efx_reset_down() grabs locks that prevent recovery on EF100.
+        * EF100 reset is handled in the efx_nic_type callback below.
+        */
+       if (efx_nic_rev(efx) != EFX_REV_EF100)
+               efx_reset_down(efx, method);
+
+       rc = efx->type->reset(efx, method);
+       if (rc) {
+               netif_err(efx, drv, efx->net_dev, "failed to reset hardware\n");
+               goto out;
+       }
+
+       /* Clear flags for the scopes we covered.  We assume the NIC and
+        * driver are now quiescent so that there is no race here.
+        */
+       if (method < RESET_TYPE_MAX_METHOD)
+               efx->reset_pending &= -(1 << (method + 1));
+       else /* it doesn't fit into the well-ordered scope hierarchy */
+               __clear_bit(method, &efx->reset_pending);
+
+       /* Reinitialise bus-mastering, which may have been turned off before
+        * the reset was scheduled. This is still appropriate, even in the
+        * RESET_TYPE_DISABLE since this driver generally assumes the hardware
+        * can respond to requests.
+        */
+       pci_set_master(efx->pci_dev);
+
+out:
+       /* Leave device stopped if necessary */
+       disabled = rc ||
+               method == RESET_TYPE_DISABLE ||
+               method == RESET_TYPE_RECOVER_OR_DISABLE;
+       if (efx_nic_rev(efx) != EFX_REV_EF100)
+               rc2 = efx_reset_up(efx, method, !disabled);
+       if (rc2) {
+               disabled = true;
+               if (!rc)
+                       rc = rc2;
+       }
+
+       if (disabled) {
+               dev_close(efx->net_dev);
+               netif_err(efx, drv, efx->net_dev, "has been disabled\n");
+               efx->state = STATE_DISABLED;
+       } else {
+               netif_dbg(efx, drv, efx->net_dev, "reset complete\n");
+               efx_device_attach_if_not_resetting(efx);
+       }
+       return rc;
+}
+
+/* The worker thread exists so that code that cannot sleep can
+ * schedule a reset for later.
+ */
+static void efx_reset_work(struct work_struct *data)
+{
+       struct efx_nic *efx = container_of(data, struct efx_nic, reset_work);
+       unsigned long pending;
+       enum reset_type method;
+
+       pending = READ_ONCE(efx->reset_pending);
+       method = fls(pending) - 1;
+
+       if (method == RESET_TYPE_MC_BIST)
+               efx_wait_for_bist_end(efx);
+
+       if ((method == RESET_TYPE_RECOVER_OR_DISABLE ||
+            method == RESET_TYPE_RECOVER_OR_ALL) &&
+           efx_try_recovery(efx))
+               return;
+
+       if (!pending)
+               return;
+
+       rtnl_lock();
+
+       /* We checked the state in efx_schedule_reset() but it may
+        * have changed by now.  Now that we have the RTNL lock,
+        * it cannot change again.
+        */
+       if (efx->state == STATE_READY)
+               (void)efx_reset(efx, method);
+
+       rtnl_unlock();
+}
+
+void efx_schedule_reset(struct efx_nic *efx, enum reset_type type)
+{
+       enum reset_type method;
+
+       if (efx->state == STATE_RECOVERY) {
+               netif_dbg(efx, drv, efx->net_dev,
+                         "recovering: skip scheduling %s reset\n",
+                         RESET_TYPE(type));
+               return;
+       }
+
+       switch (type) {
+       case RESET_TYPE_INVISIBLE:
+       case RESET_TYPE_ALL:
+       case RESET_TYPE_RECOVER_OR_ALL:
+       case RESET_TYPE_WORLD:
+       case RESET_TYPE_DISABLE:
+       case RESET_TYPE_RECOVER_OR_DISABLE:
+       case RESET_TYPE_DATAPATH:
+       case RESET_TYPE_MC_BIST:
+       case RESET_TYPE_MCDI_TIMEOUT:
+               method = type;
+               netif_dbg(efx, drv, efx->net_dev, "scheduling %s reset\n",
+                         RESET_TYPE(method));
+               break;
+       default:
+               method = efx->type->map_reset_reason(type);
+               netif_dbg(efx, drv, efx->net_dev,
+                         "scheduling %s reset for %s\n",
+                         RESET_TYPE(method), RESET_TYPE(type));
+               break;
+       }
+
+       set_bit(method, &efx->reset_pending);
+       smp_mb(); /* ensure we change reset_pending before checking state */
+
+       /* If we're not READY then just leave the flags set as the cue
+        * to abort probing or reschedule the reset later.
+        */
+       if (READ_ONCE(efx->state) != STATE_READY)
+               return;
+
+       /* efx_process_channel() will no longer read events once a
+        * reset is scheduled. So switch back to poll'd MCDI completions.
+        */
+       efx_mcdi_mode_poll(efx);
+
+       efx_queue_reset_work(efx);
+}
+
+/**************************************************************************
+ *
+ * Dummy NIC operations
+ *
+ * Can be used for some unimplemented operations
+ * Needed so all function pointers are valid and do not have to be tested
+ * before use
+ *
+ **************************************************************************/
+int efx_port_dummy_op_int(struct efx_nic *efx)
+{
+       return 0;
+}
+void efx_port_dummy_op_void(struct efx_nic *efx) {}
+
+/**************************************************************************
+ *
+ * Data housekeeping
+ *
+ **************************************************************************/
+
+/* This zeroes out and then fills in the invariants in a struct
+ * efx_nic (including all sub-structures).
+ */
+int efx_init_struct(struct efx_nic *efx,
+                   struct pci_dev *pci_dev, struct net_device *net_dev)
+{
+       int rc = -ENOMEM;
+
+       /* Initialise common structures */
+       INIT_LIST_HEAD(&efx->node);
+       INIT_LIST_HEAD(&efx->secondary_list);
+       spin_lock_init(&efx->biu_lock);
+#ifdef CONFIG_SFC_MTD
+       INIT_LIST_HEAD(&efx->mtd_list);
+#endif
+       INIT_WORK(&efx->reset_work, efx_reset_work);
+       INIT_DELAYED_WORK(&efx->monitor_work, efx_monitor);
+       efx_selftest_async_init(efx);
+       efx->pci_dev = pci_dev;
+       efx->msg_enable = debug;
+       efx->state = STATE_UNINIT;
+       strlcpy(efx->name, pci_name(pci_dev), sizeof(efx->name));
+
+       efx->net_dev = net_dev;
+       efx->rx_prefix_size = efx->type->rx_prefix_size;
+       efx->rx_ip_align =
+               NET_IP_ALIGN ? (efx->rx_prefix_size + NET_IP_ALIGN) % 4 : 0;
+       efx->rx_packet_hash_offset =
+               efx->type->rx_hash_offset - efx->type->rx_prefix_size;
+       efx->rx_packet_ts_offset =
+               efx->type->rx_ts_offset - efx->type->rx_prefix_size;
+       INIT_LIST_HEAD(&efx->rss_context.list);
+       efx->rss_context.context_id = EFX_MCDI_RSS_CONTEXT_INVALID;
+       mutex_init(&efx->rss_lock);
+       efx->vport_id = EVB_PORT_ID_ASSIGNED;
+       spin_lock_init(&efx->stats_lock);
+       efx->vi_stride = EFX_DEFAULT_VI_STRIDE;
+       efx->num_mac_stats = MC_CMD_MAC_NSTATS;
+       BUILD_BUG_ON(MC_CMD_MAC_NSTATS - 1 != MC_CMD_MAC_GENERATION_END);
+       mutex_init(&efx->mac_lock);
+       init_rwsem(&efx->filter_sem);
+#ifdef CONFIG_RFS_ACCEL
+       mutex_init(&efx->rps_mutex);
+       spin_lock_init(&efx->rps_hash_lock);
+       /* Failure to allocate is not fatal, but may degrade ARFS performance */
+       efx->rps_hash_table = kcalloc(EFX_ARFS_HASH_TABLE_SIZE,
+                                     sizeof(*efx->rps_hash_table), GFP_KERNEL);
+#endif
+       efx->mdio.dev = net_dev;
+       INIT_WORK(&efx->mac_work, efx_mac_work);
+       init_waitqueue_head(&efx->flush_wq);
+
+       efx->tx_queues_per_channel = 1;
+       efx->rxq_entries = EFX_DEFAULT_DMAQ_SIZE;
+       efx->txq_entries = EFX_DEFAULT_DMAQ_SIZE;
+
+       efx->mem_bar = UINT_MAX;
+
+       rc = efx_init_channels(efx);
+       if (rc)
+               goto fail;
+
+       /* Would be good to use the net_dev name, but we're too early */
+       snprintf(efx->workqueue_name, sizeof(efx->workqueue_name), "sfc%s",
+                pci_name(pci_dev));
+       efx->workqueue = create_singlethread_workqueue(efx->workqueue_name);
+       if (!efx->workqueue) {
+               rc = -ENOMEM;
+               goto fail;
+       }
+
+       return 0;
+
+fail:
+       efx_fini_struct(efx);
+       return rc;
+}
+
+void efx_fini_struct(struct efx_nic *efx)
+{
+#ifdef CONFIG_RFS_ACCEL
+       kfree(efx->rps_hash_table);
+#endif
+
+       efx_fini_channels(efx);
+
+       kfree(efx->vpd_sn);
+
+       if (efx->workqueue) {
+               destroy_workqueue(efx->workqueue);
+               efx->workqueue = NULL;
+       }
+}
+
+/* This configures the PCI device to enable I/O and DMA. */
+int efx_init_io(struct efx_nic *efx, int bar, dma_addr_t dma_mask,
+               unsigned int mem_map_size)
+{
+       struct pci_dev *pci_dev = efx->pci_dev;
+       int rc;
+
+       efx->mem_bar = UINT_MAX;
+
+       netif_dbg(efx, probe, efx->net_dev, "initialising I/O bar=%d\n", bar);
+
+       rc = pci_enable_device(pci_dev);
+       if (rc) {
+               netif_err(efx, probe, efx->net_dev,
+                         "failed to enable PCI device\n");
+               goto fail1;
+       }
+
+       pci_set_master(pci_dev);
+
+       rc = dma_set_mask_and_coherent(&pci_dev->dev, dma_mask);
+       if (rc) {
+               netif_err(efx, probe, efx->net_dev,
+                         "could not find a suitable DMA mask\n");
+               goto fail2;
+       }
+       netif_dbg(efx, probe, efx->net_dev,
+                 "using DMA mask %llx\n", (unsigned long long)dma_mask);
+
+       efx->membase_phys = pci_resource_start(efx->pci_dev, bar);
+       if (!efx->membase_phys) {
+               netif_err(efx, probe, efx->net_dev,
+                         "ERROR: No BAR%d mapping from the BIOS. "
+                         "Try pci=realloc on the kernel command line\n", bar);
+               rc = -ENODEV;
+               goto fail3;
+       }
+
+       rc = pci_request_region(pci_dev, bar, "sfc");
+       if (rc) {
+               netif_err(efx, probe, efx->net_dev,
+                         "request for memory BAR[%d] failed\n", bar);
+               rc = -EIO;
+               goto fail3;
+       }
+       efx->mem_bar = bar;
+       efx->membase = ioremap(efx->membase_phys, mem_map_size);
+       if (!efx->membase) {
+               netif_err(efx, probe, efx->net_dev,
+                         "could not map memory BAR[%d] at %llx+%x\n", bar,
+                         (unsigned long long)efx->membase_phys, mem_map_size);
+               rc = -ENOMEM;
+               goto fail4;
+       }
+       netif_dbg(efx, probe, efx->net_dev,
+                 "memory BAR[%d] at %llx+%x (virtual %p)\n", bar,
+                 (unsigned long long)efx->membase_phys, mem_map_size,
+                 efx->membase);
+
+       return 0;
+
+fail4:
+       pci_release_region(efx->pci_dev, bar);
+fail3:
+       efx->membase_phys = 0;
+fail2:
+       pci_disable_device(efx->pci_dev);
+fail1:
+       return rc;
+}
+
+void efx_fini_io(struct efx_nic *efx)
+{
+       netif_dbg(efx, drv, efx->net_dev, "shutting down I/O\n");
+
+       if (efx->membase) {
+               iounmap(efx->membase);
+               efx->membase = NULL;
+       }
+
+       if (efx->membase_phys) {
+               pci_release_region(efx->pci_dev, efx->mem_bar);
+               efx->membase_phys = 0;
+               efx->mem_bar = UINT_MAX;
+       }
+
+       /* Don't disable bus-mastering if VFs are assigned */
+       if (!pci_vfs_assigned(efx->pci_dev))
+               pci_disable_device(efx->pci_dev);
+}
+
+#ifdef CONFIG_SFC_MCDI_LOGGING
+static ssize_t mcdi_logging_show(struct device *dev,
+                                struct device_attribute *attr,
+                                char *buf)
+{
+       struct efx_nic *efx = dev_get_drvdata(dev);
+       struct efx_mcdi_iface *mcdi = efx_mcdi(efx);
+
+       return scnprintf(buf, PAGE_SIZE, "%d\n", mcdi->logging_enabled);
+}
+
+static ssize_t mcdi_logging_store(struct device *dev,
+                                 struct device_attribute *attr,
+                                 const char *buf, size_t count)
+{
+       struct efx_nic *efx = dev_get_drvdata(dev);
+       struct efx_mcdi_iface *mcdi = efx_mcdi(efx);
+       bool enable = count > 0 && *buf != '0';
+
+       mcdi->logging_enabled = enable;
+       return count;
+}
+
+static DEVICE_ATTR_RW(mcdi_logging);
+
+void efx_init_mcdi_logging(struct efx_nic *efx)
+{
+       int rc = device_create_file(&efx->pci_dev->dev, &dev_attr_mcdi_logging);
+
+       if (rc) {
+               netif_warn(efx, drv, efx->net_dev,
+                          "failed to init net dev attributes\n");
+       }
+}
+
+void efx_fini_mcdi_logging(struct efx_nic *efx)
+{
+       device_remove_file(&efx->pci_dev->dev, &dev_attr_mcdi_logging);
+}
+#endif
+
+/* A PCI error affecting this device was detected.
+ * At this point MMIO and DMA may be disabled.
+ * Stop the software path and request a slot reset.
+ */
+static pci_ers_result_t efx_io_error_detected(struct pci_dev *pdev,
+                                             pci_channel_state_t state)
+{
+       pci_ers_result_t status = PCI_ERS_RESULT_RECOVERED;
+       struct efx_nic *efx = pci_get_drvdata(pdev);
+
+       if (state == pci_channel_io_perm_failure)
+               return PCI_ERS_RESULT_DISCONNECT;
+
+       rtnl_lock();
+
+       if (efx->state != STATE_DISABLED) {
+               efx->state = STATE_RECOVERY;
+               efx->reset_pending = 0;
+
+               efx_device_detach_sync(efx);
+
+               efx_stop_all(efx);
+               efx_disable_interrupts(efx);
+
+               status = PCI_ERS_RESULT_NEED_RESET;
+       } else {
+               /* If the interface is disabled we don't want to do anything
+                * with it.
+                */
+               status = PCI_ERS_RESULT_RECOVERED;
+       }
+
+       rtnl_unlock();
+
+       pci_disable_device(pdev);
+
+       return status;
+}
+
+/* Fake a successful reset, which will be performed later in efx_io_resume. */
+static pci_ers_result_t efx_io_slot_reset(struct pci_dev *pdev)
+{
+       struct efx_nic *efx = pci_get_drvdata(pdev);
+       pci_ers_result_t status = PCI_ERS_RESULT_RECOVERED;
+
+       if (pci_enable_device(pdev)) {
+               netif_err(efx, hw, efx->net_dev,
+                         "Cannot re-enable PCI device after reset.\n");
+               status =  PCI_ERS_RESULT_DISCONNECT;
+       }
+
+       return status;
+}
+
+/* Perform the actual reset and resume I/O operations. */
+static void efx_io_resume(struct pci_dev *pdev)
+{
+       struct efx_nic *efx = pci_get_drvdata(pdev);
+       int rc;
+
+       rtnl_lock();
+
+       if (efx->state == STATE_DISABLED)
+               goto out;
+
+       rc = efx_reset(efx, RESET_TYPE_ALL);
+       if (rc) {
+               netif_err(efx, hw, efx->net_dev,
+                         "efx_reset failed after PCI error (%d)\n", rc);
+       } else {
+               efx->state = STATE_READY;
+               netif_dbg(efx, hw, efx->net_dev,
+                         "Done resetting and resuming IO after PCI error.\n");
+       }
+
+out:
+       rtnl_unlock();
+}
+
+/* For simplicity and reliability, we always require a slot reset and try to
+ * reset the hardware when a pci error affecting the device is detected.
+ * We leave both the link_reset and mmio_enabled callback unimplemented:
+ * with our request for slot reset the mmio_enabled callback will never be
+ * called, and the link_reset callback is not used by AER or EEH mechanisms.
+ */
+const struct pci_error_handlers efx_err_handlers = {
+       .error_detected = efx_io_error_detected,
+       .slot_reset     = efx_io_slot_reset,
+       .resume         = efx_io_resume,
+};
+
+/* Determine whether the NIC will be able to handle TX offloads for a given
+ * encapsulated packet.
+ */
+static bool efx_can_encap_offloads(struct efx_nic *efx, struct sk_buff *skb)
+{
+       struct gre_base_hdr *greh;
+       __be16 dst_port;
+       u8 ipproto;
+
+       /* Does the NIC support encap offloads?
+        * If not, we should never get here, because we shouldn't have
+        * advertised encap offload feature flags in the first place.
+        */
+       if (WARN_ON_ONCE(!efx->type->udp_tnl_has_port))
+               return false;
+
+       /* Determine encapsulation protocol in use */
+       switch (skb->protocol) {
+       case htons(ETH_P_IP):
+               ipproto = ip_hdr(skb)->protocol;
+               break;
+       case htons(ETH_P_IPV6):
+               /* If there are extension headers, this will cause us to
+                * think we can't offload something that we maybe could have.
+                */
+               ipproto = ipv6_hdr(skb)->nexthdr;
+               break;
+       default:
+               /* Not IP, so can't offload it */
+               return false;
+       }
+       switch (ipproto) {
+       case IPPROTO_GRE:
+               /* We support NVGRE but not IP over GRE or random gretaps.
+                * Specifically, the NIC will accept GRE as encapsulated if
+                * the inner protocol is Ethernet, but only handle it
+                * correctly if the GRE header is 8 bytes long.  Moreover,
+                * it will not update the Checksum or Sequence Number fields
+                * if they are present.  (The Routing Present flag,
+                * GRE_ROUTING, cannot be set else the header would be more
+                * than 8 bytes long; so we don't have to worry about it.)
+                */
+               if (skb->inner_protocol_type != ENCAP_TYPE_ETHER)
+                       return false;
+               if (ntohs(skb->inner_protocol) != ETH_P_TEB)
+                       return false;
+               if (skb_inner_mac_header(skb) - skb_transport_header(skb) != 8)
+                       return false;
+               greh = (struct gre_base_hdr *)skb_transport_header(skb);
+               return !(greh->flags & (GRE_CSUM | GRE_SEQ));
+       case IPPROTO_UDP:
+               /* If the port is registered for a UDP tunnel, we assume the
+                * packet is for that tunnel, and the NIC will handle it as
+                * such.  If not, the NIC won't know what to do with it.
+                */
+               dst_port = udp_hdr(skb)->dest;
+               return efx->type->udp_tnl_has_port(efx, dst_port);
+       default:
+               return false;
+       }
+}
+
+netdev_features_t efx_features_check(struct sk_buff *skb, struct net_device *dev,
+                                    netdev_features_t features)
+{
+       struct efx_nic *efx = netdev_priv(dev);
+
+       if (skb->encapsulation) {
+               if (features & NETIF_F_GSO_MASK)
+                       /* Hardware can only do TSO with at most 208 bytes
+                        * of headers.
+                        */
+                       if (skb_inner_transport_offset(skb) >
+                           EFX_TSO2_MAX_HDRLEN)
+                               features &= ~(NETIF_F_GSO_MASK);
+               if (features & (NETIF_F_GSO_MASK | NETIF_F_CSUM_MASK))
+                       if (!efx_can_encap_offloads(efx, skb))
+                               features &= ~(NETIF_F_GSO_MASK |
+                                             NETIF_F_CSUM_MASK);
+       }
+       return features;
+}
+
+int efx_get_phys_port_id(struct net_device *net_dev,
+                        struct netdev_phys_item_id *ppid)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       if (efx->type->get_phys_port_id)
+               return efx->type->get_phys_port_id(efx, ppid);
+       else
+               return -EOPNOTSUPP;
+}
+
+int efx_get_phys_port_name(struct net_device *net_dev, char *name, size_t len)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       if (snprintf(name, len, "p%u", efx->port_num) >= len)
+               return -EINVAL;
+       return 0;
+}
diff --git a/drivers/net/ethernet/sfc/siena/efx_common.h b/drivers/net/ethernet/sfc/siena/efx_common.h
new file mode 100644 (file)
index 0000000..65513fd
--- /dev/null
@@ -0,0 +1,116 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2018 Solarflare Communications Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify it
+ * under the terms of the GNU General Public License version 2 as published
+ * by the Free Software Foundation, incorporated herein by reference.
+ */
+
+#ifndef EFX_COMMON_H
+#define EFX_COMMON_H
+
+int efx_init_io(struct efx_nic *efx, int bar, dma_addr_t dma_mask,
+               unsigned int mem_map_size);
+void efx_fini_io(struct efx_nic *efx);
+int efx_init_struct(struct efx_nic *efx, struct pci_dev *pci_dev,
+                   struct net_device *net_dev);
+void efx_fini_struct(struct efx_nic *efx);
+
+#define EFX_MAX_DMAQ_SIZE 4096UL
+#define EFX_DEFAULT_DMAQ_SIZE 1024UL
+#define EFX_MIN_DMAQ_SIZE 512UL
+
+#define EFX_MAX_EVQ_SIZE 16384UL
+#define EFX_MIN_EVQ_SIZE 512UL
+
+void efx_link_clear_advertising(struct efx_nic *efx);
+void efx_link_set_wanted_fc(struct efx_nic *efx, u8);
+
+void efx_start_all(struct efx_nic *efx);
+void efx_stop_all(struct efx_nic *efx);
+
+void efx_net_stats(struct net_device *net_dev, struct rtnl_link_stats64 *stats);
+
+int efx_create_reset_workqueue(void);
+void efx_queue_reset_work(struct efx_nic *efx);
+void efx_flush_reset_workqueue(struct efx_nic *efx);
+void efx_destroy_reset_workqueue(void);
+
+void efx_start_monitor(struct efx_nic *efx);
+
+int __efx_reconfigure_port(struct efx_nic *efx);
+int efx_reconfigure_port(struct efx_nic *efx);
+
+#define EFX_ASSERT_RESET_SERIALISED(efx)               \
+       do {                                            \
+               if ((efx->state == STATE_READY) ||      \
+                   (efx->state == STATE_RECOVERY) ||   \
+                   (efx->state == STATE_DISABLED))     \
+                       ASSERT_RTNL();                  \
+       } while (0)
+
+int efx_try_recovery(struct efx_nic *efx);
+void efx_reset_down(struct efx_nic *efx, enum reset_type method);
+void efx_watchdog(struct net_device *net_dev, unsigned int txqueue);
+int efx_reset_up(struct efx_nic *efx, enum reset_type method, bool ok);
+int efx_reset(struct efx_nic *efx, enum reset_type method);
+void efx_schedule_reset(struct efx_nic *efx, enum reset_type type);
+
+/* Dummy PHY ops for PHY drivers */
+int efx_port_dummy_op_int(struct efx_nic *efx);
+void efx_port_dummy_op_void(struct efx_nic *efx);
+
+static inline int efx_check_disabled(struct efx_nic *efx)
+{
+       if (efx->state == STATE_DISABLED || efx->state == STATE_RECOVERY) {
+               netif_err(efx, drv, efx->net_dev,
+                         "device is disabled due to earlier errors\n");
+               return -EIO;
+       }
+       return 0;
+}
+
+static inline void efx_schedule_channel(struct efx_channel *channel)
+{
+       netif_vdbg(channel->efx, intr, channel->efx->net_dev,
+                  "channel %d scheduling NAPI poll on CPU%d\n",
+                  channel->channel, raw_smp_processor_id());
+
+       napi_schedule(&channel->napi_str);
+}
+
+static inline void efx_schedule_channel_irq(struct efx_channel *channel)
+{
+       channel->event_test_cpu = raw_smp_processor_id();
+       efx_schedule_channel(channel);
+}
+
+#ifdef CONFIG_SFC_MCDI_LOGGING
+void efx_init_mcdi_logging(struct efx_nic *efx);
+void efx_fini_mcdi_logging(struct efx_nic *efx);
+#else
+static inline void efx_init_mcdi_logging(struct efx_nic *efx) {}
+static inline void efx_fini_mcdi_logging(struct efx_nic *efx) {}
+#endif
+
+void efx_mac_reconfigure(struct efx_nic *efx, bool mtu_only);
+int efx_set_mac_address(struct net_device *net_dev, void *data);
+void efx_set_rx_mode(struct net_device *net_dev);
+int efx_set_features(struct net_device *net_dev, netdev_features_t data);
+void efx_link_status_changed(struct efx_nic *efx);
+unsigned int efx_xdp_max_mtu(struct efx_nic *efx);
+int efx_change_mtu(struct net_device *net_dev, int new_mtu);
+
+extern const struct pci_error_handlers efx_err_handlers;
+
+netdev_features_t efx_features_check(struct sk_buff *skb, struct net_device *dev,
+                                    netdev_features_t features);
+
+int efx_get_phys_port_id(struct net_device *net_dev,
+                        struct netdev_phys_item_id *ppid);
+
+int efx_get_phys_port_name(struct net_device *net_dev,
+                          char *name, size_t len);
+#endif
diff --git a/drivers/net/ethernet/sfc/siena/enum.h b/drivers/net/ethernet/sfc/siena/enum.h
new file mode 100644 (file)
index 0000000..cd590e0
--- /dev/null
@@ -0,0 +1,176 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2007-2013 Solarflare Communications Inc.
+ */
+
+#ifndef EFX_ENUM_H
+#define EFX_ENUM_H
+
+/**
+ * enum efx_loopback_mode - loopback modes
+ * @LOOPBACK_NONE: no loopback
+ * @LOOPBACK_DATA: data path loopback
+ * @LOOPBACK_GMAC: loopback within GMAC
+ * @LOOPBACK_XGMII: loopback after XMAC
+ * @LOOPBACK_XGXS: loopback within BPX after XGXS
+ * @LOOPBACK_XAUI: loopback within BPX before XAUI serdes
+ * @LOOPBACK_GMII: loopback within BPX after GMAC
+ * @LOOPBACK_SGMII: loopback within BPX within SGMII
+ * @LOOPBACK_XGBR: loopback within BPX within XGBR
+ * @LOOPBACK_XFI: loopback within BPX before XFI serdes
+ * @LOOPBACK_XAUI_FAR: loopback within BPX after XAUI serdes
+ * @LOOPBACK_GMII_FAR: loopback within BPX before SGMII
+ * @LOOPBACK_SGMII_FAR: loopback within BPX after SGMII
+ * @LOOPBACK_XFI_FAR: loopback after XFI serdes
+ * @LOOPBACK_GPHY: loopback within 1G PHY at unspecified level
+ * @LOOPBACK_PHYXS: loopback within 10G PHY at PHYXS level
+ * @LOOPBACK_PCS: loopback within 10G PHY at PCS level
+ * @LOOPBACK_PMAPMD: loopback within 10G PHY at PMAPMD level
+ * @LOOPBACK_XPORT: cross port loopback
+ * @LOOPBACK_XGMII_WS: wireside loopback excluding XMAC
+ * @LOOPBACK_XAUI_WS: wireside loopback within BPX within XAUI serdes
+ * @LOOPBACK_XAUI_WS_FAR: wireside loopback within BPX including XAUI serdes
+ * @LOOPBACK_XAUI_WS_NEAR: wireside loopback within BPX excluding XAUI serdes
+ * @LOOPBACK_GMII_WS: wireside loopback excluding GMAC
+ * @LOOPBACK_XFI_WS: wireside loopback excluding XFI serdes
+ * @LOOPBACK_XFI_WS_FAR: wireside loopback including XFI serdes
+ * @LOOPBACK_PHYXS_WS: wireside loopback within 10G PHY at PHYXS level
+ */
+/* Please keep up-to-date w.r.t the following two #defines */
+enum efx_loopback_mode {
+       LOOPBACK_NONE = 0,
+       LOOPBACK_DATA = 1,
+       LOOPBACK_GMAC = 2,
+       LOOPBACK_XGMII = 3,
+       LOOPBACK_XGXS = 4,
+       LOOPBACK_XAUI = 5,
+       LOOPBACK_GMII = 6,
+       LOOPBACK_SGMII = 7,
+       LOOPBACK_XGBR = 8,
+       LOOPBACK_XFI = 9,
+       LOOPBACK_XAUI_FAR = 10,
+       LOOPBACK_GMII_FAR = 11,
+       LOOPBACK_SGMII_FAR = 12,
+       LOOPBACK_XFI_FAR = 13,
+       LOOPBACK_GPHY = 14,
+       LOOPBACK_PHYXS = 15,
+       LOOPBACK_PCS = 16,
+       LOOPBACK_PMAPMD = 17,
+       LOOPBACK_XPORT = 18,
+       LOOPBACK_XGMII_WS = 19,
+       LOOPBACK_XAUI_WS = 20,
+       LOOPBACK_XAUI_WS_FAR = 21,
+       LOOPBACK_XAUI_WS_NEAR = 22,
+       LOOPBACK_GMII_WS = 23,
+       LOOPBACK_XFI_WS = 24,
+       LOOPBACK_XFI_WS_FAR = 25,
+       LOOPBACK_PHYXS_WS = 26,
+       LOOPBACK_MAX
+};
+#define LOOPBACK_TEST_MAX LOOPBACK_PMAPMD
+
+/* These loopbacks occur within the controller */
+#define LOOPBACKS_INTERNAL ((1 << LOOPBACK_DATA) |             \
+                           (1 << LOOPBACK_GMAC) |              \
+                           (1 << LOOPBACK_XGMII)|              \
+                           (1 << LOOPBACK_XGXS) |              \
+                           (1 << LOOPBACK_XAUI) |              \
+                           (1 << LOOPBACK_GMII) |              \
+                           (1 << LOOPBACK_SGMII) |             \
+                           (1 << LOOPBACK_XGBR) |              \
+                           (1 << LOOPBACK_XFI) |               \
+                           (1 << LOOPBACK_XAUI_FAR) |          \
+                           (1 << LOOPBACK_GMII_FAR) |          \
+                           (1 << LOOPBACK_SGMII_FAR) |         \
+                           (1 << LOOPBACK_XFI_FAR) |           \
+                           (1 << LOOPBACK_XGMII_WS) |          \
+                           (1 << LOOPBACK_XAUI_WS) |           \
+                           (1 << LOOPBACK_XAUI_WS_FAR) |       \
+                           (1 << LOOPBACK_XAUI_WS_NEAR) |      \
+                           (1 << LOOPBACK_GMII_WS) |           \
+                           (1 << LOOPBACK_XFI_WS) |            \
+                           (1 << LOOPBACK_XFI_WS_FAR))
+
+#define LOOPBACKS_WS ((1 << LOOPBACK_XGMII_WS) |               \
+                     (1 << LOOPBACK_XAUI_WS) |                 \
+                     (1 << LOOPBACK_XAUI_WS_FAR) |             \
+                     (1 << LOOPBACK_XAUI_WS_NEAR) |            \
+                     (1 << LOOPBACK_GMII_WS) |                 \
+                     (1 << LOOPBACK_XFI_WS) |                  \
+                     (1 << LOOPBACK_XFI_WS_FAR) |              \
+                     (1 << LOOPBACK_PHYXS_WS))
+
+#define LOOPBACKS_EXTERNAL(_efx)                                       \
+       ((_efx)->loopback_modes & ~LOOPBACKS_INTERNAL &                 \
+        ~(1 << LOOPBACK_NONE))
+
+#define LOOPBACK_MASK(_efx)                    \
+       (1 << (_efx)->loopback_mode)
+
+#define LOOPBACK_INTERNAL(_efx)                                \
+       (!!(LOOPBACKS_INTERNAL & LOOPBACK_MASK(_efx)))
+
+#define LOOPBACK_EXTERNAL(_efx)                                \
+       (!!(LOOPBACK_MASK(_efx) & LOOPBACKS_EXTERNAL(_efx)))
+
+#define LOOPBACK_CHANGED(_from, _to, _mask)                            \
+       (!!((LOOPBACK_MASK(_from) ^ LOOPBACK_MASK(_to)) & (_mask)))
+
+#define LOOPBACK_OUT_OF(_from, _to, _mask)                             \
+       ((LOOPBACK_MASK(_from) & (_mask)) && !(LOOPBACK_MASK(_to) & (_mask)))
+
+/*****************************************************************************/
+
+/**
+ * enum reset_type - reset types
+ *
+ * %RESET_TYPE_INVSIBLE, %RESET_TYPE_ALL, %RESET_TYPE_WORLD and
+ * %RESET_TYPE_DISABLE specify the method/scope of the reset.  The
+ * other valuesspecify reasons, which efx_schedule_reset() will choose
+ * a method for.
+ *
+ * Reset methods are numbered in order of increasing scope.
+ *
+ * @RESET_TYPE_INVISIBLE: Reset datapath and MAC (Falcon only)
+ * @RESET_TYPE_RECOVER_OR_ALL: Try to recover. Apply RESET_TYPE_ALL
+ * if unsuccessful.
+ * @RESET_TYPE_ALL: Reset datapath, MAC and PHY
+ * @RESET_TYPE_WORLD: Reset as much as possible
+ * @RESET_TYPE_RECOVER_OR_DISABLE: Try to recover. Apply RESET_TYPE_DISABLE if
+ * unsuccessful.
+ * @RESET_TYPE_DATAPATH: Reset datapath only.
+ * @RESET_TYPE_MC_BIST: MC entering BIST mode.
+ * @RESET_TYPE_DISABLE: Reset datapath, MAC and PHY; leave NIC disabled
+ * @RESET_TYPE_TX_WATCHDOG: reset due to TX watchdog
+ * @RESET_TYPE_INT_ERROR: reset due to internal error
+ * @RESET_TYPE_DMA_ERROR: DMA error
+ * @RESET_TYPE_TX_SKIP: hardware completed empty tx descriptors
+ * @RESET_TYPE_MC_FAILURE: MC reboot/assertion
+ * @RESET_TYPE_MCDI_TIMEOUT: MCDI timeout.
+ */
+enum reset_type {
+       RESET_TYPE_INVISIBLE,
+       RESET_TYPE_RECOVER_OR_ALL,
+       RESET_TYPE_ALL,
+       RESET_TYPE_WORLD,
+       RESET_TYPE_RECOVER_OR_DISABLE,
+       RESET_TYPE_DATAPATH,
+       RESET_TYPE_MC_BIST,
+       RESET_TYPE_DISABLE,
+       RESET_TYPE_MAX_METHOD,
+       RESET_TYPE_TX_WATCHDOG,
+       RESET_TYPE_INT_ERROR,
+       RESET_TYPE_DMA_ERROR,
+       RESET_TYPE_TX_SKIP,
+       RESET_TYPE_MC_FAILURE,
+       /* RESET_TYPE_MCDI_TIMEOUT is actually a method, not just a reason, but
+        * it doesn't fit the scope hierarchy (not well-ordered by inclusion).
+        * We encode this by having its enum value be greater than
+        * RESET_TYPE_MAX_METHOD.
+        */
+       RESET_TYPE_MCDI_TIMEOUT,
+       RESET_TYPE_MAX,
+};
+
+#endif /* EFX_ENUM_H */
diff --git a/drivers/net/ethernet/sfc/siena/ethtool.c b/drivers/net/ethernet/sfc/siena/ethtool.c
new file mode 100644 (file)
index 0000000..4850637
--- /dev/null
@@ -0,0 +1,282 @@
+// SPDX-License-Identifier: GPL-2.0-only
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2005-2006 Fen Systems Ltd.
+ * Copyright 2006-2013 Solarflare Communications Inc.
+ */
+
+#include <linux/netdevice.h>
+#include <linux/ethtool.h>
+#include <linux/rtnetlink.h>
+#include <linux/in.h>
+#include "net_driver.h"
+#include "workarounds.h"
+#include "selftest.h"
+#include "efx.h"
+#include "efx_channels.h"
+#include "rx_common.h"
+#include "tx_common.h"
+#include "ethtool_common.h"
+#include "filter.h"
+#include "nic.h"
+
+#define EFX_ETHTOOL_EEPROM_MAGIC 0xEFAB
+
+/**************************************************************************
+ *
+ * Ethtool operations
+ *
+ **************************************************************************
+ */
+
+/* Identify device by flashing LEDs */
+static int efx_ethtool_phys_id(struct net_device *net_dev,
+                              enum ethtool_phys_id_state state)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       enum efx_led_mode mode = EFX_LED_DEFAULT;
+
+       switch (state) {
+       case ETHTOOL_ID_ON:
+               mode = EFX_LED_ON;
+               break;
+       case ETHTOOL_ID_OFF:
+               mode = EFX_LED_OFF;
+               break;
+       case ETHTOOL_ID_INACTIVE:
+               mode = EFX_LED_DEFAULT;
+               break;
+       case ETHTOOL_ID_ACTIVE:
+               return 1;       /* cycle on/off once per second */
+       }
+
+       return efx_mcdi_set_id_led(efx, mode);
+}
+
+static int efx_ethtool_get_regs_len(struct net_device *net_dev)
+{
+       return efx_nic_get_regs_len(netdev_priv(net_dev));
+}
+
+static void efx_ethtool_get_regs(struct net_device *net_dev,
+                                struct ethtool_regs *regs, void *buf)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       regs->version = efx->type->revision;
+       efx_nic_get_regs(efx, buf);
+}
+
+/*
+ * Each channel has a single IRQ and moderation timer, started by any
+ * completion (or other event).  Unless the module parameter
+ * separate_tx_channels is set, IRQs and moderation are therefore
+ * shared between RX and TX completions.  In this case, when RX IRQ
+ * moderation is explicitly changed then TX IRQ moderation is
+ * automatically changed too, but otherwise we fail if the two values
+ * are requested to be different.
+ *
+ * The hardware does not support a limit on the number of completions
+ * before an IRQ, so we do not use the max_frames fields.  We should
+ * report and require that max_frames == (usecs != 0), but this would
+ * invalidate existing user documentation.
+ *
+ * The hardware does not have distinct settings for interrupt
+ * moderation while the previous IRQ is being handled, so we should
+ * not use the 'irq' fields.  However, an earlier developer
+ * misunderstood the meaning of the 'irq' fields and the driver did
+ * not support the standard fields.  To avoid invalidating existing
+ * user documentation, we report and accept changes through either the
+ * standard or 'irq' fields.  If both are changed at the same time, we
+ * prefer the standard field.
+ *
+ * We implement adaptive IRQ moderation, but use a different algorithm
+ * from that assumed in the definition of struct ethtool_coalesce.
+ * Therefore we do not use any of the adaptive moderation parameters
+ * in it.
+ */
+
+static int efx_ethtool_get_coalesce(struct net_device *net_dev,
+                                   struct ethtool_coalesce *coalesce,
+                                   struct kernel_ethtool_coalesce *kernel_coal,
+                                   struct netlink_ext_ack *extack)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       unsigned int tx_usecs, rx_usecs;
+       bool rx_adaptive;
+
+       efx_get_irq_moderation(efx, &tx_usecs, &rx_usecs, &rx_adaptive);
+
+       coalesce->tx_coalesce_usecs = tx_usecs;
+       coalesce->tx_coalesce_usecs_irq = tx_usecs;
+       coalesce->rx_coalesce_usecs = rx_usecs;
+       coalesce->rx_coalesce_usecs_irq = rx_usecs;
+       coalesce->use_adaptive_rx_coalesce = rx_adaptive;
+
+       return 0;
+}
+
+static int efx_ethtool_set_coalesce(struct net_device *net_dev,
+                                   struct ethtool_coalesce *coalesce,
+                                   struct kernel_ethtool_coalesce *kernel_coal,
+                                   struct netlink_ext_ack *extack)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       struct efx_channel *channel;
+       unsigned int tx_usecs, rx_usecs;
+       bool adaptive, rx_may_override_tx;
+       int rc;
+
+       efx_get_irq_moderation(efx, &tx_usecs, &rx_usecs, &adaptive);
+
+       if (coalesce->rx_coalesce_usecs != rx_usecs)
+               rx_usecs = coalesce->rx_coalesce_usecs;
+       else
+               rx_usecs = coalesce->rx_coalesce_usecs_irq;
+
+       adaptive = coalesce->use_adaptive_rx_coalesce;
+
+       /* If channels are shared, TX IRQ moderation can be quietly
+        * overridden unless it is changed from its old value.
+        */
+       rx_may_override_tx = (coalesce->tx_coalesce_usecs == tx_usecs &&
+                             coalesce->tx_coalesce_usecs_irq == tx_usecs);
+       if (coalesce->tx_coalesce_usecs != tx_usecs)
+               tx_usecs = coalesce->tx_coalesce_usecs;
+       else
+               tx_usecs = coalesce->tx_coalesce_usecs_irq;
+
+       rc = efx_init_irq_moderation(efx, tx_usecs, rx_usecs, adaptive,
+                                    rx_may_override_tx);
+       if (rc != 0)
+               return rc;
+
+       efx_for_each_channel(channel, efx)
+               efx->type->push_irq_moderation(channel);
+
+       return 0;
+}
+
+static void
+efx_ethtool_get_ringparam(struct net_device *net_dev,
+                         struct ethtool_ringparam *ring,
+                         struct kernel_ethtool_ringparam *kernel_ring,
+                         struct netlink_ext_ack *extack)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       ring->rx_max_pending = EFX_MAX_DMAQ_SIZE;
+       ring->tx_max_pending = EFX_TXQ_MAX_ENT(efx);
+       ring->rx_pending = efx->rxq_entries;
+       ring->tx_pending = efx->txq_entries;
+}
+
+static int
+efx_ethtool_set_ringparam(struct net_device *net_dev,
+                         struct ethtool_ringparam *ring,
+                         struct kernel_ethtool_ringparam *kernel_ring,
+                         struct netlink_ext_ack *extack)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       u32 txq_entries;
+
+       if (ring->rx_mini_pending || ring->rx_jumbo_pending ||
+           ring->rx_pending > EFX_MAX_DMAQ_SIZE ||
+           ring->tx_pending > EFX_TXQ_MAX_ENT(efx))
+               return -EINVAL;
+
+       if (ring->rx_pending < EFX_RXQ_MIN_ENT) {
+               netif_err(efx, drv, efx->net_dev,
+                         "RX queues cannot be smaller than %u\n",
+                         EFX_RXQ_MIN_ENT);
+               return -EINVAL;
+       }
+
+       txq_entries = max(ring->tx_pending, EFX_TXQ_MIN_ENT(efx));
+       if (txq_entries != ring->tx_pending)
+               netif_warn(efx, drv, efx->net_dev,
+                          "increasing TX queue size to minimum of %u\n",
+                          txq_entries);
+
+       return efx_realloc_channels(efx, ring->rx_pending, txq_entries);
+}
+
+static void efx_ethtool_get_wol(struct net_device *net_dev,
+                               struct ethtool_wolinfo *wol)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       return efx->type->get_wol(efx, wol);
+}
+
+
+static int efx_ethtool_set_wol(struct net_device *net_dev,
+                              struct ethtool_wolinfo *wol)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       return efx->type->set_wol(efx, wol->wolopts);
+}
+
+static void efx_ethtool_get_fec_stats(struct net_device *net_dev,
+                                     struct ethtool_fec_stats *fec_stats)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       if (efx->type->get_fec_stats)
+               efx->type->get_fec_stats(efx, fec_stats);
+}
+
+static int efx_ethtool_get_ts_info(struct net_device *net_dev,
+                                  struct ethtool_ts_info *ts_info)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       /* Software capabilities */
+       ts_info->so_timestamping = (SOF_TIMESTAMPING_RX_SOFTWARE |
+                                   SOF_TIMESTAMPING_SOFTWARE);
+       ts_info->phc_index = -1;
+
+       efx_ptp_get_ts_info(efx, ts_info);
+       return 0;
+}
+
+const struct ethtool_ops efx_ethtool_ops = {
+       .supported_coalesce_params = ETHTOOL_COALESCE_USECS |
+                                    ETHTOOL_COALESCE_USECS_IRQ |
+                                    ETHTOOL_COALESCE_USE_ADAPTIVE_RX,
+       .get_drvinfo            = efx_ethtool_get_drvinfo,
+       .get_regs_len           = efx_ethtool_get_regs_len,
+       .get_regs               = efx_ethtool_get_regs,
+       .get_msglevel           = efx_ethtool_get_msglevel,
+       .set_msglevel           = efx_ethtool_set_msglevel,
+       .get_link               = ethtool_op_get_link,
+       .get_coalesce           = efx_ethtool_get_coalesce,
+       .set_coalesce           = efx_ethtool_set_coalesce,
+       .get_ringparam          = efx_ethtool_get_ringparam,
+       .set_ringparam          = efx_ethtool_set_ringparam,
+       .get_pauseparam         = efx_ethtool_get_pauseparam,
+       .set_pauseparam         = efx_ethtool_set_pauseparam,
+       .get_sset_count         = efx_ethtool_get_sset_count,
+       .self_test              = efx_ethtool_self_test,
+       .get_strings            = efx_ethtool_get_strings,
+       .set_phys_id            = efx_ethtool_phys_id,
+       .get_ethtool_stats      = efx_ethtool_get_stats,
+       .get_wol                = efx_ethtool_get_wol,
+       .set_wol                = efx_ethtool_set_wol,
+       .reset                  = efx_ethtool_reset,
+       .get_rxnfc              = efx_ethtool_get_rxnfc,
+       .set_rxnfc              = efx_ethtool_set_rxnfc,
+       .get_rxfh_indir_size    = efx_ethtool_get_rxfh_indir_size,
+       .get_rxfh_key_size      = efx_ethtool_get_rxfh_key_size,
+       .get_rxfh               = efx_ethtool_get_rxfh,
+       .set_rxfh               = efx_ethtool_set_rxfh,
+       .get_rxfh_context       = efx_ethtool_get_rxfh_context,
+       .set_rxfh_context       = efx_ethtool_set_rxfh_context,
+       .get_ts_info            = efx_ethtool_get_ts_info,
+       .get_module_info        = efx_ethtool_get_module_info,
+       .get_module_eeprom      = efx_ethtool_get_module_eeprom,
+       .get_link_ksettings     = efx_ethtool_get_link_ksettings,
+       .set_link_ksettings     = efx_ethtool_set_link_ksettings,
+       .get_fec_stats          = efx_ethtool_get_fec_stats,
+       .get_fecparam           = efx_ethtool_get_fecparam,
+       .set_fecparam           = efx_ethtool_set_fecparam,
+};
diff --git a/drivers/net/ethernet/sfc/siena/ethtool_common.c b/drivers/net/ethernet/sfc/siena/ethtool_common.c
new file mode 100644 (file)
index 0000000..bd552c7
--- /dev/null
@@ -0,0 +1,1338 @@
+// SPDX-License-Identifier: GPL-2.0-only
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2019 Solarflare Communications Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify it
+ * under the terms of the GNU General Public License version 2 as published
+ * by the Free Software Foundation, incorporated herein by reference.
+ */
+#include <linux/module.h>
+#include <linux/netdevice.h>
+#include "net_driver.h"
+#include "mcdi.h"
+#include "nic.h"
+#include "selftest.h"
+#include "rx_common.h"
+#include "ethtool_common.h"
+#include "mcdi_port_common.h"
+
+struct efx_sw_stat_desc {
+       const char *name;
+       enum {
+               EFX_ETHTOOL_STAT_SOURCE_nic,
+               EFX_ETHTOOL_STAT_SOURCE_channel,
+               EFX_ETHTOOL_STAT_SOURCE_tx_queue
+       } source;
+       unsigned int offset;
+       u64 (*get_stat)(void *field); /* Reader function */
+};
+
+/* Initialiser for a struct efx_sw_stat_desc with type-checking */
+#define EFX_ETHTOOL_STAT(stat_name, source_name, field, field_type, \
+                               get_stat_function) {                    \
+       .name = #stat_name,                                             \
+       .source = EFX_ETHTOOL_STAT_SOURCE_##source_name,                \
+       .offset = ((((field_type *) 0) ==                               \
+                     &((struct efx_##source_name *)0)->field) ?        \
+                   offsetof(struct efx_##source_name, field) :         \
+                   offsetof(struct efx_##source_name, field)),         \
+       .get_stat = get_stat_function,                                  \
+}
+
+static u64 efx_get_uint_stat(void *field)
+{
+       return *(unsigned int *)field;
+}
+
+static u64 efx_get_atomic_stat(void *field)
+{
+       return atomic_read((atomic_t *) field);
+}
+
+#define EFX_ETHTOOL_ATOMIC_NIC_ERROR_STAT(field)               \
+       EFX_ETHTOOL_STAT(field, nic, field,                     \
+                        atomic_t, efx_get_atomic_stat)
+
+#define EFX_ETHTOOL_UINT_CHANNEL_STAT(field)                   \
+       EFX_ETHTOOL_STAT(field, channel, n_##field,             \
+                        unsigned int, efx_get_uint_stat)
+#define EFX_ETHTOOL_UINT_CHANNEL_STAT_NO_N(field)              \
+       EFX_ETHTOOL_STAT(field, channel, field,                 \
+                        unsigned int, efx_get_uint_stat)
+
+#define EFX_ETHTOOL_UINT_TXQ_STAT(field)                       \
+       EFX_ETHTOOL_STAT(tx_##field, tx_queue, field,           \
+                        unsigned int, efx_get_uint_stat)
+
+static const struct efx_sw_stat_desc efx_sw_stat_desc[] = {
+       EFX_ETHTOOL_UINT_TXQ_STAT(merge_events),
+       EFX_ETHTOOL_UINT_TXQ_STAT(tso_bursts),
+       EFX_ETHTOOL_UINT_TXQ_STAT(tso_long_headers),
+       EFX_ETHTOOL_UINT_TXQ_STAT(tso_packets),
+       EFX_ETHTOOL_UINT_TXQ_STAT(tso_fallbacks),
+       EFX_ETHTOOL_UINT_TXQ_STAT(pushes),
+       EFX_ETHTOOL_UINT_TXQ_STAT(pio_packets),
+       EFX_ETHTOOL_UINT_TXQ_STAT(cb_packets),
+       EFX_ETHTOOL_ATOMIC_NIC_ERROR_STAT(rx_reset),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_tobe_disc),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_ip_hdr_chksum_err),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_tcp_udp_chksum_err),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_inner_ip_hdr_chksum_err),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_inner_tcp_udp_chksum_err),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_outer_ip_hdr_chksum_err),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_outer_tcp_udp_chksum_err),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_eth_crc_err),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_mcast_mismatch),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_frm_trunc),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_merge_events),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_merge_packets),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_xdp_drops),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_xdp_bad_drops),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_xdp_tx),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rx_xdp_redirect),
+#ifdef CONFIG_RFS_ACCEL
+       EFX_ETHTOOL_UINT_CHANNEL_STAT_NO_N(rfs_filter_count),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rfs_succeeded),
+       EFX_ETHTOOL_UINT_CHANNEL_STAT(rfs_failed),
+#endif
+};
+
+#define EFX_ETHTOOL_SW_STAT_COUNT ARRAY_SIZE(efx_sw_stat_desc)
+
+void efx_ethtool_get_drvinfo(struct net_device *net_dev,
+                            struct ethtool_drvinfo *info)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       strlcpy(info->driver, KBUILD_MODNAME, sizeof(info->driver));
+       efx_mcdi_print_fwver(efx, info->fw_version,
+                            sizeof(info->fw_version));
+       strlcpy(info->bus_info, pci_name(efx->pci_dev), sizeof(info->bus_info));
+}
+
+u32 efx_ethtool_get_msglevel(struct net_device *net_dev)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       return efx->msg_enable;
+}
+
+void efx_ethtool_set_msglevel(struct net_device *net_dev, u32 msg_enable)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       efx->msg_enable = msg_enable;
+}
+
+void efx_ethtool_self_test(struct net_device *net_dev,
+                          struct ethtool_test *test, u64 *data)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       struct efx_self_tests *efx_tests;
+       bool already_up;
+       int rc = -ENOMEM;
+
+       efx_tests = kzalloc(sizeof(*efx_tests), GFP_KERNEL);
+       if (!efx_tests)
+               goto fail;
+
+       if (efx->state != STATE_READY) {
+               rc = -EBUSY;
+               goto out;
+       }
+
+       netif_info(efx, drv, efx->net_dev, "starting %sline testing\n",
+                  (test->flags & ETH_TEST_FL_OFFLINE) ? "off" : "on");
+
+       /* We need rx buffers and interrupts. */
+       already_up = (efx->net_dev->flags & IFF_UP);
+       if (!already_up) {
+               rc = dev_open(efx->net_dev, NULL);
+               if (rc) {
+                       netif_err(efx, drv, efx->net_dev,
+                                 "failed opening device.\n");
+                       goto out;
+               }
+       }
+
+       rc = efx_selftest(efx, efx_tests, test->flags);
+
+       if (!already_up)
+               dev_close(efx->net_dev);
+
+       netif_info(efx, drv, efx->net_dev, "%s %sline self-tests\n",
+                  rc == 0 ? "passed" : "failed",
+                  (test->flags & ETH_TEST_FL_OFFLINE) ? "off" : "on");
+
+out:
+       efx_ethtool_fill_self_tests(efx, efx_tests, NULL, data);
+       kfree(efx_tests);
+fail:
+       if (rc)
+               test->flags |= ETH_TEST_FL_FAILED;
+}
+
+void efx_ethtool_get_pauseparam(struct net_device *net_dev,
+                               struct ethtool_pauseparam *pause)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       pause->rx_pause = !!(efx->wanted_fc & EFX_FC_RX);
+       pause->tx_pause = !!(efx->wanted_fc & EFX_FC_TX);
+       pause->autoneg = !!(efx->wanted_fc & EFX_FC_AUTO);
+}
+
+int efx_ethtool_set_pauseparam(struct net_device *net_dev,
+                              struct ethtool_pauseparam *pause)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       u8 wanted_fc, old_fc;
+       u32 old_adv;
+       int rc = 0;
+
+       mutex_lock(&efx->mac_lock);
+
+       wanted_fc = ((pause->rx_pause ? EFX_FC_RX : 0) |
+                    (pause->tx_pause ? EFX_FC_TX : 0) |
+                    (pause->autoneg ? EFX_FC_AUTO : 0));
+
+       if ((wanted_fc & EFX_FC_TX) && !(wanted_fc & EFX_FC_RX)) {
+               netif_dbg(efx, drv, efx->net_dev,
+                         "Flow control unsupported: tx ON rx OFF\n");
+               rc = -EINVAL;
+               goto out;
+       }
+
+       if ((wanted_fc & EFX_FC_AUTO) && !efx->link_advertising[0]) {
+               netif_dbg(efx, drv, efx->net_dev,
+                         "Autonegotiation is disabled\n");
+               rc = -EINVAL;
+               goto out;
+       }
+
+       /* Hook for Falcon bug 11482 workaround */
+       if (efx->type->prepare_enable_fc_tx &&
+           (wanted_fc & EFX_FC_TX) && !(efx->wanted_fc & EFX_FC_TX))
+               efx->type->prepare_enable_fc_tx(efx);
+
+       old_adv = efx->link_advertising[0];
+       old_fc = efx->wanted_fc;
+       efx_link_set_wanted_fc(efx, wanted_fc);
+       if (efx->link_advertising[0] != old_adv ||
+           (efx->wanted_fc ^ old_fc) & EFX_FC_AUTO) {
+               rc = efx_mcdi_port_reconfigure(efx);
+               if (rc) {
+                       netif_err(efx, drv, efx->net_dev,
+                                 "Unable to advertise requested flow "
+                                 "control setting\n");
+                       goto out;
+               }
+       }
+
+       /* Reconfigure the MAC. The PHY *may* generate a link state change event
+        * if the user just changed the advertised capabilities, but there's no
+        * harm doing this twice */
+       efx_mac_reconfigure(efx, false);
+
+out:
+       mutex_unlock(&efx->mac_lock);
+
+       return rc;
+}
+
+/**
+ * efx_fill_test - fill in an individual self-test entry
+ * @test_index:                Index of the test
+ * @strings:           Ethtool strings, or %NULL
+ * @data:              Ethtool test results, or %NULL
+ * @test:              Pointer to test result (used only if data != %NULL)
+ * @unit_format:       Unit name format (e.g. "chan\%d")
+ * @unit_id:           Unit id (e.g. 0 for "chan0")
+ * @test_format:       Test name format (e.g. "loopback.\%s.tx.sent")
+ * @test_id:           Test id (e.g. "PHYXS" for "loopback.PHYXS.tx_sent")
+ *
+ * Fill in an individual self-test entry.
+ */
+static void efx_fill_test(unsigned int test_index, u8 *strings, u64 *data,
+                         int *test, const char *unit_format, int unit_id,
+                         const char *test_format, const char *test_id)
+{
+       char unit_str[ETH_GSTRING_LEN], test_str[ETH_GSTRING_LEN];
+
+       /* Fill data value, if applicable */
+       if (data)
+               data[test_index] = *test;
+
+       /* Fill string, if applicable */
+       if (strings) {
+               if (strchr(unit_format, '%'))
+                       snprintf(unit_str, sizeof(unit_str),
+                                unit_format, unit_id);
+               else
+                       strcpy(unit_str, unit_format);
+               snprintf(test_str, sizeof(test_str), test_format, test_id);
+               snprintf(strings + test_index * ETH_GSTRING_LEN,
+                        ETH_GSTRING_LEN,
+                        "%-6s %-24s", unit_str, test_str);
+       }
+}
+
+#define EFX_CHANNEL_NAME(_channel) "chan%d", _channel->channel
+#define EFX_TX_QUEUE_NAME(_tx_queue) "txq%d", _tx_queue->label
+#define EFX_LOOPBACK_NAME(_mode, _counter)                     \
+       "loopback.%s." _counter, STRING_TABLE_LOOKUP(_mode, efx_loopback_mode)
+
+/**
+ * efx_fill_loopback_test - fill in a block of loopback self-test entries
+ * @efx:               Efx NIC
+ * @lb_tests:          Efx loopback self-test results structure
+ * @mode:              Loopback test mode
+ * @test_index:                Starting index of the test
+ * @strings:           Ethtool strings, or %NULL
+ * @data:              Ethtool test results, or %NULL
+ *
+ * Fill in a block of loopback self-test entries.  Return new test
+ * index.
+ */
+static int efx_fill_loopback_test(struct efx_nic *efx,
+                                 struct efx_loopback_self_tests *lb_tests,
+                                 enum efx_loopback_mode mode,
+                                 unsigned int test_index,
+                                 u8 *strings, u64 *data)
+{
+       struct efx_channel *channel =
+               efx_get_channel(efx, efx->tx_channel_offset);
+       struct efx_tx_queue *tx_queue;
+
+       efx_for_each_channel_tx_queue(tx_queue, channel) {
+               efx_fill_test(test_index++, strings, data,
+                             &lb_tests->tx_sent[tx_queue->label],
+                             EFX_TX_QUEUE_NAME(tx_queue),
+                             EFX_LOOPBACK_NAME(mode, "tx_sent"));
+               efx_fill_test(test_index++, strings, data,
+                             &lb_tests->tx_done[tx_queue->label],
+                             EFX_TX_QUEUE_NAME(tx_queue),
+                             EFX_LOOPBACK_NAME(mode, "tx_done"));
+       }
+       efx_fill_test(test_index++, strings, data,
+                     &lb_tests->rx_good,
+                     "rx", 0,
+                     EFX_LOOPBACK_NAME(mode, "rx_good"));
+       efx_fill_test(test_index++, strings, data,
+                     &lb_tests->rx_bad,
+                     "rx", 0,
+                     EFX_LOOPBACK_NAME(mode, "rx_bad"));
+
+       return test_index;
+}
+
+/**
+ * efx_ethtool_fill_self_tests - get self-test details
+ * @efx:               Efx NIC
+ * @tests:             Efx self-test results structure, or %NULL
+ * @strings:           Ethtool strings, or %NULL
+ * @data:              Ethtool test results, or %NULL
+ *
+ * Get self-test number of strings, strings, and/or test results.
+ * Return number of strings (== number of test results).
+ *
+ * The reason for merging these three functions is to make sure that
+ * they can never be inconsistent.
+ */
+int efx_ethtool_fill_self_tests(struct efx_nic *efx,
+                               struct efx_self_tests *tests,
+                               u8 *strings, u64 *data)
+{
+       struct efx_channel *channel;
+       unsigned int n = 0, i;
+       enum efx_loopback_mode mode;
+
+       efx_fill_test(n++, strings, data, &tests->phy_alive,
+                     "phy", 0, "alive", NULL);
+       efx_fill_test(n++, strings, data, &tests->nvram,
+                     "core", 0, "nvram", NULL);
+       efx_fill_test(n++, strings, data, &tests->interrupt,
+                     "core", 0, "interrupt", NULL);
+
+       /* Event queues */
+       efx_for_each_channel(channel, efx) {
+               efx_fill_test(n++, strings, data,
+                             &tests->eventq_dma[channel->channel],
+                             EFX_CHANNEL_NAME(channel),
+                             "eventq.dma", NULL);
+               efx_fill_test(n++, strings, data,
+                             &tests->eventq_int[channel->channel],
+                             EFX_CHANNEL_NAME(channel),
+                             "eventq.int", NULL);
+       }
+
+       efx_fill_test(n++, strings, data, &tests->memory,
+                     "core", 0, "memory", NULL);
+       efx_fill_test(n++, strings, data, &tests->registers,
+                     "core", 0, "registers", NULL);
+
+       for (i = 0; true; ++i) {
+               const char *name;
+
+               EFX_WARN_ON_PARANOID(i >= EFX_MAX_PHY_TESTS);
+               name = efx_mcdi_phy_test_name(efx, i);
+               if (name == NULL)
+                       break;
+
+               efx_fill_test(n++, strings, data, &tests->phy_ext[i], "phy", 0, name, NULL);
+       }
+
+       /* Loopback tests */
+       for (mode = LOOPBACK_NONE; mode <= LOOPBACK_TEST_MAX; mode++) {
+               if (!(efx->loopback_modes & (1 << mode)))
+                       continue;
+               n = efx_fill_loopback_test(efx,
+                                          &tests->loopback[mode], mode, n,
+                                          strings, data);
+       }
+
+       return n;
+}
+
+static size_t efx_describe_per_queue_stats(struct efx_nic *efx, u8 *strings)
+{
+       size_t n_stats = 0;
+       struct efx_channel *channel;
+
+       efx_for_each_channel(channel, efx) {
+               if (efx_channel_has_tx_queues(channel)) {
+                       n_stats++;
+                       if (strings != NULL) {
+                               snprintf(strings, ETH_GSTRING_LEN,
+                                        "tx-%u.tx_packets",
+                                        channel->tx_queue[0].queue /
+                                        EFX_MAX_TXQ_PER_CHANNEL);
+
+                               strings += ETH_GSTRING_LEN;
+                       }
+               }
+       }
+       efx_for_each_channel(channel, efx) {
+               if (efx_channel_has_rx_queue(channel)) {
+                       n_stats++;
+                       if (strings != NULL) {
+                               snprintf(strings, ETH_GSTRING_LEN,
+                                        "rx-%d.rx_packets", channel->channel);
+                               strings += ETH_GSTRING_LEN;
+                       }
+               }
+       }
+       if (efx->xdp_tx_queue_count && efx->xdp_tx_queues) {
+               unsigned short xdp;
+
+               for (xdp = 0; xdp < efx->xdp_tx_queue_count; xdp++) {
+                       n_stats++;
+                       if (strings) {
+                               snprintf(strings, ETH_GSTRING_LEN,
+                                        "tx-xdp-cpu-%hu.tx_packets", xdp);
+                               strings += ETH_GSTRING_LEN;
+                       }
+               }
+       }
+
+       return n_stats;
+}
+
+int efx_ethtool_get_sset_count(struct net_device *net_dev, int string_set)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       switch (string_set) {
+       case ETH_SS_STATS:
+               return efx->type->describe_stats(efx, NULL) +
+                      EFX_ETHTOOL_SW_STAT_COUNT +
+                      efx_describe_per_queue_stats(efx, NULL) +
+                      efx_ptp_describe_stats(efx, NULL);
+       case ETH_SS_TEST:
+               return efx_ethtool_fill_self_tests(efx, NULL, NULL, NULL);
+       default:
+               return -EINVAL;
+       }
+}
+
+void efx_ethtool_get_strings(struct net_device *net_dev,
+                            u32 string_set, u8 *strings)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int i;
+
+       switch (string_set) {
+       case ETH_SS_STATS:
+               strings += (efx->type->describe_stats(efx, strings) *
+                           ETH_GSTRING_LEN);
+               for (i = 0; i < EFX_ETHTOOL_SW_STAT_COUNT; i++)
+                       strlcpy(strings + i * ETH_GSTRING_LEN,
+                               efx_sw_stat_desc[i].name, ETH_GSTRING_LEN);
+               strings += EFX_ETHTOOL_SW_STAT_COUNT * ETH_GSTRING_LEN;
+               strings += (efx_describe_per_queue_stats(efx, strings) *
+                           ETH_GSTRING_LEN);
+               efx_ptp_describe_stats(efx, strings);
+               break;
+       case ETH_SS_TEST:
+               efx_ethtool_fill_self_tests(efx, NULL, strings, NULL);
+               break;
+       default:
+               /* No other string sets */
+               break;
+       }
+}
+
+void efx_ethtool_get_stats(struct net_device *net_dev,
+                          struct ethtool_stats *stats,
+                          u64 *data)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       const struct efx_sw_stat_desc *stat;
+       struct efx_channel *channel;
+       struct efx_tx_queue *tx_queue;
+       struct efx_rx_queue *rx_queue;
+       int i;
+
+       spin_lock_bh(&efx->stats_lock);
+
+       /* Get NIC statistics */
+       data += efx->type->update_stats(efx, data, NULL);
+
+       /* Get software statistics */
+       for (i = 0; i < EFX_ETHTOOL_SW_STAT_COUNT; i++) {
+               stat = &efx_sw_stat_desc[i];
+               switch (stat->source) {
+               case EFX_ETHTOOL_STAT_SOURCE_nic:
+                       data[i] = stat->get_stat((void *)efx + stat->offset);
+                       break;
+               case EFX_ETHTOOL_STAT_SOURCE_channel:
+                       data[i] = 0;
+                       efx_for_each_channel(channel, efx)
+                               data[i] += stat->get_stat((void *)channel +
+                                                         stat->offset);
+                       break;
+               case EFX_ETHTOOL_STAT_SOURCE_tx_queue:
+                       data[i] = 0;
+                       efx_for_each_channel(channel, efx) {
+                               efx_for_each_channel_tx_queue(tx_queue, channel)
+                                       data[i] +=
+                                               stat->get_stat((void *)tx_queue
+                                                              + stat->offset);
+                       }
+                       break;
+               }
+       }
+       data += EFX_ETHTOOL_SW_STAT_COUNT;
+
+       spin_unlock_bh(&efx->stats_lock);
+
+       efx_for_each_channel(channel, efx) {
+               if (efx_channel_has_tx_queues(channel)) {
+                       *data = 0;
+                       efx_for_each_channel_tx_queue(tx_queue, channel) {
+                               *data += tx_queue->tx_packets;
+                       }
+                       data++;
+               }
+       }
+       efx_for_each_channel(channel, efx) {
+               if (efx_channel_has_rx_queue(channel)) {
+                       *data = 0;
+                       efx_for_each_channel_rx_queue(rx_queue, channel) {
+                               *data += rx_queue->rx_packets;
+                       }
+                       data++;
+               }
+       }
+       if (efx->xdp_tx_queue_count && efx->xdp_tx_queues) {
+               int xdp;
+
+               for (xdp = 0; xdp < efx->xdp_tx_queue_count; xdp++) {
+                       data[0] = efx->xdp_tx_queues[xdp]->tx_packets;
+                       data++;
+               }
+       }
+
+       efx_ptp_update_stats(efx, data);
+}
+
+/* This must be called with rtnl_lock held. */
+int efx_ethtool_get_link_ksettings(struct net_device *net_dev,
+                                  struct ethtool_link_ksettings *cmd)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       struct efx_link_state *link_state = &efx->link_state;
+
+       mutex_lock(&efx->mac_lock);
+       efx_mcdi_phy_get_link_ksettings(efx, cmd);
+       mutex_unlock(&efx->mac_lock);
+
+       /* Both MACs support pause frames (bidirectional and respond-only) */
+       ethtool_link_ksettings_add_link_mode(cmd, supported, Pause);
+       ethtool_link_ksettings_add_link_mode(cmd, supported, Asym_Pause);
+
+       if (LOOPBACK_INTERNAL(efx)) {
+               cmd->base.speed = link_state->speed;
+               cmd->base.duplex = link_state->fd ? DUPLEX_FULL : DUPLEX_HALF;
+       }
+
+       return 0;
+}
+
+/* This must be called with rtnl_lock held. */
+int efx_ethtool_set_link_ksettings(struct net_device *net_dev,
+                                  const struct ethtool_link_ksettings *cmd)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int rc;
+
+       /* GMAC does not support 1000Mbps HD */
+       if ((cmd->base.speed == SPEED_1000) &&
+           (cmd->base.duplex != DUPLEX_FULL)) {
+               netif_dbg(efx, drv, efx->net_dev,
+                         "rejecting unsupported 1000Mbps HD setting\n");
+               return -EINVAL;
+       }
+
+       mutex_lock(&efx->mac_lock);
+       rc = efx_mcdi_phy_set_link_ksettings(efx, cmd);
+       mutex_unlock(&efx->mac_lock);
+       return rc;
+}
+
+int efx_ethtool_get_fecparam(struct net_device *net_dev,
+                            struct ethtool_fecparam *fecparam)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int rc;
+
+       mutex_lock(&efx->mac_lock);
+       rc = efx_mcdi_phy_get_fecparam(efx, fecparam);
+       mutex_unlock(&efx->mac_lock);
+
+       return rc;
+}
+
+int efx_ethtool_set_fecparam(struct net_device *net_dev,
+                            struct ethtool_fecparam *fecparam)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int rc;
+
+       mutex_lock(&efx->mac_lock);
+       rc = efx_mcdi_phy_set_fecparam(efx, fecparam);
+       mutex_unlock(&efx->mac_lock);
+
+       return rc;
+}
+
+/* MAC address mask including only I/G bit */
+static const u8 mac_addr_ig_mask[ETH_ALEN] __aligned(2) = {0x01, 0, 0, 0, 0, 0};
+
+#define IP4_ADDR_FULL_MASK     ((__force __be32)~0)
+#define IP_PROTO_FULL_MASK     0xFF
+#define PORT_FULL_MASK         ((__force __be16)~0)
+#define ETHER_TYPE_FULL_MASK   ((__force __be16)~0)
+
+static inline void ip6_fill_mask(__be32 *mask)
+{
+       mask[0] = mask[1] = mask[2] = mask[3] = ~(__be32)0;
+}
+
+static int efx_ethtool_get_class_rule(struct efx_nic *efx,
+                                     struct ethtool_rx_flow_spec *rule,
+                                     u32 *rss_context)
+{
+       struct ethtool_tcpip4_spec *ip_entry = &rule->h_u.tcp_ip4_spec;
+       struct ethtool_tcpip4_spec *ip_mask = &rule->m_u.tcp_ip4_spec;
+       struct ethtool_usrip4_spec *uip_entry = &rule->h_u.usr_ip4_spec;
+       struct ethtool_usrip4_spec *uip_mask = &rule->m_u.usr_ip4_spec;
+       struct ethtool_tcpip6_spec *ip6_entry = &rule->h_u.tcp_ip6_spec;
+       struct ethtool_tcpip6_spec *ip6_mask = &rule->m_u.tcp_ip6_spec;
+       struct ethtool_usrip6_spec *uip6_entry = &rule->h_u.usr_ip6_spec;
+       struct ethtool_usrip6_spec *uip6_mask = &rule->m_u.usr_ip6_spec;
+       struct ethhdr *mac_entry = &rule->h_u.ether_spec;
+       struct ethhdr *mac_mask = &rule->m_u.ether_spec;
+       struct efx_filter_spec spec;
+       int rc;
+
+       rc = efx_filter_get_filter_safe(efx, EFX_FILTER_PRI_MANUAL,
+                                       rule->location, &spec);
+       if (rc)
+               return rc;
+
+       if (spec.dmaq_id == EFX_FILTER_RX_DMAQ_ID_DROP)
+               rule->ring_cookie = RX_CLS_FLOW_DISC;
+       else
+               rule->ring_cookie = spec.dmaq_id;
+
+       if ((spec.match_flags & EFX_FILTER_MATCH_ETHER_TYPE) &&
+           spec.ether_type == htons(ETH_P_IP) &&
+           (spec.match_flags & EFX_FILTER_MATCH_IP_PROTO) &&
+           (spec.ip_proto == IPPROTO_TCP || spec.ip_proto == IPPROTO_UDP) &&
+           !(spec.match_flags &
+             ~(EFX_FILTER_MATCH_ETHER_TYPE | EFX_FILTER_MATCH_OUTER_VID |
+               EFX_FILTER_MATCH_LOC_HOST | EFX_FILTER_MATCH_REM_HOST |
+               EFX_FILTER_MATCH_IP_PROTO |
+               EFX_FILTER_MATCH_LOC_PORT | EFX_FILTER_MATCH_REM_PORT))) {
+               rule->flow_type = ((spec.ip_proto == IPPROTO_TCP) ?
+                                  TCP_V4_FLOW : UDP_V4_FLOW);
+               if (spec.match_flags & EFX_FILTER_MATCH_LOC_HOST) {
+                       ip_entry->ip4dst = spec.loc_host[0];
+                       ip_mask->ip4dst = IP4_ADDR_FULL_MASK;
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_REM_HOST) {
+                       ip_entry->ip4src = spec.rem_host[0];
+                       ip_mask->ip4src = IP4_ADDR_FULL_MASK;
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_LOC_PORT) {
+                       ip_entry->pdst = spec.loc_port;
+                       ip_mask->pdst = PORT_FULL_MASK;
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_REM_PORT) {
+                       ip_entry->psrc = spec.rem_port;
+                       ip_mask->psrc = PORT_FULL_MASK;
+               }
+       } else if ((spec.match_flags & EFX_FILTER_MATCH_ETHER_TYPE) &&
+           spec.ether_type == htons(ETH_P_IPV6) &&
+           (spec.match_flags & EFX_FILTER_MATCH_IP_PROTO) &&
+           (spec.ip_proto == IPPROTO_TCP || spec.ip_proto == IPPROTO_UDP) &&
+           !(spec.match_flags &
+             ~(EFX_FILTER_MATCH_ETHER_TYPE | EFX_FILTER_MATCH_OUTER_VID |
+               EFX_FILTER_MATCH_LOC_HOST | EFX_FILTER_MATCH_REM_HOST |
+               EFX_FILTER_MATCH_IP_PROTO |
+               EFX_FILTER_MATCH_LOC_PORT | EFX_FILTER_MATCH_REM_PORT))) {
+               rule->flow_type = ((spec.ip_proto == IPPROTO_TCP) ?
+                                  TCP_V6_FLOW : UDP_V6_FLOW);
+               if (spec.match_flags & EFX_FILTER_MATCH_LOC_HOST) {
+                       memcpy(ip6_entry->ip6dst, spec.loc_host,
+                              sizeof(ip6_entry->ip6dst));
+                       ip6_fill_mask(ip6_mask->ip6dst);
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_REM_HOST) {
+                       memcpy(ip6_entry->ip6src, spec.rem_host,
+                              sizeof(ip6_entry->ip6src));
+                       ip6_fill_mask(ip6_mask->ip6src);
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_LOC_PORT) {
+                       ip6_entry->pdst = spec.loc_port;
+                       ip6_mask->pdst = PORT_FULL_MASK;
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_REM_PORT) {
+                       ip6_entry->psrc = spec.rem_port;
+                       ip6_mask->psrc = PORT_FULL_MASK;
+               }
+       } else if (!(spec.match_flags &
+                    ~(EFX_FILTER_MATCH_LOC_MAC | EFX_FILTER_MATCH_LOC_MAC_IG |
+                      EFX_FILTER_MATCH_REM_MAC | EFX_FILTER_MATCH_ETHER_TYPE |
+                      EFX_FILTER_MATCH_OUTER_VID))) {
+               rule->flow_type = ETHER_FLOW;
+               if (spec.match_flags &
+                   (EFX_FILTER_MATCH_LOC_MAC | EFX_FILTER_MATCH_LOC_MAC_IG)) {
+                       ether_addr_copy(mac_entry->h_dest, spec.loc_mac);
+                       if (spec.match_flags & EFX_FILTER_MATCH_LOC_MAC)
+                               eth_broadcast_addr(mac_mask->h_dest);
+                       else
+                               ether_addr_copy(mac_mask->h_dest,
+                                               mac_addr_ig_mask);
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_REM_MAC) {
+                       ether_addr_copy(mac_entry->h_source, spec.rem_mac);
+                       eth_broadcast_addr(mac_mask->h_source);
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_ETHER_TYPE) {
+                       mac_entry->h_proto = spec.ether_type;
+                       mac_mask->h_proto = ETHER_TYPE_FULL_MASK;
+               }
+       } else if (spec.match_flags & EFX_FILTER_MATCH_ETHER_TYPE &&
+                  spec.ether_type == htons(ETH_P_IP) &&
+                  !(spec.match_flags &
+                    ~(EFX_FILTER_MATCH_ETHER_TYPE | EFX_FILTER_MATCH_OUTER_VID |
+                      EFX_FILTER_MATCH_LOC_HOST | EFX_FILTER_MATCH_REM_HOST |
+                      EFX_FILTER_MATCH_IP_PROTO))) {
+               rule->flow_type = IPV4_USER_FLOW;
+               uip_entry->ip_ver = ETH_RX_NFC_IP4;
+               if (spec.match_flags & EFX_FILTER_MATCH_IP_PROTO) {
+                       uip_mask->proto = IP_PROTO_FULL_MASK;
+                       uip_entry->proto = spec.ip_proto;
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_LOC_HOST) {
+                       uip_entry->ip4dst = spec.loc_host[0];
+                       uip_mask->ip4dst = IP4_ADDR_FULL_MASK;
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_REM_HOST) {
+                       uip_entry->ip4src = spec.rem_host[0];
+                       uip_mask->ip4src = IP4_ADDR_FULL_MASK;
+               }
+       } else if (spec.match_flags & EFX_FILTER_MATCH_ETHER_TYPE &&
+                  spec.ether_type == htons(ETH_P_IPV6) &&
+                  !(spec.match_flags &
+                    ~(EFX_FILTER_MATCH_ETHER_TYPE | EFX_FILTER_MATCH_OUTER_VID |
+                      EFX_FILTER_MATCH_LOC_HOST | EFX_FILTER_MATCH_REM_HOST |
+                      EFX_FILTER_MATCH_IP_PROTO))) {
+               rule->flow_type = IPV6_USER_FLOW;
+               if (spec.match_flags & EFX_FILTER_MATCH_IP_PROTO) {
+                       uip6_mask->l4_proto = IP_PROTO_FULL_MASK;
+                       uip6_entry->l4_proto = spec.ip_proto;
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_LOC_HOST) {
+                       memcpy(uip6_entry->ip6dst, spec.loc_host,
+                              sizeof(uip6_entry->ip6dst));
+                       ip6_fill_mask(uip6_mask->ip6dst);
+               }
+               if (spec.match_flags & EFX_FILTER_MATCH_REM_HOST) {
+                       memcpy(uip6_entry->ip6src, spec.rem_host,
+                              sizeof(uip6_entry->ip6src));
+                       ip6_fill_mask(uip6_mask->ip6src);
+               }
+       } else {
+               /* The above should handle all filters that we insert */
+               WARN_ON(1);
+               return -EINVAL;
+       }
+
+       if (spec.match_flags & EFX_FILTER_MATCH_OUTER_VID) {
+               rule->flow_type |= FLOW_EXT;
+               rule->h_ext.vlan_tci = spec.outer_vid;
+               rule->m_ext.vlan_tci = htons(0xfff);
+       }
+
+       if (spec.flags & EFX_FILTER_FLAG_RX_RSS) {
+               rule->flow_type |= FLOW_RSS;
+               *rss_context = spec.rss_context;
+       }
+
+       return rc;
+}
+
+int efx_ethtool_get_rxnfc(struct net_device *net_dev,
+                         struct ethtool_rxnfc *info, u32 *rule_locs)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       u32 rss_context = 0;
+       s32 rc = 0;
+
+       switch (info->cmd) {
+       case ETHTOOL_GRXRINGS:
+               info->data = efx->n_rx_channels;
+               return 0;
+
+       case ETHTOOL_GRXFH: {
+               struct efx_rss_context *ctx = &efx->rss_context;
+               __u64 data;
+
+               mutex_lock(&efx->rss_lock);
+               if (info->flow_type & FLOW_RSS && info->rss_context) {
+                       ctx = efx_find_rss_context_entry(efx, info->rss_context);
+                       if (!ctx) {
+                               rc = -ENOENT;
+                               goto out_unlock;
+                       }
+               }
+
+               data = 0;
+               if (!efx_rss_active(ctx)) /* No RSS */
+                       goto out_setdata_unlock;
+
+               switch (info->flow_type & ~FLOW_RSS) {
+               case UDP_V4_FLOW:
+               case UDP_V6_FLOW:
+                       if (ctx->rx_hash_udp_4tuple)
+                               data = (RXH_L4_B_0_1 | RXH_L4_B_2_3 |
+                                       RXH_IP_SRC | RXH_IP_DST);
+                       else
+                               data = RXH_IP_SRC | RXH_IP_DST;
+                       break;
+               case TCP_V4_FLOW:
+               case TCP_V6_FLOW:
+                       data = (RXH_L4_B_0_1 | RXH_L4_B_2_3 |
+                               RXH_IP_SRC | RXH_IP_DST);
+                       break;
+               case SCTP_V4_FLOW:
+               case SCTP_V6_FLOW:
+               case AH_ESP_V4_FLOW:
+               case AH_ESP_V6_FLOW:
+               case IPV4_FLOW:
+               case IPV6_FLOW:
+                       data = RXH_IP_SRC | RXH_IP_DST;
+                       break;
+               default:
+                       break;
+               }
+out_setdata_unlock:
+               info->data = data;
+out_unlock:
+               mutex_unlock(&efx->rss_lock);
+               return rc;
+       }
+
+       case ETHTOOL_GRXCLSRLCNT:
+               info->data = efx_filter_get_rx_id_limit(efx);
+               if (info->data == 0)
+                       return -EOPNOTSUPP;
+               info->data |= RX_CLS_LOC_SPECIAL;
+               info->rule_cnt =
+                       efx_filter_count_rx_used(efx, EFX_FILTER_PRI_MANUAL);
+               return 0;
+
+       case ETHTOOL_GRXCLSRULE:
+               if (efx_filter_get_rx_id_limit(efx) == 0)
+                       return -EOPNOTSUPP;
+               rc = efx_ethtool_get_class_rule(efx, &info->fs, &rss_context);
+               if (rc < 0)
+                       return rc;
+               if (info->fs.flow_type & FLOW_RSS)
+                       info->rss_context = rss_context;
+               return 0;
+
+       case ETHTOOL_GRXCLSRLALL:
+               info->data = efx_filter_get_rx_id_limit(efx);
+               if (info->data == 0)
+                       return -EOPNOTSUPP;
+               rc = efx_filter_get_rx_ids(efx, EFX_FILTER_PRI_MANUAL,
+                                          rule_locs, info->rule_cnt);
+               if (rc < 0)
+                       return rc;
+               info->rule_cnt = rc;
+               return 0;
+
+       default:
+               return -EOPNOTSUPP;
+       }
+}
+
+static inline bool ip6_mask_is_full(__be32 mask[4])
+{
+       return !~(mask[0] & mask[1] & mask[2] & mask[3]);
+}
+
+static inline bool ip6_mask_is_empty(__be32 mask[4])
+{
+       return !(mask[0] | mask[1] | mask[2] | mask[3]);
+}
+
+static int efx_ethtool_set_class_rule(struct efx_nic *efx,
+                                     struct ethtool_rx_flow_spec *rule,
+                                     u32 rss_context)
+{
+       struct ethtool_tcpip4_spec *ip_entry = &rule->h_u.tcp_ip4_spec;
+       struct ethtool_tcpip4_spec *ip_mask = &rule->m_u.tcp_ip4_spec;
+       struct ethtool_usrip4_spec *uip_entry = &rule->h_u.usr_ip4_spec;
+       struct ethtool_usrip4_spec *uip_mask = &rule->m_u.usr_ip4_spec;
+       struct ethtool_tcpip6_spec *ip6_entry = &rule->h_u.tcp_ip6_spec;
+       struct ethtool_tcpip6_spec *ip6_mask = &rule->m_u.tcp_ip6_spec;
+       struct ethtool_usrip6_spec *uip6_entry = &rule->h_u.usr_ip6_spec;
+       struct ethtool_usrip6_spec *uip6_mask = &rule->m_u.usr_ip6_spec;
+       u32 flow_type = rule->flow_type & ~(FLOW_EXT | FLOW_RSS);
+       struct ethhdr *mac_entry = &rule->h_u.ether_spec;
+       struct ethhdr *mac_mask = &rule->m_u.ether_spec;
+       enum efx_filter_flags flags = 0;
+       struct efx_filter_spec spec;
+       int rc;
+
+       /* Check that user wants us to choose the location */
+       if (rule->location != RX_CLS_LOC_ANY)
+               return -EINVAL;
+
+       /* Range-check ring_cookie */
+       if (rule->ring_cookie >= efx->n_rx_channels &&
+           rule->ring_cookie != RX_CLS_FLOW_DISC)
+               return -EINVAL;
+
+       /* Check for unsupported extensions */
+       if ((rule->flow_type & FLOW_EXT) &&
+           (rule->m_ext.vlan_etype || rule->m_ext.data[0] ||
+            rule->m_ext.data[1]))
+               return -EINVAL;
+
+       if (efx->rx_scatter)
+               flags |= EFX_FILTER_FLAG_RX_SCATTER;
+       if (rule->flow_type & FLOW_RSS)
+               flags |= EFX_FILTER_FLAG_RX_RSS;
+
+       efx_filter_init_rx(&spec, EFX_FILTER_PRI_MANUAL, flags,
+                          (rule->ring_cookie == RX_CLS_FLOW_DISC) ?
+                          EFX_FILTER_RX_DMAQ_ID_DROP : rule->ring_cookie);
+
+       if (rule->flow_type & FLOW_RSS)
+               spec.rss_context = rss_context;
+
+       switch (flow_type) {
+       case TCP_V4_FLOW:
+       case UDP_V4_FLOW:
+               spec.match_flags = (EFX_FILTER_MATCH_ETHER_TYPE |
+                                   EFX_FILTER_MATCH_IP_PROTO);
+               spec.ether_type = htons(ETH_P_IP);
+               spec.ip_proto = flow_type == TCP_V4_FLOW ? IPPROTO_TCP
+                                                        : IPPROTO_UDP;
+               if (ip_mask->ip4dst) {
+                       if (ip_mask->ip4dst != IP4_ADDR_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_LOC_HOST;
+                       spec.loc_host[0] = ip_entry->ip4dst;
+               }
+               if (ip_mask->ip4src) {
+                       if (ip_mask->ip4src != IP4_ADDR_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_REM_HOST;
+                       spec.rem_host[0] = ip_entry->ip4src;
+               }
+               if (ip_mask->pdst) {
+                       if (ip_mask->pdst != PORT_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_LOC_PORT;
+                       spec.loc_port = ip_entry->pdst;
+               }
+               if (ip_mask->psrc) {
+                       if (ip_mask->psrc != PORT_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_REM_PORT;
+                       spec.rem_port = ip_entry->psrc;
+               }
+               if (ip_mask->tos)
+                       return -EINVAL;
+               break;
+
+       case TCP_V6_FLOW:
+       case UDP_V6_FLOW:
+               spec.match_flags = (EFX_FILTER_MATCH_ETHER_TYPE |
+                                   EFX_FILTER_MATCH_IP_PROTO);
+               spec.ether_type = htons(ETH_P_IPV6);
+               spec.ip_proto = flow_type == TCP_V6_FLOW ? IPPROTO_TCP
+                                                        : IPPROTO_UDP;
+               if (!ip6_mask_is_empty(ip6_mask->ip6dst)) {
+                       if (!ip6_mask_is_full(ip6_mask->ip6dst))
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_LOC_HOST;
+                       memcpy(spec.loc_host, ip6_entry->ip6dst, sizeof(spec.loc_host));
+               }
+               if (!ip6_mask_is_empty(ip6_mask->ip6src)) {
+                       if (!ip6_mask_is_full(ip6_mask->ip6src))
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_REM_HOST;
+                       memcpy(spec.rem_host, ip6_entry->ip6src, sizeof(spec.rem_host));
+               }
+               if (ip6_mask->pdst) {
+                       if (ip6_mask->pdst != PORT_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_LOC_PORT;
+                       spec.loc_port = ip6_entry->pdst;
+               }
+               if (ip6_mask->psrc) {
+                       if (ip6_mask->psrc != PORT_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_REM_PORT;
+                       spec.rem_port = ip6_entry->psrc;
+               }
+               if (ip6_mask->tclass)
+                       return -EINVAL;
+               break;
+
+       case IPV4_USER_FLOW:
+               if (uip_mask->l4_4_bytes || uip_mask->tos || uip_mask->ip_ver ||
+                   uip_entry->ip_ver != ETH_RX_NFC_IP4)
+                       return -EINVAL;
+               spec.match_flags = EFX_FILTER_MATCH_ETHER_TYPE;
+               spec.ether_type = htons(ETH_P_IP);
+               if (uip_mask->ip4dst) {
+                       if (uip_mask->ip4dst != IP4_ADDR_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_LOC_HOST;
+                       spec.loc_host[0] = uip_entry->ip4dst;
+               }
+               if (uip_mask->ip4src) {
+                       if (uip_mask->ip4src != IP4_ADDR_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_REM_HOST;
+                       spec.rem_host[0] = uip_entry->ip4src;
+               }
+               if (uip_mask->proto) {
+                       if (uip_mask->proto != IP_PROTO_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_IP_PROTO;
+                       spec.ip_proto = uip_entry->proto;
+               }
+               break;
+
+       case IPV6_USER_FLOW:
+               if (uip6_mask->l4_4_bytes || uip6_mask->tclass)
+                       return -EINVAL;
+               spec.match_flags = EFX_FILTER_MATCH_ETHER_TYPE;
+               spec.ether_type = htons(ETH_P_IPV6);
+               if (!ip6_mask_is_empty(uip6_mask->ip6dst)) {
+                       if (!ip6_mask_is_full(uip6_mask->ip6dst))
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_LOC_HOST;
+                       memcpy(spec.loc_host, uip6_entry->ip6dst, sizeof(spec.loc_host));
+               }
+               if (!ip6_mask_is_empty(uip6_mask->ip6src)) {
+                       if (!ip6_mask_is_full(uip6_mask->ip6src))
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_REM_HOST;
+                       memcpy(spec.rem_host, uip6_entry->ip6src, sizeof(spec.rem_host));
+               }
+               if (uip6_mask->l4_proto) {
+                       if (uip6_mask->l4_proto != IP_PROTO_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_IP_PROTO;
+                       spec.ip_proto = uip6_entry->l4_proto;
+               }
+               break;
+
+       case ETHER_FLOW:
+               if (!is_zero_ether_addr(mac_mask->h_dest)) {
+                       if (ether_addr_equal(mac_mask->h_dest,
+                                            mac_addr_ig_mask))
+                               spec.match_flags |= EFX_FILTER_MATCH_LOC_MAC_IG;
+                       else if (is_broadcast_ether_addr(mac_mask->h_dest))
+                               spec.match_flags |= EFX_FILTER_MATCH_LOC_MAC;
+                       else
+                               return -EINVAL;
+                       ether_addr_copy(spec.loc_mac, mac_entry->h_dest);
+               }
+               if (!is_zero_ether_addr(mac_mask->h_source)) {
+                       if (!is_broadcast_ether_addr(mac_mask->h_source))
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_REM_MAC;
+                       ether_addr_copy(spec.rem_mac, mac_entry->h_source);
+               }
+               if (mac_mask->h_proto) {
+                       if (mac_mask->h_proto != ETHER_TYPE_FULL_MASK)
+                               return -EINVAL;
+                       spec.match_flags |= EFX_FILTER_MATCH_ETHER_TYPE;
+                       spec.ether_type = mac_entry->h_proto;
+               }
+               break;
+
+       default:
+               return -EINVAL;
+       }
+
+       if ((rule->flow_type & FLOW_EXT) && rule->m_ext.vlan_tci) {
+               if (rule->m_ext.vlan_tci != htons(0xfff))
+                       return -EINVAL;
+               spec.match_flags |= EFX_FILTER_MATCH_OUTER_VID;
+               spec.outer_vid = rule->h_ext.vlan_tci;
+       }
+
+       rc = efx_filter_insert_filter(efx, &spec, true);
+       if (rc < 0)
+               return rc;
+
+       rule->location = rc;
+       return 0;
+}
+
+int efx_ethtool_set_rxnfc(struct net_device *net_dev,
+                         struct ethtool_rxnfc *info)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       if (efx_filter_get_rx_id_limit(efx) == 0)
+               return -EOPNOTSUPP;
+
+       switch (info->cmd) {
+       case ETHTOOL_SRXCLSRLINS:
+               return efx_ethtool_set_class_rule(efx, &info->fs,
+                                                 info->rss_context);
+
+       case ETHTOOL_SRXCLSRLDEL:
+               return efx_filter_remove_id_safe(efx, EFX_FILTER_PRI_MANUAL,
+                                                info->fs.location);
+
+       default:
+               return -EOPNOTSUPP;
+       }
+}
+
+u32 efx_ethtool_get_rxfh_indir_size(struct net_device *net_dev)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       if (efx->n_rx_channels == 1)
+               return 0;
+       return ARRAY_SIZE(efx->rss_context.rx_indir_table);
+}
+
+u32 efx_ethtool_get_rxfh_key_size(struct net_device *net_dev)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       return efx->type->rx_hash_key_size;
+}
+
+int efx_ethtool_get_rxfh(struct net_device *net_dev, u32 *indir, u8 *key,
+                        u8 *hfunc)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int rc;
+
+       rc = efx->type->rx_pull_rss_config(efx);
+       if (rc)
+               return rc;
+
+       if (hfunc)
+               *hfunc = ETH_RSS_HASH_TOP;
+       if (indir)
+               memcpy(indir, efx->rss_context.rx_indir_table,
+                      sizeof(efx->rss_context.rx_indir_table));
+       if (key)
+               memcpy(key, efx->rss_context.rx_hash_key,
+                      efx->type->rx_hash_key_size);
+       return 0;
+}
+
+int efx_ethtool_set_rxfh(struct net_device *net_dev, const u32 *indir,
+                        const u8 *key, const u8 hfunc)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+
+       /* Hash function is Toeplitz, cannot be changed */
+       if (hfunc != ETH_RSS_HASH_NO_CHANGE && hfunc != ETH_RSS_HASH_TOP)
+               return -EOPNOTSUPP;
+       if (!indir && !key)
+               return 0;
+
+       if (!key)
+               key = efx->rss_context.rx_hash_key;
+       if (!indir)
+               indir = efx->rss_context.rx_indir_table;
+
+       return efx->type->rx_push_rss_config(efx, true, indir, key);
+}
+
+int efx_ethtool_get_rxfh_context(struct net_device *net_dev, u32 *indir,
+                                u8 *key, u8 *hfunc, u32 rss_context)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       struct efx_rss_context *ctx;
+       int rc = 0;
+
+       if (!efx->type->rx_pull_rss_context_config)
+               return -EOPNOTSUPP;
+
+       mutex_lock(&efx->rss_lock);
+       ctx = efx_find_rss_context_entry(efx, rss_context);
+       if (!ctx) {
+               rc = -ENOENT;
+               goto out_unlock;
+       }
+       rc = efx->type->rx_pull_rss_context_config(efx, ctx);
+       if (rc)
+               goto out_unlock;
+
+       if (hfunc)
+               *hfunc = ETH_RSS_HASH_TOP;
+       if (indir)
+               memcpy(indir, ctx->rx_indir_table, sizeof(ctx->rx_indir_table));
+       if (key)
+               memcpy(key, ctx->rx_hash_key, efx->type->rx_hash_key_size);
+out_unlock:
+       mutex_unlock(&efx->rss_lock);
+       return rc;
+}
+
+int efx_ethtool_set_rxfh_context(struct net_device *net_dev,
+                                const u32 *indir, const u8 *key,
+                                const u8 hfunc, u32 *rss_context,
+                                bool delete)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       struct efx_rss_context *ctx;
+       bool allocated = false;
+       int rc;
+
+       if (!efx->type->rx_push_rss_context_config)
+               return -EOPNOTSUPP;
+       /* Hash function is Toeplitz, cannot be changed */
+       if (hfunc != ETH_RSS_HASH_NO_CHANGE && hfunc != ETH_RSS_HASH_TOP)
+               return -EOPNOTSUPP;
+
+       mutex_lock(&efx->rss_lock);
+
+       if (*rss_context == ETH_RXFH_CONTEXT_ALLOC) {
+               if (delete) {
+                       /* alloc + delete == Nothing to do */
+                       rc = -EINVAL;
+                       goto out_unlock;
+               }
+               ctx = efx_alloc_rss_context_entry(efx);
+               if (!ctx) {
+                       rc = -ENOMEM;
+                       goto out_unlock;
+               }
+               ctx->context_id = EFX_MCDI_RSS_CONTEXT_INVALID;
+               /* Initialise indir table and key to defaults */
+               efx_set_default_rx_indir_table(efx, ctx);
+               netdev_rss_key_fill(ctx->rx_hash_key, sizeof(ctx->rx_hash_key));
+               allocated = true;
+       } else {
+               ctx = efx_find_rss_context_entry(efx, *rss_context);
+               if (!ctx) {
+                       rc = -ENOENT;
+                       goto out_unlock;
+               }
+       }
+
+       if (delete) {
+               /* delete this context */
+               rc = efx->type->rx_push_rss_context_config(efx, ctx, NULL, NULL);
+               if (!rc)
+                       efx_free_rss_context_entry(ctx);
+               goto out_unlock;
+       }
+
+       if (!key)
+               key = ctx->rx_hash_key;
+       if (!indir)
+               indir = ctx->rx_indir_table;
+
+       rc = efx->type->rx_push_rss_context_config(efx, ctx, indir, key);
+       if (rc && allocated)
+               efx_free_rss_context_entry(ctx);
+       else
+               *rss_context = ctx->user_id;
+out_unlock:
+       mutex_unlock(&efx->rss_lock);
+       return rc;
+}
+
+int efx_ethtool_reset(struct net_device *net_dev, u32 *flags)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int rc;
+
+       rc = efx->type->map_reset_flags(flags);
+       if (rc < 0)
+               return rc;
+
+       return efx_reset(efx, rc);
+}
+
+int efx_ethtool_get_module_eeprom(struct net_device *net_dev,
+                                 struct ethtool_eeprom *ee,
+                                 u8 *data)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int ret;
+
+       mutex_lock(&efx->mac_lock);
+       ret = efx_mcdi_phy_get_module_eeprom(efx, ee, data);
+       mutex_unlock(&efx->mac_lock);
+
+       return ret;
+}
+
+int efx_ethtool_get_module_info(struct net_device *net_dev,
+                               struct ethtool_modinfo *modinfo)
+{
+       struct efx_nic *efx = netdev_priv(net_dev);
+       int ret;
+
+       mutex_lock(&efx->mac_lock);
+       ret = efx_mcdi_phy_get_module_info(efx, modinfo);
+       mutex_unlock(&efx->mac_lock);
+
+       return ret;
+}
diff --git a/drivers/net/ethernet/sfc/siena/ethtool_common.h b/drivers/net/ethernet/sfc/siena/ethtool_common.h
new file mode 100644 (file)
index 0000000..6594919
--- /dev/null
@@ -0,0 +1,63 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2019 Solarflare Communications Inc.
+ *
+ * This program is free software; you can redistribute it and/or modify it
+ * under the terms of the GNU General Public License version 2 as published
+ * by the Free Software Foundation, incorporated herein by reference.
+ */
+
+#ifndef EFX_ETHTOOL_COMMON_H
+#define EFX_ETHTOOL_COMMON_H
+
+void efx_ethtool_get_drvinfo(struct net_device *net_dev,
+                            struct ethtool_drvinfo *info);
+u32 efx_ethtool_get_msglevel(struct net_device *net_dev);
+void efx_ethtool_set_msglevel(struct net_device *net_dev, u32 msg_enable);
+void efx_ethtool_self_test(struct net_device *net_dev,
+                          struct ethtool_test *test, u64 *data);
+void efx_ethtool_get_pauseparam(struct net_device *net_dev,
+                               struct ethtool_pauseparam *pause);
+int efx_ethtool_set_pauseparam(struct net_device *net_dev,
+                              struct ethtool_pauseparam *pause);
+int efx_ethtool_fill_self_tests(struct efx_nic *efx,
+                               struct efx_self_tests *tests,
+                               u8 *strings, u64 *data);
+int efx_ethtool_get_sset_count(struct net_device *net_dev, int string_set);
+void efx_ethtool_get_strings(struct net_device *net_dev, u32 string_set,
+                            u8 *strings);
+void efx_ethtool_get_stats(struct net_device *net_dev,
+                          struct ethtool_stats *stats __attribute__ ((unused)),
+                          u64 *data);
+int efx_ethtool_get_link_ksettings(struct net_device *net_dev,
+                                  struct ethtool_link_ksettings *out);
+int efx_ethtool_set_link_ksettings(struct net_device *net_dev,
+                                  const struct ethtool_link_ksettings *settings);
+int efx_ethtool_get_fecparam(struct net_device *net_dev,
+                            struct ethtool_fecparam *fecparam);
+int efx_ethtool_set_fecparam(struct net_device *net_dev,
+                            struct ethtool_fecparam *fecparam);
+int efx_ethtool_get_rxnfc(struct net_device *net_dev,
+                         struct ethtool_rxnfc *info, u32 *rule_locs);
+int efx_ethtool_set_rxnfc(struct net_device *net_dev,
+                         struct ethtool_rxnfc *info);
+u32 efx_ethtool_get_rxfh_indir_size(struct net_device *net_dev);
+u32 efx_ethtool_get_rxfh_key_size(struct net_device *net_dev);
+int efx_ethtool_get_rxfh(struct net_device *net_dev, u32 *indir, u8 *key,
+                        u8 *hfunc);
+int efx_ethtool_set_rxfh(struct net_device *net_dev,
+                        const u32 *indir, const u8 *key, const u8 hfunc);
+int efx_ethtool_get_rxfh_context(struct net_device *net_dev, u32 *indir,
+                                u8 *key, u8 *hfunc, u32 rss_context);
+int efx_ethtool_set_rxfh_context(struct net_device *net_dev,
+                                const u32 *indir, const u8 *key,
+                                const u8 hfunc, u32 *rss_context,
+                                bool delete);
+int efx_ethtool_reset(struct net_device *net_dev, u32 *flags);
+int efx_ethtool_get_module_eeprom(struct net_device *net_dev,
+                                 struct ethtool_eeprom *ee,
+                                 u8 *data);
+int efx_ethtool_get_module_info(struct net_device *net_dev,
+                               struct ethtool_modinfo *modinfo);
+#endif
diff --git a/drivers/net/ethernet/sfc/siena/farch_regs.h b/drivers/net/ethernet/sfc/siena/farch_regs.h
new file mode 100644 (file)
index 0000000..d138be4
--- /dev/null
@@ -0,0 +1,2929 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2005-2006 Fen Systems Ltd.
+ * Copyright 2006-2012 Solarflare Communications Inc.
+ */
+
+#ifndef EFX_FARCH_REGS_H
+#define EFX_FARCH_REGS_H
+
+/*
+ * Falcon hardware architecture definitions have a name prefix following
+ * the format:
+ *
+ *     F<type>_<min-rev><max-rev>_
+ *
+ * The following <type> strings are used:
+ *
+ *             MMIO register  MC register  Host memory structure
+ * -------------------------------------------------------------
+ * Address     R              MCR
+ * Bitfield    RF             MCRF         SF
+ * Enumerator  FE             MCFE         SE
+ *
+ * <min-rev> is the first revision to which the definition applies:
+ *
+ *     A: Falcon A1 (SFC4000AB)
+ *     B: Falcon B0 (SFC4000BA)
+ *     C: Siena A0 (SFL9021AA)
+ *
+ * If the definition has been changed or removed in later revisions
+ * then <max-rev> is the last revision to which the definition applies;
+ * otherwise it is "Z".
+ */
+
+/**************************************************************************
+ *
+ * Falcon/Siena registers and descriptors
+ *
+ **************************************************************************
+ */
+
+/* ADR_REGION_REG: Address region register */
+#define        FR_AZ_ADR_REGION 0x00000000
+#define        FRF_AZ_ADR_REGION3_LBN 96
+#define        FRF_AZ_ADR_REGION3_WIDTH 18
+#define        FRF_AZ_ADR_REGION2_LBN 64
+#define        FRF_AZ_ADR_REGION2_WIDTH 18
+#define        FRF_AZ_ADR_REGION1_LBN 32
+#define        FRF_AZ_ADR_REGION1_WIDTH 18
+#define        FRF_AZ_ADR_REGION0_LBN 0
+#define        FRF_AZ_ADR_REGION0_WIDTH 18
+
+/* INT_EN_REG_KER: Kernel driver Interrupt enable register */
+#define        FR_AZ_INT_EN_KER 0x00000010
+#define        FRF_AZ_KER_INT_LEVE_SEL_LBN 8
+#define        FRF_AZ_KER_INT_LEVE_SEL_WIDTH 6
+#define        FRF_AZ_KER_INT_CHAR_LBN 4
+#define        FRF_AZ_KER_INT_CHAR_WIDTH 1
+#define        FRF_AZ_KER_INT_KER_LBN 3
+#define        FRF_AZ_KER_INT_KER_WIDTH 1
+#define        FRF_AZ_DRV_INT_EN_KER_LBN 0
+#define        FRF_AZ_DRV_INT_EN_KER_WIDTH 1
+
+/* INT_EN_REG_CHAR: Char Driver interrupt enable register */
+#define        FR_BZ_INT_EN_CHAR 0x00000020
+#define        FRF_BZ_CHAR_INT_LEVE_SEL_LBN 8
+#define        FRF_BZ_CHAR_INT_LEVE_SEL_WIDTH 6
+#define        FRF_BZ_CHAR_INT_CHAR_LBN 4
+#define        FRF_BZ_CHAR_INT_CHAR_WIDTH 1
+#define        FRF_BZ_CHAR_INT_KER_LBN 3
+#define        FRF_BZ_CHAR_INT_KER_WIDTH 1
+#define        FRF_BZ_DRV_INT_EN_CHAR_LBN 0
+#define        FRF_BZ_DRV_INT_EN_CHAR_WIDTH 1
+
+/* INT_ADR_REG_KER: Interrupt host address for Kernel driver */
+#define        FR_AZ_INT_ADR_KER 0x00000030
+#define        FRF_AZ_NORM_INT_VEC_DIS_KER_LBN 64
+#define        FRF_AZ_NORM_INT_VEC_DIS_KER_WIDTH 1
+#define        FRF_AZ_INT_ADR_KER_LBN 0
+#define        FRF_AZ_INT_ADR_KER_WIDTH 64
+
+/* INT_ADR_REG_CHAR: Interrupt host address for Char driver */
+#define        FR_BZ_INT_ADR_CHAR 0x00000040
+#define        FRF_BZ_NORM_INT_VEC_DIS_CHAR_LBN 64
+#define        FRF_BZ_NORM_INT_VEC_DIS_CHAR_WIDTH 1
+#define        FRF_BZ_INT_ADR_CHAR_LBN 0
+#define        FRF_BZ_INT_ADR_CHAR_WIDTH 64
+
+/* INT_ACK_KER: Kernel interrupt acknowledge register */
+#define        FR_AA_INT_ACK_KER 0x00000050
+#define        FRF_AA_INT_ACK_KER_FIELD_LBN 0
+#define        FRF_AA_INT_ACK_KER_FIELD_WIDTH 32
+
+/* INT_ISR0_REG: Function 0 Interrupt Acknowledge Status register */
+#define        FR_BZ_INT_ISR0 0x00000090
+#define        FRF_BZ_INT_ISR_REG_LBN 0
+#define        FRF_BZ_INT_ISR_REG_WIDTH 64
+
+/* HW_INIT_REG: Hardware initialization register */
+#define        FR_AZ_HW_INIT 0x000000c0
+#define        FRF_BB_BDMRD_CPLF_FULL_LBN 124
+#define        FRF_BB_BDMRD_CPLF_FULL_WIDTH 1
+#define        FRF_BB_PCIE_CPL_TIMEOUT_CTRL_LBN 121
+#define        FRF_BB_PCIE_CPL_TIMEOUT_CTRL_WIDTH 3
+#define        FRF_CZ_TX_MRG_TAGS_LBN 120
+#define        FRF_CZ_TX_MRG_TAGS_WIDTH 1
+#define        FRF_AB_TRGT_MASK_ALL_LBN 100
+#define        FRF_AB_TRGT_MASK_ALL_WIDTH 1
+#define        FRF_AZ_DOORBELL_DROP_LBN 92
+#define        FRF_AZ_DOORBELL_DROP_WIDTH 8
+#define        FRF_AB_TX_RREQ_MASK_EN_LBN 76
+#define        FRF_AB_TX_RREQ_MASK_EN_WIDTH 1
+#define        FRF_AB_PE_EIDLE_DIS_LBN 75
+#define        FRF_AB_PE_EIDLE_DIS_WIDTH 1
+#define        FRF_AA_FC_BLOCKING_EN_LBN 45
+#define        FRF_AA_FC_BLOCKING_EN_WIDTH 1
+#define        FRF_BZ_B2B_REQ_EN_LBN 45
+#define        FRF_BZ_B2B_REQ_EN_WIDTH 1
+#define        FRF_AA_B2B_REQ_EN_LBN 44
+#define        FRF_AA_B2B_REQ_EN_WIDTH 1
+#define        FRF_BB_FC_BLOCKING_EN_LBN 44
+#define        FRF_BB_FC_BLOCKING_EN_WIDTH 1
+#define        FRF_AZ_POST_WR_MASK_LBN 40
+#define        FRF_AZ_POST_WR_MASK_WIDTH 4
+#define        FRF_AZ_TLP_TC_LBN 34
+#define        FRF_AZ_TLP_TC_WIDTH 3
+#define        FRF_AZ_TLP_ATTR_LBN 32
+#define        FRF_AZ_TLP_ATTR_WIDTH 2
+#define        FRF_AB_INTB_VEC_LBN 24
+#define        FRF_AB_INTB_VEC_WIDTH 5
+#define        FRF_AB_INTA_VEC_LBN 16
+#define        FRF_AB_INTA_VEC_WIDTH 5
+#define        FRF_AZ_WD_TIMER_LBN 8
+#define        FRF_AZ_WD_TIMER_WIDTH 8
+#define        FRF_AZ_US_DISABLE_LBN 5
+#define        FRF_AZ_US_DISABLE_WIDTH 1
+#define        FRF_AZ_TLP_EP_LBN 4
+#define        FRF_AZ_TLP_EP_WIDTH 1
+#define        FRF_AZ_ATTR_SEL_LBN 3
+#define        FRF_AZ_ATTR_SEL_WIDTH 1
+#define        FRF_AZ_TD_SEL_LBN 1
+#define        FRF_AZ_TD_SEL_WIDTH 1
+#define        FRF_AZ_TLP_TD_LBN 0
+#define        FRF_AZ_TLP_TD_WIDTH 1
+
+/* EE_SPI_HCMD_REG: SPI host command register */
+#define        FR_AB_EE_SPI_HCMD 0x00000100
+#define        FRF_AB_EE_SPI_HCMD_CMD_EN_LBN 31
+#define        FRF_AB_EE_SPI_HCMD_CMD_EN_WIDTH 1
+#define        FRF_AB_EE_WR_TIMER_ACTIVE_LBN 28
+#define        FRF_AB_EE_WR_TIMER_ACTIVE_WIDTH 1
+#define        FRF_AB_EE_SPI_HCMD_SF_SEL_LBN 24
+#define        FRF_AB_EE_SPI_HCMD_SF_SEL_WIDTH 1
+#define        FRF_AB_EE_SPI_HCMD_DABCNT_LBN 16
+#define        FRF_AB_EE_SPI_HCMD_DABCNT_WIDTH 5
+#define        FRF_AB_EE_SPI_HCMD_READ_LBN 15
+#define        FRF_AB_EE_SPI_HCMD_READ_WIDTH 1
+#define        FRF_AB_EE_SPI_HCMD_DUBCNT_LBN 12
+#define        FRF_AB_EE_SPI_HCMD_DUBCNT_WIDTH 2
+#define        FRF_AB_EE_SPI_HCMD_ADBCNT_LBN 8
+#define        FRF_AB_EE_SPI_HCMD_ADBCNT_WIDTH 2
+#define        FRF_AB_EE_SPI_HCMD_ENC_LBN 0
+#define        FRF_AB_EE_SPI_HCMD_ENC_WIDTH 8
+
+/* USR_EV_CFG: User Level Event Configuration register */
+#define        FR_CZ_USR_EV_CFG 0x00000100
+#define        FRF_CZ_USREV_DIS_LBN 16
+#define        FRF_CZ_USREV_DIS_WIDTH 1
+#define        FRF_CZ_DFLT_EVQ_LBN 0
+#define        FRF_CZ_DFLT_EVQ_WIDTH 10
+
+/* EE_SPI_HADR_REG: SPI host address register */
+#define        FR_AB_EE_SPI_HADR 0x00000110
+#define        FRF_AB_EE_SPI_HADR_DUBYTE_LBN 24
+#define        FRF_AB_EE_SPI_HADR_DUBYTE_WIDTH 8
+#define        FRF_AB_EE_SPI_HADR_ADR_LBN 0
+#define        FRF_AB_EE_SPI_HADR_ADR_WIDTH 24
+
+/* EE_SPI_HDATA_REG: SPI host data register */
+#define        FR_AB_EE_SPI_HDATA 0x00000120
+#define        FRF_AB_EE_SPI_HDATA3_LBN 96
+#define        FRF_AB_EE_SPI_HDATA3_WIDTH 32
+#define        FRF_AB_EE_SPI_HDATA2_LBN 64
+#define        FRF_AB_EE_SPI_HDATA2_WIDTH 32
+#define        FRF_AB_EE_SPI_HDATA1_LBN 32
+#define        FRF_AB_EE_SPI_HDATA1_WIDTH 32
+#define        FRF_AB_EE_SPI_HDATA0_LBN 0
+#define        FRF_AB_EE_SPI_HDATA0_WIDTH 32
+
+/* EE_BASE_PAGE_REG: Expansion ROM base mirror register */
+#define        FR_AB_EE_BASE_PAGE 0x00000130
+#define        FRF_AB_EE_EXPROM_MASK_LBN 16
+#define        FRF_AB_EE_EXPROM_MASK_WIDTH 13
+#define        FRF_AB_EE_EXP_ROM_WINDOW_BASE_LBN 0
+#define        FRF_AB_EE_EXP_ROM_WINDOW_BASE_WIDTH 13
+
+/* EE_VPD_CFG0_REG: SPI/VPD configuration register 0 */
+#define        FR_AB_EE_VPD_CFG0 0x00000140
+#define        FRF_AB_EE_SF_FASTRD_EN_LBN 127
+#define        FRF_AB_EE_SF_FASTRD_EN_WIDTH 1
+#define        FRF_AB_EE_SF_CLOCK_DIV_LBN 120
+#define        FRF_AB_EE_SF_CLOCK_DIV_WIDTH 7
+#define        FRF_AB_EE_VPD_WIP_POLL_LBN 119
+#define        FRF_AB_EE_VPD_WIP_POLL_WIDTH 1
+#define        FRF_AB_EE_EE_CLOCK_DIV_LBN 112
+#define        FRF_AB_EE_EE_CLOCK_DIV_WIDTH 7
+#define        FRF_AB_EE_EE_WR_TMR_VALUE_LBN 96
+#define        FRF_AB_EE_EE_WR_TMR_VALUE_WIDTH 16
+#define        FRF_AB_EE_VPDW_LENGTH_LBN 80
+#define        FRF_AB_EE_VPDW_LENGTH_WIDTH 15
+#define        FRF_AB_EE_VPDW_BASE_LBN 64
+#define        FRF_AB_EE_VPDW_BASE_WIDTH 15
+#define        FRF_AB_EE_VPD_WR_CMD_EN_LBN 56
+#define        FRF_AB_EE_VPD_WR_CMD_EN_WIDTH 8
+#define        FRF_AB_EE_VPD_BASE_LBN 32
+#define        FRF_AB_EE_VPD_BASE_WIDTH 24
+#define        FRF_AB_EE_VPD_LENGTH_LBN 16
+#define        FRF_AB_EE_VPD_LENGTH_WIDTH 15
+#define        FRF_AB_EE_VPD_AD_SIZE_LBN 8
+#define        FRF_AB_EE_VPD_AD_SIZE_WIDTH 5
+#define        FRF_AB_EE_VPD_ACCESS_ON_LBN 5
+#define        FRF_AB_EE_VPD_ACCESS_ON_WIDTH 1
+#define        FRF_AB_EE_VPD_ACCESS_BLOCK_LBN 4
+#define        FRF_AB_EE_VPD_ACCESS_BLOCK_WIDTH 1
+#define        FRF_AB_EE_VPD_DEV_SF_SEL_LBN 2
+#define        FRF_AB_EE_VPD_DEV_SF_SEL_WIDTH 1
+#define        FRF_AB_EE_VPD_EN_AD9_MODE_LBN 1
+#define        FRF_AB_EE_VPD_EN_AD9_MODE_WIDTH 1
+#define        FRF_AB_EE_VPD_EN_LBN 0
+#define        FRF_AB_EE_VPD_EN_WIDTH 1
+
+/* EE_VPD_SW_CNTL_REG: VPD access SW control register */
+#define        FR_AB_EE_VPD_SW_CNTL 0x00000150
+#define        FRF_AB_EE_VPD_CYCLE_PENDING_LBN 31
+#define        FRF_AB_EE_VPD_CYCLE_PENDING_WIDTH 1
+#define        FRF_AB_EE_VPD_CYC_WRITE_LBN 28
+#define        FRF_AB_EE_VPD_CYC_WRITE_WIDTH 1
+#define        FRF_AB_EE_VPD_CYC_ADR_LBN 0
+#define        FRF_AB_EE_VPD_CYC_ADR_WIDTH 15
+
+/* EE_VPD_SW_DATA_REG: VPD access SW data register */
+#define        FR_AB_EE_VPD_SW_DATA 0x00000160
+#define        FRF_AB_EE_VPD_CYC_DAT_LBN 0
+#define        FRF_AB_EE_VPD_CYC_DAT_WIDTH 32
+
+/* PBMX_DBG_IADDR_REG: Capture Module address register */
+#define        FR_CZ_PBMX_DBG_IADDR 0x000001f0
+#define        FRF_CZ_PBMX_DBG_IADDR_LBN 0
+#define        FRF_CZ_PBMX_DBG_IADDR_WIDTH 32
+
+/* PCIE_CORE_INDIRECT_REG: Indirect Access to PCIE Core registers */
+#define        FR_BB_PCIE_CORE_INDIRECT 0x000001f0
+#define        FRF_BB_PCIE_CORE_TARGET_DATA_LBN 32
+#define        FRF_BB_PCIE_CORE_TARGET_DATA_WIDTH 32
+#define        FRF_BB_PCIE_CORE_INDIRECT_ACCESS_DIR_LBN 15
+#define        FRF_BB_PCIE_CORE_INDIRECT_ACCESS_DIR_WIDTH 1
+#define        FRF_BB_PCIE_CORE_TARGET_REG_ADRS_LBN 0
+#define        FRF_BB_PCIE_CORE_TARGET_REG_ADRS_WIDTH 12
+
+/* PBMX_DBG_IDATA_REG: Capture Module data register */
+#define        FR_CZ_PBMX_DBG_IDATA 0x000001f8
+#define        FRF_CZ_PBMX_DBG_IDATA_LBN 0
+#define        FRF_CZ_PBMX_DBG_IDATA_WIDTH 64
+
+/* NIC_STAT_REG: NIC status register */
+#define        FR_AB_NIC_STAT 0x00000200
+#define        FRF_BB_AER_DIS_LBN 34
+#define        FRF_BB_AER_DIS_WIDTH 1
+#define        FRF_BB_EE_STRAP_EN_LBN 31
+#define        FRF_BB_EE_STRAP_EN_WIDTH 1
+#define        FRF_BB_EE_STRAP_LBN 24
+#define        FRF_BB_EE_STRAP_WIDTH 4
+#define        FRF_BB_REVISION_ID_LBN 17
+#define        FRF_BB_REVISION_ID_WIDTH 7
+#define        FRF_AB_ONCHIP_SRAM_LBN 16
+#define        FRF_AB_ONCHIP_SRAM_WIDTH 1
+#define        FRF_AB_SF_PRST_LBN 9
+#define        FRF_AB_SF_PRST_WIDTH 1
+#define        FRF_AB_EE_PRST_LBN 8
+#define        FRF_AB_EE_PRST_WIDTH 1
+#define        FRF_AB_ATE_MODE_LBN 3
+#define        FRF_AB_ATE_MODE_WIDTH 1
+#define        FRF_AB_STRAP_PINS_LBN 0
+#define        FRF_AB_STRAP_PINS_WIDTH 3
+
+/* GPIO_CTL_REG: GPIO control register */
+#define        FR_AB_GPIO_CTL 0x00000210
+#define        FRF_AB_GPIO_OUT3_LBN 112
+#define        FRF_AB_GPIO_OUT3_WIDTH 16
+#define        FRF_AB_GPIO_IN3_LBN 104
+#define        FRF_AB_GPIO_IN3_WIDTH 8
+#define        FRF_AB_GPIO_PWRUP_VALUE3_LBN 96
+#define        FRF_AB_GPIO_PWRUP_VALUE3_WIDTH 8
+#define        FRF_AB_GPIO_OUT2_LBN 80
+#define        FRF_AB_GPIO_OUT2_WIDTH 16
+#define        FRF_AB_GPIO_IN2_LBN 72
+#define        FRF_AB_GPIO_IN2_WIDTH 8
+#define        FRF_AB_GPIO_PWRUP_VALUE2_LBN 64
+#define        FRF_AB_GPIO_PWRUP_VALUE2_WIDTH 8
+#define        FRF_AB_GPIO15_OEN_LBN 63
+#define        FRF_AB_GPIO15_OEN_WIDTH 1
+#define        FRF_AB_GPIO14_OEN_LBN 62
+#define        FRF_AB_GPIO14_OEN_WIDTH 1
+#define        FRF_AB_GPIO13_OEN_LBN 61
+#define        FRF_AB_GPIO13_OEN_WIDTH 1
+#define        FRF_AB_GPIO12_OEN_LBN 60
+#define        FRF_AB_GPIO12_OEN_WIDTH 1
+#define        FRF_AB_GPIO11_OEN_LBN 59
+#define        FRF_AB_GPIO11_OEN_WIDTH 1
+#define        FRF_AB_GPIO10_OEN_LBN 58
+#define        FRF_AB_GPIO10_OEN_WIDTH 1
+#define        FRF_AB_GPIO9_OEN_LBN 57
+#define        FRF_AB_GPIO9_OEN_WIDTH 1
+#define        FRF_AB_GPIO8_OEN_LBN 56
+#define        FRF_AB_GPIO8_OEN_WIDTH 1
+#define        FRF_AB_GPIO15_OUT_LBN 55
+#define        FRF_AB_GPIO15_OUT_WIDTH 1
+#define        FRF_AB_GPIO14_OUT_LBN 54
+#define        FRF_AB_GPIO14_OUT_WIDTH 1
+#define        FRF_AB_GPIO13_OUT_LBN 53
+#define        FRF_AB_GPIO13_OUT_WIDTH 1
+#define        FRF_AB_GPIO12_OUT_LBN 52
+#define        FRF_AB_GPIO12_OUT_WIDTH 1
+#define        FRF_AB_GPIO11_OUT_LBN 51
+#define        FRF_AB_GPIO11_OUT_WIDTH 1
+#define        FRF_AB_GPIO10_OUT_LBN 50
+#define        FRF_AB_GPIO10_OUT_WIDTH 1
+#define        FRF_AB_GPIO9_OUT_LBN 49
+#define        FRF_AB_GPIO9_OUT_WIDTH 1
+#define        FRF_AB_GPIO8_OUT_LBN 48
+#define        FRF_AB_GPIO8_OUT_WIDTH 1
+#define        FRF_AB_GPIO15_IN_LBN 47
+#define        FRF_AB_GPIO15_IN_WIDTH 1
+#define        FRF_AB_GPIO14_IN_LBN 46
+#define        FRF_AB_GPIO14_IN_WIDTH 1
+#define        FRF_AB_GPIO13_IN_LBN 45
+#define        FRF_AB_GPIO13_IN_WIDTH 1
+#define        FRF_AB_GPIO12_IN_LBN 44
+#define        FRF_AB_GPIO12_IN_WIDTH 1
+#define        FRF_AB_GPIO11_IN_LBN 43
+#define        FRF_AB_GPIO11_IN_WIDTH 1
+#define        FRF_AB_GPIO10_IN_LBN 42
+#define        FRF_AB_GPIO10_IN_WIDTH 1
+#define        FRF_AB_GPIO9_IN_LBN 41
+#define        FRF_AB_GPIO9_IN_WIDTH 1
+#define        FRF_AB_GPIO8_IN_LBN 40
+#define        FRF_AB_GPIO8_IN_WIDTH 1
+#define        FRF_AB_GPIO15_PWRUP_VALUE_LBN 39
+#define        FRF_AB_GPIO15_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO14_PWRUP_VALUE_LBN 38
+#define        FRF_AB_GPIO14_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO13_PWRUP_VALUE_LBN 37
+#define        FRF_AB_GPIO13_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO12_PWRUP_VALUE_LBN 36
+#define        FRF_AB_GPIO12_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO11_PWRUP_VALUE_LBN 35
+#define        FRF_AB_GPIO11_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO10_PWRUP_VALUE_LBN 34
+#define        FRF_AB_GPIO10_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO9_PWRUP_VALUE_LBN 33
+#define        FRF_AB_GPIO9_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO8_PWRUP_VALUE_LBN 32
+#define        FRF_AB_GPIO8_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_CLK156_OUT_EN_LBN 31
+#define        FRF_AB_CLK156_OUT_EN_WIDTH 1
+#define        FRF_AB_USE_NIC_CLK_LBN 30
+#define        FRF_AB_USE_NIC_CLK_WIDTH 1
+#define        FRF_AB_GPIO5_OEN_LBN 29
+#define        FRF_AB_GPIO5_OEN_WIDTH 1
+#define        FRF_AB_GPIO4_OEN_LBN 28
+#define        FRF_AB_GPIO4_OEN_WIDTH 1
+#define        FRF_AB_GPIO3_OEN_LBN 27
+#define        FRF_AB_GPIO3_OEN_WIDTH 1
+#define        FRF_AB_GPIO2_OEN_LBN 26
+#define        FRF_AB_GPIO2_OEN_WIDTH 1
+#define        FRF_AB_GPIO1_OEN_LBN 25
+#define        FRF_AB_GPIO1_OEN_WIDTH 1
+#define        FRF_AB_GPIO0_OEN_LBN 24
+#define        FRF_AB_GPIO0_OEN_WIDTH 1
+#define        FRF_AB_GPIO7_OUT_LBN 23
+#define        FRF_AB_GPIO7_OUT_WIDTH 1
+#define        FRF_AB_GPIO6_OUT_LBN 22
+#define        FRF_AB_GPIO6_OUT_WIDTH 1
+#define        FRF_AB_GPIO5_OUT_LBN 21
+#define        FRF_AB_GPIO5_OUT_WIDTH 1
+#define        FRF_AB_GPIO4_OUT_LBN 20
+#define        FRF_AB_GPIO4_OUT_WIDTH 1
+#define        FRF_AB_GPIO3_OUT_LBN 19
+#define        FRF_AB_GPIO3_OUT_WIDTH 1
+#define        FRF_AB_GPIO2_OUT_LBN 18
+#define        FRF_AB_GPIO2_OUT_WIDTH 1
+#define        FRF_AB_GPIO1_OUT_LBN 17
+#define        FRF_AB_GPIO1_OUT_WIDTH 1
+#define        FRF_AB_GPIO0_OUT_LBN 16
+#define        FRF_AB_GPIO0_OUT_WIDTH 1
+#define        FRF_AB_GPIO7_IN_LBN 15
+#define        FRF_AB_GPIO7_IN_WIDTH 1
+#define        FRF_AB_GPIO6_IN_LBN 14
+#define        FRF_AB_GPIO6_IN_WIDTH 1
+#define        FRF_AB_GPIO5_IN_LBN 13
+#define        FRF_AB_GPIO5_IN_WIDTH 1
+#define        FRF_AB_GPIO4_IN_LBN 12
+#define        FRF_AB_GPIO4_IN_WIDTH 1
+#define        FRF_AB_GPIO3_IN_LBN 11
+#define        FRF_AB_GPIO3_IN_WIDTH 1
+#define        FRF_AB_GPIO2_IN_LBN 10
+#define        FRF_AB_GPIO2_IN_WIDTH 1
+#define        FRF_AB_GPIO1_IN_LBN 9
+#define        FRF_AB_GPIO1_IN_WIDTH 1
+#define        FRF_AB_GPIO0_IN_LBN 8
+#define        FRF_AB_GPIO0_IN_WIDTH 1
+#define        FRF_AB_GPIO7_PWRUP_VALUE_LBN 7
+#define        FRF_AB_GPIO7_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO6_PWRUP_VALUE_LBN 6
+#define        FRF_AB_GPIO6_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO5_PWRUP_VALUE_LBN 5
+#define        FRF_AB_GPIO5_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO4_PWRUP_VALUE_LBN 4
+#define        FRF_AB_GPIO4_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO3_PWRUP_VALUE_LBN 3
+#define        FRF_AB_GPIO3_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO2_PWRUP_VALUE_LBN 2
+#define        FRF_AB_GPIO2_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO1_PWRUP_VALUE_LBN 1
+#define        FRF_AB_GPIO1_PWRUP_VALUE_WIDTH 1
+#define        FRF_AB_GPIO0_PWRUP_VALUE_LBN 0
+#define        FRF_AB_GPIO0_PWRUP_VALUE_WIDTH 1
+
+/* GLB_CTL_REG: Global control register */
+#define        FR_AB_GLB_CTL 0x00000220
+#define        FRF_AB_EXT_PHY_RST_CTL_LBN 63
+#define        FRF_AB_EXT_PHY_RST_CTL_WIDTH 1
+#define        FRF_AB_XAUI_SD_RST_CTL_LBN 62
+#define        FRF_AB_XAUI_SD_RST_CTL_WIDTH 1
+#define        FRF_AB_PCIE_SD_RST_CTL_LBN 61
+#define        FRF_AB_PCIE_SD_RST_CTL_WIDTH 1
+#define        FRF_AA_PCIX_RST_CTL_LBN 60
+#define        FRF_AA_PCIX_RST_CTL_WIDTH 1
+#define        FRF_BB_BIU_RST_CTL_LBN 60
+#define        FRF_BB_BIU_RST_CTL_WIDTH 1
+#define        FRF_AB_PCIE_STKY_RST_CTL_LBN 59
+#define        FRF_AB_PCIE_STKY_RST_CTL_WIDTH 1
+#define        FRF_AB_PCIE_NSTKY_RST_CTL_LBN 58
+#define        FRF_AB_PCIE_NSTKY_RST_CTL_WIDTH 1
+#define        FRF_AB_PCIE_CORE_RST_CTL_LBN 57
+#define        FRF_AB_PCIE_CORE_RST_CTL_WIDTH 1
+#define        FRF_AB_XGRX_RST_CTL_LBN 56
+#define        FRF_AB_XGRX_RST_CTL_WIDTH 1
+#define        FRF_AB_XGTX_RST_CTL_LBN 55
+#define        FRF_AB_XGTX_RST_CTL_WIDTH 1
+#define        FRF_AB_EM_RST_CTL_LBN 54
+#define        FRF_AB_EM_RST_CTL_WIDTH 1
+#define        FRF_AB_EV_RST_CTL_LBN 53
+#define        FRF_AB_EV_RST_CTL_WIDTH 1
+#define        FRF_AB_SR_RST_CTL_LBN 52
+#define        FRF_AB_SR_RST_CTL_WIDTH 1
+#define        FRF_AB_RX_RST_CTL_LBN 51
+#define        FRF_AB_RX_RST_CTL_WIDTH 1
+#define        FRF_AB_TX_RST_CTL_LBN 50
+#define        FRF_AB_TX_RST_CTL_WIDTH 1
+#define        FRF_AB_EE_RST_CTL_LBN 49
+#define        FRF_AB_EE_RST_CTL_WIDTH 1
+#define        FRF_AB_CS_RST_CTL_LBN 48
+#define        FRF_AB_CS_RST_CTL_WIDTH 1
+#define        FRF_AB_HOT_RST_CTL_LBN 40
+#define        FRF_AB_HOT_RST_CTL_WIDTH 2
+#define        FRF_AB_RST_EXT_PHY_LBN 31
+#define        FRF_AB_RST_EXT_PHY_WIDTH 1
+#define        FRF_AB_RST_XAUI_SD_LBN 30
+#define        FRF_AB_RST_XAUI_SD_WIDTH 1
+#define        FRF_AB_RST_PCIE_SD_LBN 29
+#define        FRF_AB_RST_PCIE_SD_WIDTH 1
+#define        FRF_AA_RST_PCIX_LBN 28
+#define        FRF_AA_RST_PCIX_WIDTH 1
+#define        FRF_BB_RST_BIU_LBN 28
+#define        FRF_BB_RST_BIU_WIDTH 1
+#define        FRF_AB_RST_PCIE_STKY_LBN 27
+#define        FRF_AB_RST_PCIE_STKY_WIDTH 1
+#define        FRF_AB_RST_PCIE_NSTKY_LBN 26
+#define        FRF_AB_RST_PCIE_NSTKY_WIDTH 1
+#define        FRF_AB_RST_PCIE_CORE_LBN 25
+#define        FRF_AB_RST_PCIE_CORE_WIDTH 1
+#define        FRF_AB_RST_XGRX_LBN 24
+#define        FRF_AB_RST_XGRX_WIDTH 1
+#define        FRF_AB_RST_XGTX_LBN 23
+#define        FRF_AB_RST_XGTX_WIDTH 1
+#define        FRF_AB_RST_EM_LBN 22
+#define        FRF_AB_RST_EM_WIDTH 1
+#define        FRF_AB_RST_EV_LBN 21
+#define        FRF_AB_RST_EV_WIDTH 1
+#define        FRF_AB_RST_SR_LBN 20
+#define        FRF_AB_RST_SR_WIDTH 1
+#define        FRF_AB_RST_RX_LBN 19
+#define        FRF_AB_RST_RX_WIDTH 1
+#define        FRF_AB_RST_TX_LBN 18
+#define        FRF_AB_RST_TX_WIDTH 1
+#define        FRF_AB_RST_SF_LBN 17
+#define        FRF_AB_RST_SF_WIDTH 1
+#define        FRF_AB_RST_CS_LBN 16
+#define        FRF_AB_RST_CS_WIDTH 1
+#define        FRF_AB_INT_RST_DUR_LBN 4
+#define        FRF_AB_INT_RST_DUR_WIDTH 3
+#define        FRF_AB_EXT_PHY_RST_DUR_LBN 1
+#define        FRF_AB_EXT_PHY_RST_DUR_WIDTH 3
+#define        FFE_AB_EXT_PHY_RST_DUR_10240US 7
+#define        FFE_AB_EXT_PHY_RST_DUR_5120US 6
+#define        FFE_AB_EXT_PHY_RST_DUR_2560US 5
+#define        FFE_AB_EXT_PHY_RST_DUR_1280US 4
+#define        FFE_AB_EXT_PHY_RST_DUR_640US 3
+#define        FFE_AB_EXT_PHY_RST_DUR_320US 2
+#define        FFE_AB_EXT_PHY_RST_DUR_160US 1
+#define        FFE_AB_EXT_PHY_RST_DUR_80US 0
+#define        FRF_AB_SWRST_LBN 0
+#define        FRF_AB_SWRST_WIDTH 1
+
+/* FATAL_INTR_REG_KER: Fatal interrupt register for Kernel */
+#define        FR_AZ_FATAL_INTR_KER 0x00000230
+#define        FRF_CZ_SRAM_PERR_INT_P_KER_EN_LBN 44
+#define        FRF_CZ_SRAM_PERR_INT_P_KER_EN_WIDTH 1
+#define        FRF_AB_PCI_BUSERR_INT_KER_EN_LBN 43
+#define        FRF_AB_PCI_BUSERR_INT_KER_EN_WIDTH 1
+#define        FRF_CZ_MBU_PERR_INT_KER_EN_LBN 43
+#define        FRF_CZ_MBU_PERR_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_SRAM_OOB_INT_KER_EN_LBN 42
+#define        FRF_AZ_SRAM_OOB_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_BUFID_OOB_INT_KER_EN_LBN 41
+#define        FRF_AZ_BUFID_OOB_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_MEM_PERR_INT_KER_EN_LBN 40
+#define        FRF_AZ_MEM_PERR_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_RBUF_OWN_INT_KER_EN_LBN 39
+#define        FRF_AZ_RBUF_OWN_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_TBUF_OWN_INT_KER_EN_LBN 38
+#define        FRF_AZ_TBUF_OWN_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_RDESCQ_OWN_INT_KER_EN_LBN 37
+#define        FRF_AZ_RDESCQ_OWN_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_TDESCQ_OWN_INT_KER_EN_LBN 36
+#define        FRF_AZ_TDESCQ_OWN_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_EVQ_OWN_INT_KER_EN_LBN 35
+#define        FRF_AZ_EVQ_OWN_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_EVF_OFLO_INT_KER_EN_LBN 34
+#define        FRF_AZ_EVF_OFLO_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_ILL_ADR_INT_KER_EN_LBN 33
+#define        FRF_AZ_ILL_ADR_INT_KER_EN_WIDTH 1
+#define        FRF_AZ_SRM_PERR_INT_KER_EN_LBN 32
+#define        FRF_AZ_SRM_PERR_INT_KER_EN_WIDTH 1
+#define        FRF_CZ_SRAM_PERR_INT_P_KER_LBN 12
+#define        FRF_CZ_SRAM_PERR_INT_P_KER_WIDTH 1
+#define        FRF_AB_PCI_BUSERR_INT_KER_LBN 11
+#define        FRF_AB_PCI_BUSERR_INT_KER_WIDTH 1
+#define        FRF_CZ_MBU_PERR_INT_KER_LBN 11
+#define        FRF_CZ_MBU_PERR_INT_KER_WIDTH 1
+#define        FRF_AZ_SRAM_OOB_INT_KER_LBN 10
+#define        FRF_AZ_SRAM_OOB_INT_KER_WIDTH 1
+#define        FRF_AZ_BUFID_DC_OOB_INT_KER_LBN 9
+#define        FRF_AZ_BUFID_DC_OOB_INT_KER_WIDTH 1
+#define        FRF_AZ_MEM_PERR_INT_KER_LBN 8
+#define        FRF_AZ_MEM_PERR_INT_KER_WIDTH 1
+#define        FRF_AZ_RBUF_OWN_INT_KER_LBN 7
+#define        FRF_AZ_RBUF_OWN_INT_KER_WIDTH 1
+#define        FRF_AZ_TBUF_OWN_INT_KER_LBN 6
+#define        FRF_AZ_TBUF_OWN_INT_KER_WIDTH 1
+#define        FRF_AZ_RDESCQ_OWN_INT_KER_LBN 5
+#define        FRF_AZ_RDESCQ_OWN_INT_KER_WIDTH 1
+#define        FRF_AZ_TDESCQ_OWN_INT_KER_LBN 4
+#define        FRF_AZ_TDESCQ_OWN_INT_KER_WIDTH 1
+#define        FRF_AZ_EVQ_OWN_INT_KER_LBN 3
+#define        FRF_AZ_EVQ_OWN_INT_KER_WIDTH 1
+#define        FRF_AZ_EVF_OFLO_INT_KER_LBN 2
+#define        FRF_AZ_EVF_OFLO_INT_KER_WIDTH 1
+#define        FRF_AZ_ILL_ADR_INT_KER_LBN 1
+#define        FRF_AZ_ILL_ADR_INT_KER_WIDTH 1
+#define        FRF_AZ_SRM_PERR_INT_KER_LBN 0
+#define        FRF_AZ_SRM_PERR_INT_KER_WIDTH 1
+
+/* FATAL_INTR_REG_CHAR: Fatal interrupt register for Char */
+#define        FR_BZ_FATAL_INTR_CHAR 0x00000240
+#define        FRF_CZ_SRAM_PERR_INT_P_CHAR_EN_LBN 44
+#define        FRF_CZ_SRAM_PERR_INT_P_CHAR_EN_WIDTH 1
+#define        FRF_BB_PCI_BUSERR_INT_CHAR_EN_LBN 43
+#define        FRF_BB_PCI_BUSERR_INT_CHAR_EN_WIDTH 1
+#define        FRF_CZ_MBU_PERR_INT_CHAR_EN_LBN 43
+#define        FRF_CZ_MBU_PERR_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_SRAM_OOB_INT_CHAR_EN_LBN 42
+#define        FRF_BZ_SRAM_OOB_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_BUFID_OOB_INT_CHAR_EN_LBN 41
+#define        FRF_BZ_BUFID_OOB_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_MEM_PERR_INT_CHAR_EN_LBN 40
+#define        FRF_BZ_MEM_PERR_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_RBUF_OWN_INT_CHAR_EN_LBN 39
+#define        FRF_BZ_RBUF_OWN_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_TBUF_OWN_INT_CHAR_EN_LBN 38
+#define        FRF_BZ_TBUF_OWN_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_RDESCQ_OWN_INT_CHAR_EN_LBN 37
+#define        FRF_BZ_RDESCQ_OWN_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_TDESCQ_OWN_INT_CHAR_EN_LBN 36
+#define        FRF_BZ_TDESCQ_OWN_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_EVQ_OWN_INT_CHAR_EN_LBN 35
+#define        FRF_BZ_EVQ_OWN_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_EVF_OFLO_INT_CHAR_EN_LBN 34
+#define        FRF_BZ_EVF_OFLO_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_ILL_ADR_INT_CHAR_EN_LBN 33
+#define        FRF_BZ_ILL_ADR_INT_CHAR_EN_WIDTH 1
+#define        FRF_BZ_SRM_PERR_INT_CHAR_EN_LBN 32
+#define        FRF_BZ_SRM_PERR_INT_CHAR_EN_WIDTH 1
+#define        FRF_CZ_SRAM_PERR_INT_P_CHAR_LBN 12
+#define        FRF_CZ_SRAM_PERR_INT_P_CHAR_WIDTH 1
+#define        FRF_BB_PCI_BUSERR_INT_CHAR_LBN 11
+#define        FRF_BB_PCI_BUSERR_INT_CHAR_WIDTH 1
+#define        FRF_CZ_MBU_PERR_INT_CHAR_LBN 11
+#define        FRF_CZ_MBU_PERR_INT_CHAR_WIDTH 1
+#define        FRF_BZ_SRAM_OOB_INT_CHAR_LBN 10
+#define        FRF_BZ_SRAM_OOB_INT_CHAR_WIDTH 1
+#define        FRF_BZ_BUFID_DC_OOB_INT_CHAR_LBN 9
+#define        FRF_BZ_BUFID_DC_OOB_INT_CHAR_WIDTH 1
+#define        FRF_BZ_MEM_PERR_INT_CHAR_LBN 8
+#define        FRF_BZ_MEM_PERR_INT_CHAR_WIDTH 1
+#define        FRF_BZ_RBUF_OWN_INT_CHAR_LBN 7
+#define        FRF_BZ_RBUF_OWN_INT_CHAR_WIDTH 1
+#define        FRF_BZ_TBUF_OWN_INT_CHAR_LBN 6
+#define        FRF_BZ_TBUF_OWN_INT_CHAR_WIDTH 1
+#define        FRF_BZ_RDESCQ_OWN_INT_CHAR_LBN 5
+#define        FRF_BZ_RDESCQ_OWN_INT_CHAR_WIDTH 1
+#define        FRF_BZ_TDESCQ_OWN_INT_CHAR_LBN 4
+#define        FRF_BZ_TDESCQ_OWN_INT_CHAR_WIDTH 1
+#define        FRF_BZ_EVQ_OWN_INT_CHAR_LBN 3
+#define        FRF_BZ_EVQ_OWN_INT_CHAR_WIDTH 1
+#define        FRF_BZ_EVF_OFLO_INT_CHAR_LBN 2
+#define        FRF_BZ_EVF_OFLO_INT_CHAR_WIDTH 1
+#define        FRF_BZ_ILL_ADR_INT_CHAR_LBN 1
+#define        FRF_BZ_ILL_ADR_INT_CHAR_WIDTH 1
+#define        FRF_BZ_SRM_PERR_INT_CHAR_LBN 0
+#define        FRF_BZ_SRM_PERR_INT_CHAR_WIDTH 1
+
+/* DP_CTRL_REG: Datapath control register */
+#define        FR_BZ_DP_CTRL 0x00000250
+#define        FRF_BZ_FLS_EVQ_ID_LBN 0
+#define        FRF_BZ_FLS_EVQ_ID_WIDTH 12
+
+/* MEM_STAT_REG: Memory status register */
+#define        FR_AZ_MEM_STAT 0x00000260
+#define        FRF_AB_MEM_PERR_VEC_LBN 53
+#define        FRF_AB_MEM_PERR_VEC_WIDTH 38
+#define        FRF_AB_MBIST_CORR_LBN 38
+#define        FRF_AB_MBIST_CORR_WIDTH 15
+#define        FRF_AB_MBIST_ERR_LBN 0
+#define        FRF_AB_MBIST_ERR_WIDTH 40
+#define        FRF_CZ_MEM_PERR_VEC_LBN 0
+#define        FRF_CZ_MEM_PERR_VEC_WIDTH 35
+
+/* CS_DEBUG_REG: Debug register */
+#define        FR_AZ_CS_DEBUG 0x00000270
+#define        FRF_AB_GLB_DEBUG2_SEL_LBN 50
+#define        FRF_AB_GLB_DEBUG2_SEL_WIDTH 3
+#define        FRF_AB_DEBUG_BLK_SEL2_LBN 47
+#define        FRF_AB_DEBUG_BLK_SEL2_WIDTH 3
+#define        FRF_AB_DEBUG_BLK_SEL1_LBN 44
+#define        FRF_AB_DEBUG_BLK_SEL1_WIDTH 3
+#define        FRF_AB_DEBUG_BLK_SEL0_LBN 41
+#define        FRF_AB_DEBUG_BLK_SEL0_WIDTH 3
+#define        FRF_CZ_CS_PORT_NUM_LBN 40
+#define        FRF_CZ_CS_PORT_NUM_WIDTH 2
+#define        FRF_AB_MISC_DEBUG_ADDR_LBN 36
+#define        FRF_AB_MISC_DEBUG_ADDR_WIDTH 5
+#define        FRF_AB_SERDES_DEBUG_ADDR_LBN 31
+#define        FRF_AB_SERDES_DEBUG_ADDR_WIDTH 5
+#define        FRF_CZ_CS_PORT_FPE_LBN 1
+#define        FRF_CZ_CS_PORT_FPE_WIDTH 35
+#define        FRF_AB_EM_DEBUG_ADDR_LBN 26
+#define        FRF_AB_EM_DEBUG_ADDR_WIDTH 5
+#define        FRF_AB_SR_DEBUG_ADDR_LBN 21
+#define        FRF_AB_SR_DEBUG_ADDR_WIDTH 5
+#define        FRF_AB_EV_DEBUG_ADDR_LBN 16
+#define        FRF_AB_EV_DEBUG_ADDR_WIDTH 5
+#define        FRF_AB_RX_DEBUG_ADDR_LBN 11
+#define        FRF_AB_RX_DEBUG_ADDR_WIDTH 5
+#define        FRF_AB_TX_DEBUG_ADDR_LBN 6
+#define        FRF_AB_TX_DEBUG_ADDR_WIDTH 5
+#define        FRF_AB_CS_BIU_DEBUG_ADDR_LBN 1
+#define        FRF_AB_CS_BIU_DEBUG_ADDR_WIDTH 5
+#define        FRF_AZ_CS_DEBUG_EN_LBN 0
+#define        FRF_AZ_CS_DEBUG_EN_WIDTH 1
+
+/* DRIVER_REG: Driver scratch register [0-7] */
+#define        FR_AZ_DRIVER 0x00000280
+#define        FR_AZ_DRIVER_STEP 16
+#define        FR_AZ_DRIVER_ROWS 8
+#define        FRF_AZ_DRIVER_DW0_LBN 0
+#define        FRF_AZ_DRIVER_DW0_WIDTH 32
+
+/* ALTERA_BUILD_REG: Altera build register */
+#define        FR_AZ_ALTERA_BUILD 0x00000300
+#define        FRF_AZ_ALTERA_BUILD_VER_LBN 0
+#define        FRF_AZ_ALTERA_BUILD_VER_WIDTH 32
+
+/* CSR_SPARE_REG: Spare register */
+#define        FR_AZ_CSR_SPARE 0x00000310
+#define        FRF_AB_MEM_PERR_EN_LBN 64
+#define        FRF_AB_MEM_PERR_EN_WIDTH 38
+#define        FRF_CZ_MEM_PERR_EN_LBN 64
+#define        FRF_CZ_MEM_PERR_EN_WIDTH 35
+#define        FRF_AB_MEM_PERR_EN_TX_DATA_LBN 72
+#define        FRF_AB_MEM_PERR_EN_TX_DATA_WIDTH 2
+#define        FRF_AZ_CSR_SPARE_BITS_LBN 0
+#define        FRF_AZ_CSR_SPARE_BITS_WIDTH 32
+
+/* PCIE_SD_CTL0123_REG: PCIE SerDes control register 0 to 3 */
+#define        FR_AB_PCIE_SD_CTL0123 0x00000320
+#define        FRF_AB_PCIE_TESTSIG_H_LBN 96
+#define        FRF_AB_PCIE_TESTSIG_H_WIDTH 19
+#define        FRF_AB_PCIE_TESTSIG_L_LBN 64
+#define        FRF_AB_PCIE_TESTSIG_L_WIDTH 19
+#define        FRF_AB_PCIE_OFFSET_LBN 56
+#define        FRF_AB_PCIE_OFFSET_WIDTH 8
+#define        FRF_AB_PCIE_OFFSETEN_H_LBN 55
+#define        FRF_AB_PCIE_OFFSETEN_H_WIDTH 1
+#define        FRF_AB_PCIE_OFFSETEN_L_LBN 54
+#define        FRF_AB_PCIE_OFFSETEN_L_WIDTH 1
+#define        FRF_AB_PCIE_HIVMODE_H_LBN 53
+#define        FRF_AB_PCIE_HIVMODE_H_WIDTH 1
+#define        FRF_AB_PCIE_HIVMODE_L_LBN 52
+#define        FRF_AB_PCIE_HIVMODE_L_WIDTH 1
+#define        FRF_AB_PCIE_PARRESET_H_LBN 51
+#define        FRF_AB_PCIE_PARRESET_H_WIDTH 1
+#define        FRF_AB_PCIE_PARRESET_L_LBN 50
+#define        FRF_AB_PCIE_PARRESET_L_WIDTH 1
+#define        FRF_AB_PCIE_LPBKWDRV_H_LBN 49
+#define        FRF_AB_PCIE_LPBKWDRV_H_WIDTH 1
+#define        FRF_AB_PCIE_LPBKWDRV_L_LBN 48
+#define        FRF_AB_PCIE_LPBKWDRV_L_WIDTH 1
+#define        FRF_AB_PCIE_LPBK_LBN 40
+#define        FRF_AB_PCIE_LPBK_WIDTH 8
+#define        FRF_AB_PCIE_PARLPBK_LBN 32
+#define        FRF_AB_PCIE_PARLPBK_WIDTH 8
+#define        FRF_AB_PCIE_RXTERMADJ_H_LBN 30
+#define        FRF_AB_PCIE_RXTERMADJ_H_WIDTH 2
+#define        FRF_AB_PCIE_RXTERMADJ_L_LBN 28
+#define        FRF_AB_PCIE_RXTERMADJ_L_WIDTH 2
+#define        FFE_AB_PCIE_RXTERMADJ_MIN15PCNT 3
+#define        FFE_AB_PCIE_RXTERMADJ_PL10PCNT 2
+#define        FFE_AB_PCIE_RXTERMADJ_MIN17PCNT 1
+#define        FFE_AB_PCIE_RXTERMADJ_NOMNL 0
+#define        FRF_AB_PCIE_TXTERMADJ_H_LBN 26
+#define        FRF_AB_PCIE_TXTERMADJ_H_WIDTH 2
+#define        FRF_AB_PCIE_TXTERMADJ_L_LBN 24
+#define        FRF_AB_PCIE_TXTERMADJ_L_WIDTH 2
+#define        FFE_AB_PCIE_TXTERMADJ_MIN15PCNT 3
+#define        FFE_AB_PCIE_TXTERMADJ_PL10PCNT 2
+#define        FFE_AB_PCIE_TXTERMADJ_MIN17PCNT 1
+#define        FFE_AB_PCIE_TXTERMADJ_NOMNL 0
+#define        FRF_AB_PCIE_RXEQCTL_H_LBN 18
+#define        FRF_AB_PCIE_RXEQCTL_H_WIDTH 2
+#define        FRF_AB_PCIE_RXEQCTL_L_LBN 16
+#define        FRF_AB_PCIE_RXEQCTL_L_WIDTH 2
+#define        FFE_AB_PCIE_RXEQCTL_OFF_ALT 3
+#define        FFE_AB_PCIE_RXEQCTL_OFF 2
+#define        FFE_AB_PCIE_RXEQCTL_MIN 1
+#define        FFE_AB_PCIE_RXEQCTL_MAX 0
+#define        FRF_AB_PCIE_HIDRV_LBN 8
+#define        FRF_AB_PCIE_HIDRV_WIDTH 8
+#define        FRF_AB_PCIE_LODRV_LBN 0
+#define        FRF_AB_PCIE_LODRV_WIDTH 8
+
+/* PCIE_SD_CTL45_REG: PCIE SerDes control register 4 and 5 */
+#define        FR_AB_PCIE_SD_CTL45 0x00000330
+#define        FRF_AB_PCIE_DTX7_LBN 60
+#define        FRF_AB_PCIE_DTX7_WIDTH 4
+#define        FRF_AB_PCIE_DTX6_LBN 56
+#define        FRF_AB_PCIE_DTX6_WIDTH 4
+#define        FRF_AB_PCIE_DTX5_LBN 52
+#define        FRF_AB_PCIE_DTX5_WIDTH 4
+#define        FRF_AB_PCIE_DTX4_LBN 48
+#define        FRF_AB_PCIE_DTX4_WIDTH 4
+#define        FRF_AB_PCIE_DTX3_LBN 44
+#define        FRF_AB_PCIE_DTX3_WIDTH 4
+#define        FRF_AB_PCIE_DTX2_LBN 40
+#define        FRF_AB_PCIE_DTX2_WIDTH 4
+#define        FRF_AB_PCIE_DTX1_LBN 36
+#define        FRF_AB_PCIE_DTX1_WIDTH 4
+#define        FRF_AB_PCIE_DTX0_LBN 32
+#define        FRF_AB_PCIE_DTX0_WIDTH 4
+#define        FRF_AB_PCIE_DEQ7_LBN 28
+#define        FRF_AB_PCIE_DEQ7_WIDTH 4
+#define        FRF_AB_PCIE_DEQ6_LBN 24
+#define        FRF_AB_PCIE_DEQ6_WIDTH 4
+#define        FRF_AB_PCIE_DEQ5_LBN 20
+#define        FRF_AB_PCIE_DEQ5_WIDTH 4
+#define        FRF_AB_PCIE_DEQ4_LBN 16
+#define        FRF_AB_PCIE_DEQ4_WIDTH 4
+#define        FRF_AB_PCIE_DEQ3_LBN 12
+#define        FRF_AB_PCIE_DEQ3_WIDTH 4
+#define        FRF_AB_PCIE_DEQ2_LBN 8
+#define        FRF_AB_PCIE_DEQ2_WIDTH 4
+#define        FRF_AB_PCIE_DEQ1_LBN 4
+#define        FRF_AB_PCIE_DEQ1_WIDTH 4
+#define        FRF_AB_PCIE_DEQ0_LBN 0
+#define        FRF_AB_PCIE_DEQ0_WIDTH 4
+
+/* PCIE_PCS_CTL_STAT_REG: PCIE PCS control and status register */
+#define        FR_AB_PCIE_PCS_CTL_STAT 0x00000340
+#define        FRF_AB_PCIE_PRBSERRCOUNT0_H_LBN 52
+#define        FRF_AB_PCIE_PRBSERRCOUNT0_H_WIDTH 4
+#define        FRF_AB_PCIE_PRBSERRCOUNT0_L_LBN 48
+#define        FRF_AB_PCIE_PRBSERRCOUNT0_L_WIDTH 4
+#define        FRF_AB_PCIE_PRBSERR_LBN 40
+#define        FRF_AB_PCIE_PRBSERR_WIDTH 8
+#define        FRF_AB_PCIE_PRBSERRH0_LBN 32
+#define        FRF_AB_PCIE_PRBSERRH0_WIDTH 8
+#define        FRF_AB_PCIE_FASTINIT_H_LBN 15
+#define        FRF_AB_PCIE_FASTINIT_H_WIDTH 1
+#define        FRF_AB_PCIE_FASTINIT_L_LBN 14
+#define        FRF_AB_PCIE_FASTINIT_L_WIDTH 1
+#define        FRF_AB_PCIE_CTCDISABLE_H_LBN 13
+#define        FRF_AB_PCIE_CTCDISABLE_H_WIDTH 1
+#define        FRF_AB_PCIE_CTCDISABLE_L_LBN 12
+#define        FRF_AB_PCIE_CTCDISABLE_L_WIDTH 1
+#define        FRF_AB_PCIE_PRBSSYNC_H_LBN 11
+#define        FRF_AB_PCIE_PRBSSYNC_H_WIDTH 1
+#define        FRF_AB_PCIE_PRBSSYNC_L_LBN 10
+#define        FRF_AB_PCIE_PRBSSYNC_L_WIDTH 1
+#define        FRF_AB_PCIE_PRBSERRACK_H_LBN 9
+#define        FRF_AB_PCIE_PRBSERRACK_H_WIDTH 1
+#define        FRF_AB_PCIE_PRBSERRACK_L_LBN 8
+#define        FRF_AB_PCIE_PRBSERRACK_L_WIDTH 1
+#define        FRF_AB_PCIE_PRBSSEL_LBN 0
+#define        FRF_AB_PCIE_PRBSSEL_WIDTH 8
+
+/* DEBUG_DATA_OUT_REG: Live Debug and Debug 2 out ports */
+#define        FR_BB_DEBUG_DATA_OUT 0x00000350
+#define        FRF_BB_DEBUG2_PORT_LBN 25
+#define        FRF_BB_DEBUG2_PORT_WIDTH 15
+#define        FRF_BB_DEBUG1_PORT_LBN 0
+#define        FRF_BB_DEBUG1_PORT_WIDTH 25
+
+/* EVQ_RPTR_REGP0: Event queue read pointer register */
+#define        FR_BZ_EVQ_RPTR_P0 0x00000400
+#define        FR_BZ_EVQ_RPTR_P0_STEP 8192
+#define        FR_BZ_EVQ_RPTR_P0_ROWS 1024
+/* EVQ_RPTR_REG_KER: Event queue read pointer register */
+#define        FR_AA_EVQ_RPTR_KER 0x00011b00
+#define        FR_AA_EVQ_RPTR_KER_STEP 4
+#define        FR_AA_EVQ_RPTR_KER_ROWS 4
+/* EVQ_RPTR_REG: Event queue read pointer register */
+#define        FR_BZ_EVQ_RPTR 0x00fa0000
+#define        FR_BZ_EVQ_RPTR_STEP 16
+#define        FR_BB_EVQ_RPTR_ROWS 4096
+#define        FR_CZ_EVQ_RPTR_ROWS 1024
+/* EVQ_RPTR_REGP123: Event queue read pointer register */
+#define        FR_BB_EVQ_RPTR_P123 0x01000400
+#define        FR_BB_EVQ_RPTR_P123_STEP 8192
+#define        FR_BB_EVQ_RPTR_P123_ROWS 3072
+#define        FRF_AZ_EVQ_RPTR_VLD_LBN 15
+#define        FRF_AZ_EVQ_RPTR_VLD_WIDTH 1
+#define        FRF_AZ_EVQ_RPTR_LBN 0
+#define        FRF_AZ_EVQ_RPTR_WIDTH 15
+
+/* TIMER_COMMAND_REGP0: Timer Command Registers */
+#define        FR_BZ_TIMER_COMMAND_P0 0x00000420
+#define        FR_BZ_TIMER_COMMAND_P0_STEP 8192
+#define        FR_BZ_TIMER_COMMAND_P0_ROWS 1024
+/* TIMER_COMMAND_REG_KER: Timer Command Registers */
+#define        FR_AA_TIMER_COMMAND_KER 0x00000420
+#define        FR_AA_TIMER_COMMAND_KER_STEP 8192
+#define        FR_AA_TIMER_COMMAND_KER_ROWS 4
+/* TIMER_COMMAND_REGP123: Timer Command Registers */
+#define        FR_BB_TIMER_COMMAND_P123 0x01000420
+#define        FR_BB_TIMER_COMMAND_P123_STEP 8192
+#define        FR_BB_TIMER_COMMAND_P123_ROWS 3072
+#define        FRF_CZ_TC_TIMER_MODE_LBN 14
+#define        FRF_CZ_TC_TIMER_MODE_WIDTH 2
+#define        FRF_AB_TC_TIMER_MODE_LBN 12
+#define        FRF_AB_TC_TIMER_MODE_WIDTH 2
+#define        FRF_CZ_TC_TIMER_VAL_LBN 0
+#define        FRF_CZ_TC_TIMER_VAL_WIDTH 14
+#define        FRF_AB_TC_TIMER_VAL_LBN 0
+#define        FRF_AB_TC_TIMER_VAL_WIDTH 12
+
+/* DRV_EV_REG: Driver generated event register */
+#define        FR_AZ_DRV_EV 0x00000440
+#define        FRF_AZ_DRV_EV_QID_LBN 64
+#define        FRF_AZ_DRV_EV_QID_WIDTH 12
+#define        FRF_AZ_DRV_EV_DATA_LBN 0
+#define        FRF_AZ_DRV_EV_DATA_WIDTH 64
+
+/* EVQ_CTL_REG: Event queue control register */
+#define        FR_AZ_EVQ_CTL 0x00000450
+#define        FRF_CZ_RX_EVQ_WAKEUP_MASK_LBN 15
+#define        FRF_CZ_RX_EVQ_WAKEUP_MASK_WIDTH 10
+#define        FRF_BB_RX_EVQ_WAKEUP_MASK_LBN 15
+#define        FRF_BB_RX_EVQ_WAKEUP_MASK_WIDTH 6
+#define        FRF_AZ_EVQ_OWNERR_CTL_LBN 14
+#define        FRF_AZ_EVQ_OWNERR_CTL_WIDTH 1
+#define        FRF_AZ_EVQ_FIFO_AF_TH_LBN 7
+#define        FRF_AZ_EVQ_FIFO_AF_TH_WIDTH 7
+#define        FRF_AZ_EVQ_FIFO_NOTAF_TH_LBN 0
+#define        FRF_AZ_EVQ_FIFO_NOTAF_TH_WIDTH 7
+
+/* EVQ_CNT1_REG: Event counter 1 register */
+#define        FR_AZ_EVQ_CNT1 0x00000460
+#define        FRF_AZ_EVQ_CNT_PRE_FIFO_LBN 120
+#define        FRF_AZ_EVQ_CNT_PRE_FIFO_WIDTH 7
+#define        FRF_AZ_EVQ_CNT_TOBIU_LBN 100
+#define        FRF_AZ_EVQ_CNT_TOBIU_WIDTH 20
+#define        FRF_AZ_EVQ_TX_REQ_CNT_LBN 80
+#define        FRF_AZ_EVQ_TX_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_RX_REQ_CNT_LBN 60
+#define        FRF_AZ_EVQ_RX_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_EM_REQ_CNT_LBN 40
+#define        FRF_AZ_EVQ_EM_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_CSR_REQ_CNT_LBN 20
+#define        FRF_AZ_EVQ_CSR_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_ERR_REQ_CNT_LBN 0
+#define        FRF_AZ_EVQ_ERR_REQ_CNT_WIDTH 20
+
+/* EVQ_CNT2_REG: Event counter 2 register */
+#define        FR_AZ_EVQ_CNT2 0x00000470
+#define        FRF_AZ_EVQ_UPD_REQ_CNT_LBN 104
+#define        FRF_AZ_EVQ_UPD_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_CLR_REQ_CNT_LBN 84
+#define        FRF_AZ_EVQ_CLR_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_RDY_CNT_LBN 80
+#define        FRF_AZ_EVQ_RDY_CNT_WIDTH 4
+#define        FRF_AZ_EVQ_WU_REQ_CNT_LBN 60
+#define        FRF_AZ_EVQ_WU_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_WET_REQ_CNT_LBN 40
+#define        FRF_AZ_EVQ_WET_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_INIT_REQ_CNT_LBN 20
+#define        FRF_AZ_EVQ_INIT_REQ_CNT_WIDTH 20
+#define        FRF_AZ_EVQ_TM_REQ_CNT_LBN 0
+#define        FRF_AZ_EVQ_TM_REQ_CNT_WIDTH 20
+
+/* USR_EV_REG: Event mailbox register */
+#define        FR_CZ_USR_EV 0x00000540
+#define        FR_CZ_USR_EV_STEP 8192
+#define        FR_CZ_USR_EV_ROWS 1024
+#define        FRF_CZ_USR_EV_DATA_LBN 0
+#define        FRF_CZ_USR_EV_DATA_WIDTH 32
+
+/* BUF_TBL_CFG_REG: Buffer table configuration register */
+#define        FR_AZ_BUF_TBL_CFG 0x00000600
+#define        FRF_AZ_BUF_TBL_MODE_LBN 3
+#define        FRF_AZ_BUF_TBL_MODE_WIDTH 1
+
+/* SRM_RX_DC_CFG_REG: SRAM receive descriptor cache configuration register */
+#define        FR_AZ_SRM_RX_DC_CFG 0x00000610
+#define        FRF_AZ_SRM_CLK_TMP_EN_LBN 21
+#define        FRF_AZ_SRM_CLK_TMP_EN_WIDTH 1
+#define        FRF_AZ_SRM_RX_DC_BASE_ADR_LBN 0
+#define        FRF_AZ_SRM_RX_DC_BASE_ADR_WIDTH 21
+
+/* SRM_TX_DC_CFG_REG: SRAM transmit descriptor cache configuration register */
+#define        FR_AZ_SRM_TX_DC_CFG 0x00000620
+#define        FRF_AZ_SRM_TX_DC_BASE_ADR_LBN 0
+#define        FRF_AZ_SRM_TX_DC_BASE_ADR_WIDTH 21
+
+/* SRM_CFG_REG: SRAM configuration register */
+#define        FR_AZ_SRM_CFG 0x00000630
+#define        FRF_AZ_SRM_OOB_ADR_INTEN_LBN 5
+#define        FRF_AZ_SRM_OOB_ADR_INTEN_WIDTH 1
+#define        FRF_AZ_SRM_OOB_BUF_INTEN_LBN 4
+#define        FRF_AZ_SRM_OOB_BUF_INTEN_WIDTH 1
+#define        FRF_AZ_SRM_INIT_EN_LBN 3
+#define        FRF_AZ_SRM_INIT_EN_WIDTH 1
+#define        FRF_AZ_SRM_NUM_BANK_LBN 2
+#define        FRF_AZ_SRM_NUM_BANK_WIDTH 1
+#define        FRF_AZ_SRM_BANK_SIZE_LBN 0
+#define        FRF_AZ_SRM_BANK_SIZE_WIDTH 2
+
+/* BUF_TBL_UPD_REG: Buffer table update register */
+#define        FR_AZ_BUF_TBL_UPD 0x00000650
+#define        FRF_AZ_BUF_UPD_CMD_LBN 63
+#define        FRF_AZ_BUF_UPD_CMD_WIDTH 1
+#define        FRF_AZ_BUF_CLR_CMD_LBN 62
+#define        FRF_AZ_BUF_CLR_CMD_WIDTH 1
+#define        FRF_AZ_BUF_CLR_END_ID_LBN 32
+#define        FRF_AZ_BUF_CLR_END_ID_WIDTH 20
+#define        FRF_AZ_BUF_CLR_START_ID_LBN 0
+#define        FRF_AZ_BUF_CLR_START_ID_WIDTH 20
+
+/* SRM_UPD_EVQ_REG: Buffer table update register */
+#define        FR_AZ_SRM_UPD_EVQ 0x00000660
+#define        FRF_AZ_SRM_UPD_EVQ_ID_LBN 0
+#define        FRF_AZ_SRM_UPD_EVQ_ID_WIDTH 12
+
+/* SRAM_PARITY_REG: SRAM parity register. */
+#define        FR_AZ_SRAM_PARITY 0x00000670
+#define        FRF_CZ_BYPASS_ECC_LBN 3
+#define        FRF_CZ_BYPASS_ECC_WIDTH 1
+#define        FRF_CZ_SEC_INT_LBN 2
+#define        FRF_CZ_SEC_INT_WIDTH 1
+#define        FRF_CZ_FORCE_SRAM_DOUBLE_ERR_LBN 1
+#define        FRF_CZ_FORCE_SRAM_DOUBLE_ERR_WIDTH 1
+#define        FRF_AB_FORCE_SRAM_PERR_LBN 0
+#define        FRF_AB_FORCE_SRAM_PERR_WIDTH 1
+#define        FRF_CZ_FORCE_SRAM_SINGLE_ERR_LBN 0
+#define        FRF_CZ_FORCE_SRAM_SINGLE_ERR_WIDTH 1
+
+/* RX_CFG_REG: Receive configuration register */
+#define        FR_AZ_RX_CFG 0x00000800
+#define        FRF_CZ_RX_MIN_KBUF_SIZE_LBN 72
+#define        FRF_CZ_RX_MIN_KBUF_SIZE_WIDTH 14
+#define        FRF_CZ_RX_HDR_SPLIT_EN_LBN 71
+#define        FRF_CZ_RX_HDR_SPLIT_EN_WIDTH 1
+#define        FRF_CZ_RX_HDR_SPLIT_PLD_BUF_SIZE_LBN 62
+#define        FRF_CZ_RX_HDR_SPLIT_PLD_BUF_SIZE_WIDTH 9
+#define        FRF_CZ_RX_HDR_SPLIT_HDR_BUF_SIZE_LBN 53
+#define        FRF_CZ_RX_HDR_SPLIT_HDR_BUF_SIZE_WIDTH 9
+#define        FRF_CZ_RX_PRE_RFF_IPG_LBN 49
+#define        FRF_CZ_RX_PRE_RFF_IPG_WIDTH 4
+#define        FRF_BZ_RX_TCP_SUP_LBN 48
+#define        FRF_BZ_RX_TCP_SUP_WIDTH 1
+#define        FRF_BZ_RX_INGR_EN_LBN 47
+#define        FRF_BZ_RX_INGR_EN_WIDTH 1
+#define        FRF_BZ_RX_IP_HASH_LBN 46
+#define        FRF_BZ_RX_IP_HASH_WIDTH 1
+#define        FRF_BZ_RX_HASH_ALG_LBN 45
+#define        FRF_BZ_RX_HASH_ALG_WIDTH 1
+#define        FRF_BZ_RX_HASH_INSRT_HDR_LBN 44
+#define        FRF_BZ_RX_HASH_INSRT_HDR_WIDTH 1
+#define        FRF_BZ_RX_DESC_PUSH_EN_LBN 43
+#define        FRF_BZ_RX_DESC_PUSH_EN_WIDTH 1
+#define        FRF_BZ_RX_RDW_PATCH_EN_LBN 42
+#define        FRF_BZ_RX_RDW_PATCH_EN_WIDTH 1
+#define        FRF_BB_RX_PCI_BURST_SIZE_LBN 39
+#define        FRF_BB_RX_PCI_BURST_SIZE_WIDTH 3
+#define        FRF_BZ_RX_OWNERR_CTL_LBN 38
+#define        FRF_BZ_RX_OWNERR_CTL_WIDTH 1
+#define        FRF_BZ_RX_XON_TX_TH_LBN 33
+#define        FRF_BZ_RX_XON_TX_TH_WIDTH 5
+#define        FRF_AA_RX_DESC_PUSH_EN_LBN 35
+#define        FRF_AA_RX_DESC_PUSH_EN_WIDTH 1
+#define        FRF_AA_RX_RDW_PATCH_EN_LBN 34
+#define        FRF_AA_RX_RDW_PATCH_EN_WIDTH 1
+#define        FRF_AA_RX_PCI_BURST_SIZE_LBN 31
+#define        FRF_AA_RX_PCI_BURST_SIZE_WIDTH 3
+#define        FRF_BZ_RX_XOFF_TX_TH_LBN 28
+#define        FRF_BZ_RX_XOFF_TX_TH_WIDTH 5
+#define        FRF_AA_RX_OWNERR_CTL_LBN 30
+#define        FRF_AA_RX_OWNERR_CTL_WIDTH 1
+#define        FRF_AA_RX_XON_TX_TH_LBN 25
+#define        FRF_AA_RX_XON_TX_TH_WIDTH 5
+#define        FRF_BZ_RX_USR_BUF_SIZE_LBN 19
+#define        FRF_BZ_RX_USR_BUF_SIZE_WIDTH 9
+#define        FRF_AA_RX_XOFF_TX_TH_LBN 20
+#define        FRF_AA_RX_XOFF_TX_TH_WIDTH 5
+#define        FRF_AA_RX_USR_BUF_SIZE_LBN 11
+#define        FRF_AA_RX_USR_BUF_SIZE_WIDTH 9
+#define        FRF_BZ_RX_XON_MAC_TH_LBN 10
+#define        FRF_BZ_RX_XON_MAC_TH_WIDTH 9
+#define        FRF_AA_RX_XON_MAC_TH_LBN 6
+#define        FRF_AA_RX_XON_MAC_TH_WIDTH 5
+#define        FRF_BZ_RX_XOFF_MAC_TH_LBN 1
+#define        FRF_BZ_RX_XOFF_MAC_TH_WIDTH 9
+#define        FRF_AA_RX_XOFF_MAC_TH_LBN 1
+#define        FRF_AA_RX_XOFF_MAC_TH_WIDTH 5
+#define        FRF_AZ_RX_XOFF_MAC_EN_LBN 0
+#define        FRF_AZ_RX_XOFF_MAC_EN_WIDTH 1
+
+/* RX_FILTER_CTL_REG: Receive filter control registers */
+#define        FR_BZ_RX_FILTER_CTL 0x00000810
+#define        FRF_CZ_ETHERNET_WILDCARD_SEARCH_LIMIT_LBN 94
+#define        FRF_CZ_ETHERNET_WILDCARD_SEARCH_LIMIT_WIDTH 8
+#define        FRF_CZ_ETHERNET_FULL_SEARCH_LIMIT_LBN 86
+#define        FRF_CZ_ETHERNET_FULL_SEARCH_LIMIT_WIDTH 8
+#define        FRF_CZ_RX_FILTER_ALL_VLAN_ETHERTYPES_LBN 85
+#define        FRF_CZ_RX_FILTER_ALL_VLAN_ETHERTYPES_WIDTH 1
+#define        FRF_CZ_RX_VLAN_MATCH_ETHERTYPE_LBN 69
+#define        FRF_CZ_RX_VLAN_MATCH_ETHERTYPE_WIDTH 16
+#define        FRF_CZ_MULTICAST_NOMATCH_Q_ID_LBN 57
+#define        FRF_CZ_MULTICAST_NOMATCH_Q_ID_WIDTH 12
+#define        FRF_CZ_MULTICAST_NOMATCH_RSS_ENABLED_LBN 56
+#define        FRF_CZ_MULTICAST_NOMATCH_RSS_ENABLED_WIDTH 1
+#define        FRF_CZ_MULTICAST_NOMATCH_IP_OVERRIDE_LBN 55
+#define        FRF_CZ_MULTICAST_NOMATCH_IP_OVERRIDE_WIDTH 1
+#define        FRF_CZ_UNICAST_NOMATCH_Q_ID_LBN 43
+#define        FRF_CZ_UNICAST_NOMATCH_Q_ID_WIDTH 12
+#define        FRF_CZ_UNICAST_NOMATCH_RSS_ENABLED_LBN 42
+#define        FRF_CZ_UNICAST_NOMATCH_RSS_ENABLED_WIDTH 1
+#define        FRF_CZ_UNICAST_NOMATCH_IP_OVERRIDE_LBN 41
+#define        FRF_CZ_UNICAST_NOMATCH_IP_OVERRIDE_WIDTH 1
+#define        FRF_BZ_SCATTER_ENBL_NO_MATCH_Q_LBN 40
+#define        FRF_BZ_SCATTER_ENBL_NO_MATCH_Q_WIDTH 1
+#define        FRF_BZ_UDP_FULL_SRCH_LIMIT_LBN 32
+#define        FRF_BZ_UDP_FULL_SRCH_LIMIT_WIDTH 8
+#define        FRF_BZ_NUM_KER_LBN 24
+#define        FRF_BZ_NUM_KER_WIDTH 2
+#define        FRF_BZ_UDP_WILD_SRCH_LIMIT_LBN 16
+#define        FRF_BZ_UDP_WILD_SRCH_LIMIT_WIDTH 8
+#define        FRF_BZ_TCP_WILD_SRCH_LIMIT_LBN 8
+#define        FRF_BZ_TCP_WILD_SRCH_LIMIT_WIDTH 8
+#define        FRF_BZ_TCP_FULL_SRCH_LIMIT_LBN 0
+#define        FRF_BZ_TCP_FULL_SRCH_LIMIT_WIDTH 8
+
+/* RX_FLUSH_DESCQ_REG: Receive flush descriptor queue register */
+#define        FR_AZ_RX_FLUSH_DESCQ 0x00000820
+#define        FRF_AZ_RX_FLUSH_DESCQ_CMD_LBN 24
+#define        FRF_AZ_RX_FLUSH_DESCQ_CMD_WIDTH 1
+#define        FRF_AZ_RX_FLUSH_DESCQ_LBN 0
+#define        FRF_AZ_RX_FLUSH_DESCQ_WIDTH 12
+
+/* RX_DESC_UPD_REGP0: Receive descriptor update register. */
+#define        FR_BZ_RX_DESC_UPD_P0 0x00000830
+#define        FR_BZ_RX_DESC_UPD_P0_STEP 8192
+#define        FR_BZ_RX_DESC_UPD_P0_ROWS 1024
+/* RX_DESC_UPD_REG_KER: Receive descriptor update register. */
+#define        FR_AA_RX_DESC_UPD_KER 0x00000830
+#define        FR_AA_RX_DESC_UPD_KER_STEP 8192
+#define        FR_AA_RX_DESC_UPD_KER_ROWS 4
+/* RX_DESC_UPD_REGP123: Receive descriptor update register. */
+#define        FR_BB_RX_DESC_UPD_P123 0x01000830
+#define        FR_BB_RX_DESC_UPD_P123_STEP 8192
+#define        FR_BB_RX_DESC_UPD_P123_ROWS 3072
+#define        FRF_AZ_RX_DESC_WPTR_LBN 96
+#define        FRF_AZ_RX_DESC_WPTR_WIDTH 12
+#define        FRF_AZ_RX_DESC_PUSH_CMD_LBN 95
+#define        FRF_AZ_RX_DESC_PUSH_CMD_WIDTH 1
+#define        FRF_AZ_RX_DESC_LBN 0
+#define        FRF_AZ_RX_DESC_WIDTH 64
+
+/* RX_DC_CFG_REG: Receive descriptor cache configuration register */
+#define        FR_AZ_RX_DC_CFG 0x00000840
+#define        FRF_AB_RX_MAX_PF_LBN 2
+#define        FRF_AB_RX_MAX_PF_WIDTH 2
+#define        FRF_AZ_RX_DC_SIZE_LBN 0
+#define        FRF_AZ_RX_DC_SIZE_WIDTH 2
+#define        FFE_AZ_RX_DC_SIZE_64 3
+#define        FFE_AZ_RX_DC_SIZE_32 2
+#define        FFE_AZ_RX_DC_SIZE_16 1
+#define        FFE_AZ_RX_DC_SIZE_8 0
+
+/* RX_DC_PF_WM_REG: Receive descriptor cache pre-fetch watermark register */
+#define        FR_AZ_RX_DC_PF_WM 0x00000850
+#define        FRF_AZ_RX_DC_PF_HWM_LBN 6
+#define        FRF_AZ_RX_DC_PF_HWM_WIDTH 6
+#define        FRF_AZ_RX_DC_PF_LWM_LBN 0
+#define        FRF_AZ_RX_DC_PF_LWM_WIDTH 6
+
+/* RX_RSS_TKEY_REG: RSS Toeplitz hash key */
+#define        FR_BZ_RX_RSS_TKEY 0x00000860
+#define        FRF_BZ_RX_RSS_TKEY_HI_LBN 64
+#define        FRF_BZ_RX_RSS_TKEY_HI_WIDTH 64
+#define        FRF_BZ_RX_RSS_TKEY_LO_LBN 0
+#define        FRF_BZ_RX_RSS_TKEY_LO_WIDTH 64
+
+/* RX_NODESC_DROP_REG: Receive dropped packet counter register */
+#define        FR_AZ_RX_NODESC_DROP 0x00000880
+#define        FRF_CZ_RX_NODESC_DROP_CNT_LBN 0
+#define        FRF_CZ_RX_NODESC_DROP_CNT_WIDTH 32
+#define        FRF_AB_RX_NODESC_DROP_CNT_LBN 0
+#define        FRF_AB_RX_NODESC_DROP_CNT_WIDTH 16
+
+/* RX_SELF_RST_REG: Receive self reset register */
+#define        FR_AA_RX_SELF_RST 0x00000890
+#define        FRF_AA_RX_ISCSI_DIS_LBN 17
+#define        FRF_AA_RX_ISCSI_DIS_WIDTH 1
+#define        FRF_AA_RX_SW_RST_REG_LBN 16
+#define        FRF_AA_RX_SW_RST_REG_WIDTH 1
+#define FRF_AA_RX_NODESC_WAIT_DIS_LBN 9
+#define FRF_AA_RX_NODESC_WAIT_DIS_WIDTH 1
+#define        FRF_AA_RX_SELF_RST_EN_LBN 8
+#define        FRF_AA_RX_SELF_RST_EN_WIDTH 1
+#define        FRF_AA_RX_MAX_PF_LAT_LBN 4
+#define        FRF_AA_RX_MAX_PF_LAT_WIDTH 4
+#define        FRF_AA_RX_MAX_LU_LAT_LBN 0
+#define        FRF_AA_RX_MAX_LU_LAT_WIDTH 4
+
+/* RX_DEBUG_REG: undocumented register */
+#define        FR_AZ_RX_DEBUG 0x000008a0
+#define        FRF_AZ_RX_DEBUG_LBN 0
+#define        FRF_AZ_RX_DEBUG_WIDTH 64
+
+/* RX_PUSH_DROP_REG: Receive descriptor push dropped counter register */
+#define        FR_AZ_RX_PUSH_DROP 0x000008b0
+#define        FRF_AZ_RX_PUSH_DROP_CNT_LBN 0
+#define        FRF_AZ_RX_PUSH_DROP_CNT_WIDTH 32
+
+/* RX_RSS_IPV6_REG1: IPv6 RSS Toeplitz hash key low bytes */
+#define        FR_CZ_RX_RSS_IPV6_REG1 0x000008d0
+#define        FRF_CZ_RX_RSS_IPV6_TKEY_LO_LBN 0
+#define        FRF_CZ_RX_RSS_IPV6_TKEY_LO_WIDTH 128
+
+/* RX_RSS_IPV6_REG2: IPv6 RSS Toeplitz hash key middle bytes */
+#define        FR_CZ_RX_RSS_IPV6_REG2 0x000008e0
+#define        FRF_CZ_RX_RSS_IPV6_TKEY_MID_LBN 0
+#define        FRF_CZ_RX_RSS_IPV6_TKEY_MID_WIDTH 128
+
+/* RX_RSS_IPV6_REG3: IPv6 RSS Toeplitz hash key upper bytes and IPv6 RSS settings */
+#define        FR_CZ_RX_RSS_IPV6_REG3 0x000008f0
+#define        FRF_CZ_RX_RSS_IPV6_THASH_ENABLE_LBN 66
+#define        FRF_CZ_RX_RSS_IPV6_THASH_ENABLE_WIDTH 1
+#define        FRF_CZ_RX_RSS_IPV6_IP_THASH_ENABLE_LBN 65
+#define        FRF_CZ_RX_RSS_IPV6_IP_THASH_ENABLE_WIDTH 1
+#define        FRF_CZ_RX_RSS_IPV6_TCP_SUPPRESS_LBN 64
+#define        FRF_CZ_RX_RSS_IPV6_TCP_SUPPRESS_WIDTH 1
+#define        FRF_CZ_RX_RSS_IPV6_TKEY_HI_LBN 0
+#define        FRF_CZ_RX_RSS_IPV6_TKEY_HI_WIDTH 64
+
+/* TX_FLUSH_DESCQ_REG: Transmit flush descriptor queue register */
+#define        FR_AZ_TX_FLUSH_DESCQ 0x00000a00
+#define        FRF_AZ_TX_FLUSH_DESCQ_CMD_LBN 12
+#define        FRF_AZ_TX_FLUSH_DESCQ_CMD_WIDTH 1
+#define        FRF_AZ_TX_FLUSH_DESCQ_LBN 0
+#define        FRF_AZ_TX_FLUSH_DESCQ_WIDTH 12
+
+/* TX_DESC_UPD_REGP0: Transmit descriptor update register. */
+#define        FR_BZ_TX_DESC_UPD_P0 0x00000a10
+#define        FR_BZ_TX_DESC_UPD_P0_STEP 8192
+#define        FR_BZ_TX_DESC_UPD_P0_ROWS 1024
+/* TX_DESC_UPD_REG_KER: Transmit descriptor update register. */
+#define        FR_AA_TX_DESC_UPD_KER 0x00000a10
+#define        FR_AA_TX_DESC_UPD_KER_STEP 8192
+#define        FR_AA_TX_DESC_UPD_KER_ROWS 8
+/* TX_DESC_UPD_REGP123: Transmit descriptor update register. */
+#define        FR_BB_TX_DESC_UPD_P123 0x01000a10
+#define        FR_BB_TX_DESC_UPD_P123_STEP 8192
+#define        FR_BB_TX_DESC_UPD_P123_ROWS 3072
+#define        FRF_AZ_TX_DESC_WPTR_LBN 96
+#define        FRF_AZ_TX_DESC_WPTR_WIDTH 12
+#define        FRF_AZ_TX_DESC_PUSH_CMD_LBN 95
+#define        FRF_AZ_TX_DESC_PUSH_CMD_WIDTH 1
+#define        FRF_AZ_TX_DESC_LBN 0
+#define        FRF_AZ_TX_DESC_WIDTH 95
+
+/* TX_DC_CFG_REG: Transmit descriptor cache configuration register */
+#define        FR_AZ_TX_DC_CFG 0x00000a20
+#define        FRF_AZ_TX_DC_SIZE_LBN 0
+#define        FRF_AZ_TX_DC_SIZE_WIDTH 2
+#define        FFE_AZ_TX_DC_SIZE_32 2
+#define        FFE_AZ_TX_DC_SIZE_16 1
+#define        FFE_AZ_TX_DC_SIZE_8 0
+
+/* TX_CHKSM_CFG_REG: Transmit checksum configuration register */
+#define        FR_AA_TX_CHKSM_CFG 0x00000a30
+#define        FRF_AA_TX_Q_CHKSM_DIS_96_127_LBN 96
+#define        FRF_AA_TX_Q_CHKSM_DIS_96_127_WIDTH 32
+#define        FRF_AA_TX_Q_CHKSM_DIS_64_95_LBN 64
+#define        FRF_AA_TX_Q_CHKSM_DIS_64_95_WIDTH 32
+#define        FRF_AA_TX_Q_CHKSM_DIS_32_63_LBN 32
+#define        FRF_AA_TX_Q_CHKSM_DIS_32_63_WIDTH 32
+#define        FRF_AA_TX_Q_CHKSM_DIS_0_31_LBN 0
+#define        FRF_AA_TX_Q_CHKSM_DIS_0_31_WIDTH 32
+
+/* TX_CFG_REG: Transmit configuration register */
+#define        FR_AZ_TX_CFG 0x00000a50
+#define        FRF_CZ_TX_CONT_LOOKUP_THRESH_RANGE_LBN 114
+#define        FRF_CZ_TX_CONT_LOOKUP_THRESH_RANGE_WIDTH 8
+#define        FRF_CZ_TX_FILTER_TEST_MODE_BIT_LBN 113
+#define        FRF_CZ_TX_FILTER_TEST_MODE_BIT_WIDTH 1
+#define        FRF_CZ_TX_ETH_FILTER_WILD_SEARCH_RANGE_LBN 105
+#define        FRF_CZ_TX_ETH_FILTER_WILD_SEARCH_RANGE_WIDTH 8
+#define        FRF_CZ_TX_ETH_FILTER_FULL_SEARCH_RANGE_LBN 97
+#define        FRF_CZ_TX_ETH_FILTER_FULL_SEARCH_RANGE_WIDTH 8
+#define        FRF_CZ_TX_UDPIP_FILTER_WILD_SEARCH_RANGE_LBN 89
+#define        FRF_CZ_TX_UDPIP_FILTER_WILD_SEARCH_RANGE_WIDTH 8
+#define        FRF_CZ_TX_UDPIP_FILTER_FULL_SEARCH_RANGE_LBN 81
+#define        FRF_CZ_TX_UDPIP_FILTER_FULL_SEARCH_RANGE_WIDTH 8
+#define        FRF_CZ_TX_TCPIP_FILTER_WILD_SEARCH_RANGE_LBN 73
+#define        FRF_CZ_TX_TCPIP_FILTER_WILD_SEARCH_RANGE_WIDTH 8
+#define        FRF_CZ_TX_TCPIP_FILTER_FULL_SEARCH_RANGE_LBN 65
+#define        FRF_CZ_TX_TCPIP_FILTER_FULL_SEARCH_RANGE_WIDTH 8
+#define        FRF_CZ_TX_FILTER_ALL_VLAN_ETHERTYPES_BIT_LBN 64
+#define        FRF_CZ_TX_FILTER_ALL_VLAN_ETHERTYPES_BIT_WIDTH 1
+#define        FRF_CZ_TX_VLAN_MATCH_ETHERTYPE_RANGE_LBN 48
+#define        FRF_CZ_TX_VLAN_MATCH_ETHERTYPE_RANGE_WIDTH 16
+#define        FRF_CZ_TX_FILTER_EN_BIT_LBN 47
+#define        FRF_CZ_TX_FILTER_EN_BIT_WIDTH 1
+#define        FRF_AZ_TX_IP_ID_P0_OFS_LBN 16
+#define        FRF_AZ_TX_IP_ID_P0_OFS_WIDTH 15
+#define        FRF_AZ_TX_NO_EOP_DISC_EN_LBN 5
+#define        FRF_AZ_TX_NO_EOP_DISC_EN_WIDTH 1
+#define        FRF_AZ_TX_P1_PRI_EN_LBN 4
+#define        FRF_AZ_TX_P1_PRI_EN_WIDTH 1
+#define        FRF_AZ_TX_OWNERR_CTL_LBN 2
+#define        FRF_AZ_TX_OWNERR_CTL_WIDTH 1
+#define        FRF_AA_TX_NON_IP_DROP_DIS_LBN 1
+#define        FRF_AA_TX_NON_IP_DROP_DIS_WIDTH 1
+#define        FRF_AZ_TX_IP_ID_REP_EN_LBN 0
+#define        FRF_AZ_TX_IP_ID_REP_EN_WIDTH 1
+
+/* TX_PUSH_DROP_REG: Transmit push dropped register */
+#define        FR_AZ_TX_PUSH_DROP 0x00000a60
+#define        FRF_AZ_TX_PUSH_DROP_CNT_LBN 0
+#define        FRF_AZ_TX_PUSH_DROP_CNT_WIDTH 32
+
+/* TX_RESERVED_REG: Transmit configuration register */
+#define        FR_AZ_TX_RESERVED 0x00000a80
+#define        FRF_AZ_TX_EVT_CNT_LBN 121
+#define        FRF_AZ_TX_EVT_CNT_WIDTH 7
+#define        FRF_AZ_TX_PREF_AGE_CNT_LBN 119
+#define        FRF_AZ_TX_PREF_AGE_CNT_WIDTH 2
+#define        FRF_AZ_TX_RD_COMP_TMR_LBN 96
+#define        FRF_AZ_TX_RD_COMP_TMR_WIDTH 23
+#define        FRF_AZ_TX_PUSH_EN_LBN 89
+#define        FRF_AZ_TX_PUSH_EN_WIDTH 1
+#define        FRF_AZ_TX_PUSH_CHK_DIS_LBN 88
+#define        FRF_AZ_TX_PUSH_CHK_DIS_WIDTH 1
+#define        FRF_AZ_TX_D_FF_FULL_P0_LBN 85
+#define        FRF_AZ_TX_D_FF_FULL_P0_WIDTH 1
+#define        FRF_AZ_TX_DMAR_ST_P0_LBN 81
+#define        FRF_AZ_TX_DMAR_ST_P0_WIDTH 1
+#define        FRF_AZ_TX_DMAQ_ST_LBN 78
+#define        FRF_AZ_TX_DMAQ_ST_WIDTH 1
+#define        FRF_AZ_TX_RX_SPACER_LBN 64
+#define        FRF_AZ_TX_RX_SPACER_WIDTH 8
+#define        FRF_AZ_TX_DROP_ABORT_EN_LBN 60
+#define        FRF_AZ_TX_DROP_ABORT_EN_WIDTH 1
+#define        FRF_AZ_TX_SOFT_EVT_EN_LBN 59
+#define        FRF_AZ_TX_SOFT_EVT_EN_WIDTH 1
+#define        FRF_AZ_TX_PS_EVT_DIS_LBN 58
+#define        FRF_AZ_TX_PS_EVT_DIS_WIDTH 1
+#define        FRF_AZ_TX_RX_SPACER_EN_LBN 57
+#define        FRF_AZ_TX_RX_SPACER_EN_WIDTH 1
+#define        FRF_AZ_TX_XP_TIMER_LBN 52
+#define        FRF_AZ_TX_XP_TIMER_WIDTH 5
+#define        FRF_AZ_TX_PREF_SPACER_LBN 44
+#define        FRF_AZ_TX_PREF_SPACER_WIDTH 8
+#define        FRF_AZ_TX_PREF_WD_TMR_LBN 22
+#define        FRF_AZ_TX_PREF_WD_TMR_WIDTH 22
+#define        FRF_AZ_TX_ONLY1TAG_LBN 21
+#define        FRF_AZ_TX_ONLY1TAG_WIDTH 1
+#define        FRF_AZ_TX_PREF_THRESHOLD_LBN 19
+#define        FRF_AZ_TX_PREF_THRESHOLD_WIDTH 2
+#define        FRF_AZ_TX_ONE_PKT_PER_Q_LBN 18
+#define        FRF_AZ_TX_ONE_PKT_PER_Q_WIDTH 1
+#define        FRF_AZ_TX_DIS_NON_IP_EV_LBN 17
+#define        FRF_AZ_TX_DIS_NON_IP_EV_WIDTH 1
+#define        FRF_AA_TX_DMA_FF_THR_LBN 16
+#define        FRF_AA_TX_DMA_FF_THR_WIDTH 1
+#define        FRF_AZ_TX_DMA_SPACER_LBN 8
+#define        FRF_AZ_TX_DMA_SPACER_WIDTH 8
+#define        FRF_AA_TX_TCP_DIS_LBN 7
+#define        FRF_AA_TX_TCP_DIS_WIDTH 1
+#define        FRF_BZ_TX_FLUSH_MIN_LEN_EN_LBN 7
+#define        FRF_BZ_TX_FLUSH_MIN_LEN_EN_WIDTH 1
+#define        FRF_AA_TX_IP_DIS_LBN 6
+#define        FRF_AA_TX_IP_DIS_WIDTH 1
+#define        FRF_AZ_TX_MAX_CPL_LBN 2
+#define        FRF_AZ_TX_MAX_CPL_WIDTH 2
+#define        FFE_AZ_TX_MAX_CPL_16 3
+#define        FFE_AZ_TX_MAX_CPL_8 2
+#define        FFE_AZ_TX_MAX_CPL_4 1
+#define        FFE_AZ_TX_MAX_CPL_NOLIMIT 0
+#define        FRF_AZ_TX_MAX_PREF_LBN 0
+#define        FRF_AZ_TX_MAX_PREF_WIDTH 2
+#define        FFE_AZ_TX_MAX_PREF_32 3
+#define        FFE_AZ_TX_MAX_PREF_16 2
+#define        FFE_AZ_TX_MAX_PREF_8 1
+#define        FFE_AZ_TX_MAX_PREF_OFF 0
+
+/* TX_PACE_REG: Transmit pace control register */
+#define        FR_BZ_TX_PACE 0x00000a90
+#define        FRF_BZ_TX_PACE_SB_NOT_AF_LBN 19
+#define        FRF_BZ_TX_PACE_SB_NOT_AF_WIDTH 10
+#define        FRF_BZ_TX_PACE_SB_AF_LBN 9
+#define        FRF_BZ_TX_PACE_SB_AF_WIDTH 10
+#define        FRF_BZ_TX_PACE_FB_BASE_LBN 5
+#define        FRF_BZ_TX_PACE_FB_BASE_WIDTH 4
+#define        FRF_BZ_TX_PACE_BIN_TH_LBN 0
+#define        FRF_BZ_TX_PACE_BIN_TH_WIDTH 5
+
+/* TX_PACE_DROP_QID_REG: PACE Drop QID Counter */
+#define        FR_BZ_TX_PACE_DROP_QID 0x00000aa0
+#define        FRF_BZ_TX_PACE_QID_DRP_CNT_LBN 0
+#define        FRF_BZ_TX_PACE_QID_DRP_CNT_WIDTH 16
+
+/* TX_VLAN_REG: Transmit VLAN tag register */
+#define        FR_BB_TX_VLAN 0x00000ae0
+#define        FRF_BB_TX_VLAN_EN_LBN 127
+#define        FRF_BB_TX_VLAN_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN7_PORT1_EN_LBN 125
+#define        FRF_BB_TX_VLAN7_PORT1_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN7_PORT0_EN_LBN 124
+#define        FRF_BB_TX_VLAN7_PORT0_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN7_LBN 112
+#define        FRF_BB_TX_VLAN7_WIDTH 12
+#define        FRF_BB_TX_VLAN6_PORT1_EN_LBN 109
+#define        FRF_BB_TX_VLAN6_PORT1_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN6_PORT0_EN_LBN 108
+#define        FRF_BB_TX_VLAN6_PORT0_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN6_LBN 96
+#define        FRF_BB_TX_VLAN6_WIDTH 12
+#define        FRF_BB_TX_VLAN5_PORT1_EN_LBN 93
+#define        FRF_BB_TX_VLAN5_PORT1_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN5_PORT0_EN_LBN 92
+#define        FRF_BB_TX_VLAN5_PORT0_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN5_LBN 80
+#define        FRF_BB_TX_VLAN5_WIDTH 12
+#define        FRF_BB_TX_VLAN4_PORT1_EN_LBN 77
+#define        FRF_BB_TX_VLAN4_PORT1_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN4_PORT0_EN_LBN 76
+#define        FRF_BB_TX_VLAN4_PORT0_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN4_LBN 64
+#define        FRF_BB_TX_VLAN4_WIDTH 12
+#define        FRF_BB_TX_VLAN3_PORT1_EN_LBN 61
+#define        FRF_BB_TX_VLAN3_PORT1_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN3_PORT0_EN_LBN 60
+#define        FRF_BB_TX_VLAN3_PORT0_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN3_LBN 48
+#define        FRF_BB_TX_VLAN3_WIDTH 12
+#define        FRF_BB_TX_VLAN2_PORT1_EN_LBN 45
+#define        FRF_BB_TX_VLAN2_PORT1_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN2_PORT0_EN_LBN 44
+#define        FRF_BB_TX_VLAN2_PORT0_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN2_LBN 32
+#define        FRF_BB_TX_VLAN2_WIDTH 12
+#define        FRF_BB_TX_VLAN1_PORT1_EN_LBN 29
+#define        FRF_BB_TX_VLAN1_PORT1_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN1_PORT0_EN_LBN 28
+#define        FRF_BB_TX_VLAN1_PORT0_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN1_LBN 16
+#define        FRF_BB_TX_VLAN1_WIDTH 12
+#define        FRF_BB_TX_VLAN0_PORT1_EN_LBN 13
+#define        FRF_BB_TX_VLAN0_PORT1_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN0_PORT0_EN_LBN 12
+#define        FRF_BB_TX_VLAN0_PORT0_EN_WIDTH 1
+#define        FRF_BB_TX_VLAN0_LBN 0
+#define        FRF_BB_TX_VLAN0_WIDTH 12
+
+/* TX_IPFIL_PORTEN_REG: Transmit filter control register */
+#define        FR_BZ_TX_IPFIL_PORTEN 0x00000af0
+#define        FRF_BZ_TX_MADR0_FIL_EN_LBN 64
+#define        FRF_BZ_TX_MADR0_FIL_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL31_PORT_EN_LBN 62
+#define        FRF_BB_TX_IPFIL31_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL30_PORT_EN_LBN 60
+#define        FRF_BB_TX_IPFIL30_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL29_PORT_EN_LBN 58
+#define        FRF_BB_TX_IPFIL29_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL28_PORT_EN_LBN 56
+#define        FRF_BB_TX_IPFIL28_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL27_PORT_EN_LBN 54
+#define        FRF_BB_TX_IPFIL27_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL26_PORT_EN_LBN 52
+#define        FRF_BB_TX_IPFIL26_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL25_PORT_EN_LBN 50
+#define        FRF_BB_TX_IPFIL25_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL24_PORT_EN_LBN 48
+#define        FRF_BB_TX_IPFIL24_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL23_PORT_EN_LBN 46
+#define        FRF_BB_TX_IPFIL23_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL22_PORT_EN_LBN 44
+#define        FRF_BB_TX_IPFIL22_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL21_PORT_EN_LBN 42
+#define        FRF_BB_TX_IPFIL21_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL20_PORT_EN_LBN 40
+#define        FRF_BB_TX_IPFIL20_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL19_PORT_EN_LBN 38
+#define        FRF_BB_TX_IPFIL19_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL18_PORT_EN_LBN 36
+#define        FRF_BB_TX_IPFIL18_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL17_PORT_EN_LBN 34
+#define        FRF_BB_TX_IPFIL17_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL16_PORT_EN_LBN 32
+#define        FRF_BB_TX_IPFIL16_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL15_PORT_EN_LBN 30
+#define        FRF_BB_TX_IPFIL15_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL14_PORT_EN_LBN 28
+#define        FRF_BB_TX_IPFIL14_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL13_PORT_EN_LBN 26
+#define        FRF_BB_TX_IPFIL13_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL12_PORT_EN_LBN 24
+#define        FRF_BB_TX_IPFIL12_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL11_PORT_EN_LBN 22
+#define        FRF_BB_TX_IPFIL11_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL10_PORT_EN_LBN 20
+#define        FRF_BB_TX_IPFIL10_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL9_PORT_EN_LBN 18
+#define        FRF_BB_TX_IPFIL9_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL8_PORT_EN_LBN 16
+#define        FRF_BB_TX_IPFIL8_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL7_PORT_EN_LBN 14
+#define        FRF_BB_TX_IPFIL7_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL6_PORT_EN_LBN 12
+#define        FRF_BB_TX_IPFIL6_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL5_PORT_EN_LBN 10
+#define        FRF_BB_TX_IPFIL5_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL4_PORT_EN_LBN 8
+#define        FRF_BB_TX_IPFIL4_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL3_PORT_EN_LBN 6
+#define        FRF_BB_TX_IPFIL3_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL2_PORT_EN_LBN 4
+#define        FRF_BB_TX_IPFIL2_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL1_PORT_EN_LBN 2
+#define        FRF_BB_TX_IPFIL1_PORT_EN_WIDTH 1
+#define        FRF_BB_TX_IPFIL0_PORT_EN_LBN 0
+#define        FRF_BB_TX_IPFIL0_PORT_EN_WIDTH 1
+
+/* TX_IPFIL_TBL: Transmit IP source address filter table */
+#define        FR_BB_TX_IPFIL_TBL 0x00000b00
+#define        FR_BB_TX_IPFIL_TBL_STEP 16
+#define        FR_BB_TX_IPFIL_TBL_ROWS 16
+#define        FRF_BB_TX_IPFIL_MASK_1_LBN 96
+#define        FRF_BB_TX_IPFIL_MASK_1_WIDTH 32
+#define        FRF_BB_TX_IP_SRC_ADR_1_LBN 64
+#define        FRF_BB_TX_IP_SRC_ADR_1_WIDTH 32
+#define        FRF_BB_TX_IPFIL_MASK_0_LBN 32
+#define        FRF_BB_TX_IPFIL_MASK_0_WIDTH 32
+#define        FRF_BB_TX_IP_SRC_ADR_0_LBN 0
+#define        FRF_BB_TX_IP_SRC_ADR_0_WIDTH 32
+
+/* MD_TXD_REG: PHY management transmit data register */
+#define        FR_AB_MD_TXD 0x00000c00
+#define        FRF_AB_MD_TXD_LBN 0
+#define        FRF_AB_MD_TXD_WIDTH 16
+
+/* MD_RXD_REG: PHY management receive data register */
+#define        FR_AB_MD_RXD 0x00000c10
+#define        FRF_AB_MD_RXD_LBN 0
+#define        FRF_AB_MD_RXD_WIDTH 16
+
+/* MD_CS_REG: PHY management configuration & status register */
+#define        FR_AB_MD_CS 0x00000c20
+#define        FRF_AB_MD_RD_EN_CMD_LBN 15
+#define        FRF_AB_MD_RD_EN_CMD_WIDTH 1
+#define        FRF_AB_MD_WR_EN_CMD_LBN 14
+#define        FRF_AB_MD_WR_EN_CMD_WIDTH 1
+#define        FRF_AB_MD_ADDR_CMD_LBN 13
+#define        FRF_AB_MD_ADDR_CMD_WIDTH 1
+#define        FRF_AB_MD_PT_LBN 7
+#define        FRF_AB_MD_PT_WIDTH 3
+#define        FRF_AB_MD_PL_LBN 6
+#define        FRF_AB_MD_PL_WIDTH 1
+#define        FRF_AB_MD_INT_CLR_LBN 5
+#define        FRF_AB_MD_INT_CLR_WIDTH 1
+#define        FRF_AB_MD_GC_LBN 4
+#define        FRF_AB_MD_GC_WIDTH 1
+#define        FRF_AB_MD_PRSP_LBN 3
+#define        FRF_AB_MD_PRSP_WIDTH 1
+#define        FRF_AB_MD_RIC_LBN 2
+#define        FRF_AB_MD_RIC_WIDTH 1
+#define        FRF_AB_MD_RDC_LBN 1
+#define        FRF_AB_MD_RDC_WIDTH 1
+#define        FRF_AB_MD_WRC_LBN 0
+#define        FRF_AB_MD_WRC_WIDTH 1
+
+/* MD_PHY_ADR_REG: PHY management PHY address register */
+#define        FR_AB_MD_PHY_ADR 0x00000c30
+#define        FRF_AB_MD_PHY_ADR_LBN 0
+#define        FRF_AB_MD_PHY_ADR_WIDTH 16
+
+/* MD_ID_REG: PHY management ID register */
+#define        FR_AB_MD_ID 0x00000c40
+#define        FRF_AB_MD_PRT_ADR_LBN 11
+#define        FRF_AB_MD_PRT_ADR_WIDTH 5
+#define        FRF_AB_MD_DEV_ADR_LBN 6
+#define        FRF_AB_MD_DEV_ADR_WIDTH 5
+
+/* MD_STAT_REG: PHY management status & mask register */
+#define        FR_AB_MD_STAT 0x00000c50
+#define        FRF_AB_MD_PINT_LBN 4
+#define        FRF_AB_MD_PINT_WIDTH 1
+#define        FRF_AB_MD_DONE_LBN 3
+#define        FRF_AB_MD_DONE_WIDTH 1
+#define        FRF_AB_MD_BSERR_LBN 2
+#define        FRF_AB_MD_BSERR_WIDTH 1
+#define        FRF_AB_MD_LNFL_LBN 1
+#define        FRF_AB_MD_LNFL_WIDTH 1
+#define        FRF_AB_MD_BSY_LBN 0
+#define        FRF_AB_MD_BSY_WIDTH 1
+
+/* MAC_STAT_DMA_REG: Port MAC statistical counter DMA register */
+#define        FR_AB_MAC_STAT_DMA 0x00000c60
+#define        FRF_AB_MAC_STAT_DMA_CMD_LBN 48
+#define        FRF_AB_MAC_STAT_DMA_CMD_WIDTH 1
+#define        FRF_AB_MAC_STAT_DMA_ADR_LBN 0
+#define        FRF_AB_MAC_STAT_DMA_ADR_WIDTH 48
+
+/* MAC_CTRL_REG: Port MAC control register */
+#define        FR_AB_MAC_CTRL 0x00000c80
+#define        FRF_AB_MAC_XOFF_VAL_LBN 16
+#define        FRF_AB_MAC_XOFF_VAL_WIDTH 16
+#define        FRF_BB_TXFIFO_DRAIN_EN_LBN 7
+#define        FRF_BB_TXFIFO_DRAIN_EN_WIDTH 1
+#define        FRF_AB_MAC_XG_DISTXCRC_LBN 5
+#define        FRF_AB_MAC_XG_DISTXCRC_WIDTH 1
+#define        FRF_AB_MAC_BCAD_ACPT_LBN 4
+#define        FRF_AB_MAC_BCAD_ACPT_WIDTH 1
+#define        FRF_AB_MAC_UC_PROM_LBN 3
+#define        FRF_AB_MAC_UC_PROM_WIDTH 1
+#define        FRF_AB_MAC_LINK_STATUS_LBN 2
+#define        FRF_AB_MAC_LINK_STATUS_WIDTH 1
+#define        FRF_AB_MAC_SPEED_LBN 0
+#define        FRF_AB_MAC_SPEED_WIDTH 2
+#define        FFE_AB_MAC_SPEED_10G 3
+#define        FFE_AB_MAC_SPEED_1G 2
+#define        FFE_AB_MAC_SPEED_100M 1
+#define        FFE_AB_MAC_SPEED_10M 0
+
+/* GEN_MODE_REG: General Purpose mode register (external interrupt mask) */
+#define        FR_BB_GEN_MODE 0x00000c90
+#define        FRF_BB_XFP_PHY_INT_POL_SEL_LBN 3
+#define        FRF_BB_XFP_PHY_INT_POL_SEL_WIDTH 1
+#define        FRF_BB_XG_PHY_INT_POL_SEL_LBN 2
+#define        FRF_BB_XG_PHY_INT_POL_SEL_WIDTH 1
+#define        FRF_BB_XFP_PHY_INT_MASK_LBN 1
+#define        FRF_BB_XFP_PHY_INT_MASK_WIDTH 1
+#define        FRF_BB_XG_PHY_INT_MASK_LBN 0
+#define        FRF_BB_XG_PHY_INT_MASK_WIDTH 1
+
+/* MAC_MC_HASH_REG0: Multicast address hash table */
+#define        FR_AB_MAC_MC_HASH_REG0 0x00000ca0
+#define        FRF_AB_MAC_MCAST_HASH0_LBN 0
+#define        FRF_AB_MAC_MCAST_HASH0_WIDTH 128
+
+/* MAC_MC_HASH_REG1: Multicast address hash table */
+#define        FR_AB_MAC_MC_HASH_REG1 0x00000cb0
+#define        FRF_AB_MAC_MCAST_HASH1_LBN 0
+#define        FRF_AB_MAC_MCAST_HASH1_WIDTH 128
+
+/* GM_CFG1_REG: GMAC configuration register 1 */
+#define        FR_AB_GM_CFG1 0x00000e00
+#define        FRF_AB_GM_SW_RST_LBN 31
+#define        FRF_AB_GM_SW_RST_WIDTH 1
+#define        FRF_AB_GM_SIM_RST_LBN 30
+#define        FRF_AB_GM_SIM_RST_WIDTH 1
+#define        FRF_AB_GM_RST_RX_MAC_CTL_LBN 19
+#define        FRF_AB_GM_RST_RX_MAC_CTL_WIDTH 1
+#define        FRF_AB_GM_RST_TX_MAC_CTL_LBN 18
+#define        FRF_AB_GM_RST_TX_MAC_CTL_WIDTH 1
+#define        FRF_AB_GM_RST_RX_FUNC_LBN 17
+#define        FRF_AB_GM_RST_RX_FUNC_WIDTH 1
+#define        FRF_AB_GM_RST_TX_FUNC_LBN 16
+#define        FRF_AB_GM_RST_TX_FUNC_WIDTH 1
+#define        FRF_AB_GM_LOOP_LBN 8
+#define        FRF_AB_GM_LOOP_WIDTH 1
+#define        FRF_AB_GM_RX_FC_EN_LBN 5
+#define        FRF_AB_GM_RX_FC_EN_WIDTH 1
+#define        FRF_AB_GM_TX_FC_EN_LBN 4
+#define        FRF_AB_GM_TX_FC_EN_WIDTH 1
+#define        FRF_AB_GM_SYNC_RXEN_LBN 3
+#define        FRF_AB_GM_SYNC_RXEN_WIDTH 1
+#define        FRF_AB_GM_RX_EN_LBN 2
+#define        FRF_AB_GM_RX_EN_WIDTH 1
+#define        FRF_AB_GM_SYNC_TXEN_LBN 1
+#define        FRF_AB_GM_SYNC_TXEN_WIDTH 1
+#define        FRF_AB_GM_TX_EN_LBN 0
+#define        FRF_AB_GM_TX_EN_WIDTH 1
+
+/* GM_CFG2_REG: GMAC configuration register 2 */
+#define        FR_AB_GM_CFG2 0x00000e10
+#define        FRF_AB_GM_PAMBL_LEN_LBN 12
+#define        FRF_AB_GM_PAMBL_LEN_WIDTH 4
+#define        FRF_AB_GM_IF_MODE_LBN 8
+#define        FRF_AB_GM_IF_MODE_WIDTH 2
+#define        FFE_AB_IF_MODE_BYTE_MODE 2
+#define        FFE_AB_IF_MODE_NIBBLE_MODE 1
+#define        FRF_AB_GM_HUGE_FRM_EN_LBN 5
+#define        FRF_AB_GM_HUGE_FRM_EN_WIDTH 1
+#define        FRF_AB_GM_LEN_CHK_LBN 4
+#define        FRF_AB_GM_LEN_CHK_WIDTH 1
+#define        FRF_AB_GM_PAD_CRC_EN_LBN 2
+#define        FRF_AB_GM_PAD_CRC_EN_WIDTH 1
+#define        FRF_AB_GM_CRC_EN_LBN 1
+#define        FRF_AB_GM_CRC_EN_WIDTH 1
+#define        FRF_AB_GM_FD_LBN 0
+#define        FRF_AB_GM_FD_WIDTH 1
+
+/* GM_IPG_REG: GMAC IPG register */
+#define        FR_AB_GM_IPG 0x00000e20
+#define        FRF_AB_GM_NONB2B_IPG1_LBN 24
+#define        FRF_AB_GM_NONB2B_IPG1_WIDTH 7
+#define        FRF_AB_GM_NONB2B_IPG2_LBN 16
+#define        FRF_AB_GM_NONB2B_IPG2_WIDTH 7
+#define        FRF_AB_GM_MIN_IPG_ENF_LBN 8
+#define        FRF_AB_GM_MIN_IPG_ENF_WIDTH 8
+#define        FRF_AB_GM_B2B_IPG_LBN 0
+#define        FRF_AB_GM_B2B_IPG_WIDTH 7
+
+/* GM_HD_REG: GMAC half duplex register */
+#define        FR_AB_GM_HD 0x00000e30
+#define        FRF_AB_GM_ALT_BOFF_VAL_LBN 20
+#define        FRF_AB_GM_ALT_BOFF_VAL_WIDTH 4
+#define        FRF_AB_GM_ALT_BOFF_EN_LBN 19
+#define        FRF_AB_GM_ALT_BOFF_EN_WIDTH 1
+#define        FRF_AB_GM_BP_NO_BOFF_LBN 18
+#define        FRF_AB_GM_BP_NO_BOFF_WIDTH 1
+#define        FRF_AB_GM_DIS_BOFF_LBN 17
+#define        FRF_AB_GM_DIS_BOFF_WIDTH 1
+#define        FRF_AB_GM_EXDEF_TX_EN_LBN 16
+#define        FRF_AB_GM_EXDEF_TX_EN_WIDTH 1
+#define        FRF_AB_GM_RTRY_LIMIT_LBN 12
+#define        FRF_AB_GM_RTRY_LIMIT_WIDTH 4
+#define        FRF_AB_GM_COL_WIN_LBN 0
+#define        FRF_AB_GM_COL_WIN_WIDTH 10
+
+/* GM_MAX_FLEN_REG: GMAC maximum frame length register */
+#define        FR_AB_GM_MAX_FLEN 0x00000e40
+#define        FRF_AB_GM_MAX_FLEN_LBN 0
+#define        FRF_AB_GM_MAX_FLEN_WIDTH 16
+
+/* GM_TEST_REG: GMAC test register */
+#define        FR_AB_GM_TEST 0x00000e70
+#define        FRF_AB_GM_MAX_BOFF_LBN 3
+#define        FRF_AB_GM_MAX_BOFF_WIDTH 1
+#define        FRF_AB_GM_REG_TX_FLOW_EN_LBN 2
+#define        FRF_AB_GM_REG_TX_FLOW_EN_WIDTH 1
+#define        FRF_AB_GM_TEST_PAUSE_LBN 1
+#define        FRF_AB_GM_TEST_PAUSE_WIDTH 1
+#define        FRF_AB_GM_SHORT_SLOT_LBN 0
+#define        FRF_AB_GM_SHORT_SLOT_WIDTH 1
+
+/* GM_ADR1_REG: GMAC station address register 1 */
+#define        FR_AB_GM_ADR1 0x00000f00
+#define        FRF_AB_GM_ADR_B0_LBN 24
+#define        FRF_AB_GM_ADR_B0_WIDTH 8
+#define        FRF_AB_GM_ADR_B1_LBN 16
+#define        FRF_AB_GM_ADR_B1_WIDTH 8
+#define        FRF_AB_GM_ADR_B2_LBN 8
+#define        FRF_AB_GM_ADR_B2_WIDTH 8
+#define        FRF_AB_GM_ADR_B3_LBN 0
+#define        FRF_AB_GM_ADR_B3_WIDTH 8
+
+/* GM_ADR2_REG: GMAC station address register 2 */
+#define        FR_AB_GM_ADR2 0x00000f10
+#define        FRF_AB_GM_ADR_B4_LBN 24
+#define        FRF_AB_GM_ADR_B4_WIDTH 8
+#define        FRF_AB_GM_ADR_B5_LBN 16
+#define        FRF_AB_GM_ADR_B5_WIDTH 8
+
+/* GMF_CFG0_REG: GMAC FIFO configuration register 0 */
+#define        FR_AB_GMF_CFG0 0x00000f20
+#define        FRF_AB_GMF_FTFENRPLY_LBN 20
+#define        FRF_AB_GMF_FTFENRPLY_WIDTH 1
+#define        FRF_AB_GMF_STFENRPLY_LBN 19
+#define        FRF_AB_GMF_STFENRPLY_WIDTH 1
+#define        FRF_AB_GMF_FRFENRPLY_LBN 18
+#define        FRF_AB_GMF_FRFENRPLY_WIDTH 1
+#define        FRF_AB_GMF_SRFENRPLY_LBN 17
+#define        FRF_AB_GMF_SRFENRPLY_WIDTH 1
+#define        FRF_AB_GMF_WTMENRPLY_LBN 16
+#define        FRF_AB_GMF_WTMENRPLY_WIDTH 1
+#define        FRF_AB_GMF_FTFENREQ_LBN 12
+#define        FRF_AB_GMF_FTFENREQ_WIDTH 1
+#define        FRF_AB_GMF_STFENREQ_LBN 11
+#define        FRF_AB_GMF_STFENREQ_WIDTH 1
+#define        FRF_AB_GMF_FRFENREQ_LBN 10
+#define        FRF_AB_GMF_FRFENREQ_WIDTH 1
+#define        FRF_AB_GMF_SRFENREQ_LBN 9
+#define        FRF_AB_GMF_SRFENREQ_WIDTH 1
+#define        FRF_AB_GMF_WTMENREQ_LBN 8
+#define        FRF_AB_GMF_WTMENREQ_WIDTH 1
+#define        FRF_AB_GMF_HSTRSTFT_LBN 4
+#define        FRF_AB_GMF_HSTRSTFT_WIDTH 1
+#define        FRF_AB_GMF_HSTRSTST_LBN 3
+#define        FRF_AB_GMF_HSTRSTST_WIDTH 1
+#define        FRF_AB_GMF_HSTRSTFR_LBN 2
+#define        FRF_AB_GMF_HSTRSTFR_WIDTH 1
+#define        FRF_AB_GMF_HSTRSTSR_LBN 1
+#define        FRF_AB_GMF_HSTRSTSR_WIDTH 1
+#define        FRF_AB_GMF_HSTRSTWT_LBN 0
+#define        FRF_AB_GMF_HSTRSTWT_WIDTH 1
+
+/* GMF_CFG1_REG: GMAC FIFO configuration register 1 */
+#define        FR_AB_GMF_CFG1 0x00000f30
+#define        FRF_AB_GMF_CFGFRTH_LBN 16
+#define        FRF_AB_GMF_CFGFRTH_WIDTH 5
+#define        FRF_AB_GMF_CFGXOFFRTX_LBN 0
+#define        FRF_AB_GMF_CFGXOFFRTX_WIDTH 16
+
+/* GMF_CFG2_REG: GMAC FIFO configuration register 2 */
+#define        FR_AB_GMF_CFG2 0x00000f40
+#define        FRF_AB_GMF_CFGHWM_LBN 16
+#define        FRF_AB_GMF_CFGHWM_WIDTH 6
+#define        FRF_AB_GMF_CFGLWM_LBN 0
+#define        FRF_AB_GMF_CFGLWM_WIDTH 6
+
+/* GMF_CFG3_REG: GMAC FIFO configuration register 3 */
+#define        FR_AB_GMF_CFG3 0x00000f50
+#define        FRF_AB_GMF_CFGHWMFT_LBN 16
+#define        FRF_AB_GMF_CFGHWMFT_WIDTH 6
+#define        FRF_AB_GMF_CFGFTTH_LBN 0
+#define        FRF_AB_GMF_CFGFTTH_WIDTH 6
+
+/* GMF_CFG4_REG: GMAC FIFO configuration register 4 */
+#define        FR_AB_GMF_CFG4 0x00000f60
+#define        FRF_AB_GMF_HSTFLTRFRM_LBN 0
+#define        FRF_AB_GMF_HSTFLTRFRM_WIDTH 18
+
+/* GMF_CFG5_REG: GMAC FIFO configuration register 5 */
+#define        FR_AB_GMF_CFG5 0x00000f70
+#define        FRF_AB_GMF_CFGHDPLX_LBN 22
+#define        FRF_AB_GMF_CFGHDPLX_WIDTH 1
+#define        FRF_AB_GMF_SRFULL_LBN 21
+#define        FRF_AB_GMF_SRFULL_WIDTH 1
+#define        FRF_AB_GMF_HSTSRFULLCLR_LBN 20
+#define        FRF_AB_GMF_HSTSRFULLCLR_WIDTH 1
+#define        FRF_AB_GMF_CFGBYTMODE_LBN 19
+#define        FRF_AB_GMF_CFGBYTMODE_WIDTH 1
+#define        FRF_AB_GMF_HSTDRPLT64_LBN 18
+#define        FRF_AB_GMF_HSTDRPLT64_WIDTH 1
+#define        FRF_AB_GMF_HSTFLTRFRMDC_LBN 0
+#define        FRF_AB_GMF_HSTFLTRFRMDC_WIDTH 18
+
+/* TX_SRC_MAC_TBL: Transmit IP source address filter table */
+#define        FR_BB_TX_SRC_MAC_TBL 0x00001000
+#define        FR_BB_TX_SRC_MAC_TBL_STEP 16
+#define        FR_BB_TX_SRC_MAC_TBL_ROWS 16
+#define        FRF_BB_TX_SRC_MAC_ADR_1_LBN 64
+#define        FRF_BB_TX_SRC_MAC_ADR_1_WIDTH 48
+#define        FRF_BB_TX_SRC_MAC_ADR_0_LBN 0
+#define        FRF_BB_TX_SRC_MAC_ADR_0_WIDTH 48
+
+/* TX_SRC_MAC_CTL_REG: Transmit MAC source address filter control */
+#define        FR_BB_TX_SRC_MAC_CTL 0x00001100
+#define        FRF_BB_TX_SRC_DROP_CTR_LBN 16
+#define        FRF_BB_TX_SRC_DROP_CTR_WIDTH 16
+#define        FRF_BB_TX_SRC_FLTR_EN_LBN 15
+#define        FRF_BB_TX_SRC_FLTR_EN_WIDTH 1
+#define        FRF_BB_TX_DROP_CTR_CLR_LBN 12
+#define        FRF_BB_TX_DROP_CTR_CLR_WIDTH 1
+#define        FRF_BB_TX_MAC_QID_SEL_LBN 0
+#define        FRF_BB_TX_MAC_QID_SEL_WIDTH 3
+
+/* XM_ADR_LO_REG: XGMAC address register low */
+#define        FR_AB_XM_ADR_LO 0x00001200
+#define        FRF_AB_XM_ADR_LO_LBN 0
+#define        FRF_AB_XM_ADR_LO_WIDTH 32
+
+/* XM_ADR_HI_REG: XGMAC address register high */
+#define        FR_AB_XM_ADR_HI 0x00001210
+#define        FRF_AB_XM_ADR_HI_LBN 0
+#define        FRF_AB_XM_ADR_HI_WIDTH 16
+
+/* XM_GLB_CFG_REG: XGMAC global configuration */
+#define        FR_AB_XM_GLB_CFG 0x00001220
+#define        FRF_AB_XM_RMTFLT_GEN_LBN 17
+#define        FRF_AB_XM_RMTFLT_GEN_WIDTH 1
+#define        FRF_AB_XM_DEBUG_MODE_LBN 16
+#define        FRF_AB_XM_DEBUG_MODE_WIDTH 1
+#define        FRF_AB_XM_RX_STAT_EN_LBN 11
+#define        FRF_AB_XM_RX_STAT_EN_WIDTH 1
+#define        FRF_AB_XM_TX_STAT_EN_LBN 10
+#define        FRF_AB_XM_TX_STAT_EN_WIDTH 1
+#define        FRF_AB_XM_RX_JUMBO_MODE_LBN 6
+#define        FRF_AB_XM_RX_JUMBO_MODE_WIDTH 1
+#define        FRF_AB_XM_WAN_MODE_LBN 5
+#define        FRF_AB_XM_WAN_MODE_WIDTH 1
+#define        FRF_AB_XM_INTCLR_MODE_LBN 3
+#define        FRF_AB_XM_INTCLR_MODE_WIDTH 1
+#define        FRF_AB_XM_CORE_RST_LBN 0
+#define        FRF_AB_XM_CORE_RST_WIDTH 1
+
+/* XM_TX_CFG_REG: XGMAC transmit configuration */
+#define        FR_AB_XM_TX_CFG 0x00001230
+#define        FRF_AB_XM_TX_PROG_LBN 24
+#define        FRF_AB_XM_TX_PROG_WIDTH 1
+#define        FRF_AB_XM_IPG_LBN 16
+#define        FRF_AB_XM_IPG_WIDTH 4
+#define        FRF_AB_XM_FCNTL_LBN 10
+#define        FRF_AB_XM_FCNTL_WIDTH 1
+#define        FRF_AB_XM_TXCRC_LBN 8
+#define        FRF_AB_XM_TXCRC_WIDTH 1
+#define        FRF_AB_XM_EDRC_LBN 6
+#define        FRF_AB_XM_EDRC_WIDTH 1
+#define        FRF_AB_XM_AUTO_PAD_LBN 5
+#define        FRF_AB_XM_AUTO_PAD_WIDTH 1
+#define        FRF_AB_XM_TX_PRMBL_LBN 2
+#define        FRF_AB_XM_TX_PRMBL_WIDTH 1
+#define        FRF_AB_XM_TXEN_LBN 1
+#define        FRF_AB_XM_TXEN_WIDTH 1
+#define        FRF_AB_XM_TX_RST_LBN 0
+#define        FRF_AB_XM_TX_RST_WIDTH 1
+
+/* XM_RX_CFG_REG: XGMAC receive configuration */
+#define        FR_AB_XM_RX_CFG 0x00001240
+#define        FRF_AB_XM_PASS_LENERR_LBN 26
+#define        FRF_AB_XM_PASS_LENERR_WIDTH 1
+#define        FRF_AB_XM_PASS_CRC_ERR_LBN 25
+#define        FRF_AB_XM_PASS_CRC_ERR_WIDTH 1
+#define        FRF_AB_XM_PASS_PRMBLE_ERR_LBN 24
+#define        FRF_AB_XM_PASS_PRMBLE_ERR_WIDTH 1
+#define        FRF_AB_XM_REJ_BCAST_LBN 20
+#define        FRF_AB_XM_REJ_BCAST_WIDTH 1
+#define        FRF_AB_XM_ACPT_ALL_MCAST_LBN 11
+#define        FRF_AB_XM_ACPT_ALL_MCAST_WIDTH 1
+#define        FRF_AB_XM_ACPT_ALL_UCAST_LBN 9
+#define        FRF_AB_XM_ACPT_ALL_UCAST_WIDTH 1
+#define        FRF_AB_XM_AUTO_DEPAD_LBN 8
+#define        FRF_AB_XM_AUTO_DEPAD_WIDTH 1
+#define        FRF_AB_XM_RXCRC_LBN 3
+#define        FRF_AB_XM_RXCRC_WIDTH 1
+#define        FRF_AB_XM_RX_PRMBL_LBN 2
+#define        FRF_AB_XM_RX_PRMBL_WIDTH 1
+#define        FRF_AB_XM_RXEN_LBN 1
+#define        FRF_AB_XM_RXEN_WIDTH 1
+#define        FRF_AB_XM_RX_RST_LBN 0
+#define        FRF_AB_XM_RX_RST_WIDTH 1
+
+/* XM_MGT_INT_MASK: documentation to be written for sum_XM_MGT_INT_MASK */
+#define        FR_AB_XM_MGT_INT_MASK 0x00001250
+#define        FRF_AB_XM_MSK_STA_INTR_LBN 16
+#define        FRF_AB_XM_MSK_STA_INTR_WIDTH 1
+#define        FRF_AB_XM_MSK_STAT_CNTR_HF_LBN 9
+#define        FRF_AB_XM_MSK_STAT_CNTR_HF_WIDTH 1
+#define        FRF_AB_XM_MSK_STAT_CNTR_OF_LBN 8
+#define        FRF_AB_XM_MSK_STAT_CNTR_OF_WIDTH 1
+#define        FRF_AB_XM_MSK_PRMBLE_ERR_LBN 2
+#define        FRF_AB_XM_MSK_PRMBLE_ERR_WIDTH 1
+#define        FRF_AB_XM_MSK_RMTFLT_LBN 1
+#define        FRF_AB_XM_MSK_RMTFLT_WIDTH 1
+#define        FRF_AB_XM_MSK_LCLFLT_LBN 0
+#define        FRF_AB_XM_MSK_LCLFLT_WIDTH 1
+
+/* XM_FC_REG: XGMAC flow control register */
+#define        FR_AB_XM_FC 0x00001270
+#define        FRF_AB_XM_PAUSE_TIME_LBN 16
+#define        FRF_AB_XM_PAUSE_TIME_WIDTH 16
+#define        FRF_AB_XM_RX_MAC_STAT_LBN 11
+#define        FRF_AB_XM_RX_MAC_STAT_WIDTH 1
+#define        FRF_AB_XM_TX_MAC_STAT_LBN 10
+#define        FRF_AB_XM_TX_MAC_STAT_WIDTH 1
+#define        FRF_AB_XM_MCNTL_PASS_LBN 8
+#define        FRF_AB_XM_MCNTL_PASS_WIDTH 2
+#define        FRF_AB_XM_REJ_CNTL_UCAST_LBN 6
+#define        FRF_AB_XM_REJ_CNTL_UCAST_WIDTH 1
+#define        FRF_AB_XM_REJ_CNTL_MCAST_LBN 5
+#define        FRF_AB_XM_REJ_CNTL_MCAST_WIDTH 1
+#define        FRF_AB_XM_ZPAUSE_LBN 2
+#define        FRF_AB_XM_ZPAUSE_WIDTH 1
+#define        FRF_AB_XM_XMIT_PAUSE_LBN 1
+#define        FRF_AB_XM_XMIT_PAUSE_WIDTH 1
+#define        FRF_AB_XM_DIS_FCNTL_LBN 0
+#define        FRF_AB_XM_DIS_FCNTL_WIDTH 1
+
+/* XM_PAUSE_TIME_REG: XGMAC pause time register */
+#define        FR_AB_XM_PAUSE_TIME 0x00001290
+#define        FRF_AB_XM_TX_PAUSE_CNT_LBN 16
+#define        FRF_AB_XM_TX_PAUSE_CNT_WIDTH 16
+#define        FRF_AB_XM_RX_PAUSE_CNT_LBN 0
+#define        FRF_AB_XM_RX_PAUSE_CNT_WIDTH 16
+
+/* XM_TX_PARAM_REG: XGMAC transmit parameter register */
+#define        FR_AB_XM_TX_PARAM 0x000012d0
+#define        FRF_AB_XM_TX_JUMBO_MODE_LBN 31
+#define        FRF_AB_XM_TX_JUMBO_MODE_WIDTH 1
+#define        FRF_AB_XM_MAX_TX_FRM_SIZE_HI_LBN 19
+#define        FRF_AB_XM_MAX_TX_FRM_SIZE_HI_WIDTH 11
+#define        FRF_AB_XM_MAX_TX_FRM_SIZE_LO_LBN 16
+#define        FRF_AB_XM_MAX_TX_FRM_SIZE_LO_WIDTH 3
+#define        FRF_AB_XM_PAD_CHAR_LBN 0
+#define        FRF_AB_XM_PAD_CHAR_WIDTH 8
+
+/* XM_RX_PARAM_REG: XGMAC receive parameter register */
+#define        FR_AB_XM_RX_PARAM 0x000012e0
+#define        FRF_AB_XM_MAX_RX_FRM_SIZE_HI_LBN 3
+#define        FRF_AB_XM_MAX_RX_FRM_SIZE_HI_WIDTH 11
+#define        FRF_AB_XM_MAX_RX_FRM_SIZE_LO_LBN 0
+#define        FRF_AB_XM_MAX_RX_FRM_SIZE_LO_WIDTH 3
+
+/* XM_MGT_INT_MSK_REG: XGMAC management interrupt mask register */
+#define        FR_AB_XM_MGT_INT_MSK 0x000012f0
+#define        FRF_AB_XM_STAT_CNTR_OF_LBN 9
+#define        FRF_AB_XM_STAT_CNTR_OF_WIDTH 1
+#define        FRF_AB_XM_STAT_CNTR_HF_LBN 8
+#define        FRF_AB_XM_STAT_CNTR_HF_WIDTH 1
+#define        FRF_AB_XM_PRMBLE_ERR_LBN 2
+#define        FRF_AB_XM_PRMBLE_ERR_WIDTH 1
+#define        FRF_AB_XM_RMTFLT_LBN 1
+#define        FRF_AB_XM_RMTFLT_WIDTH 1
+#define        FRF_AB_XM_LCLFLT_LBN 0
+#define        FRF_AB_XM_LCLFLT_WIDTH 1
+
+/* XX_PWR_RST_REG: XGXS/XAUI powerdown/reset register */
+#define        FR_AB_XX_PWR_RST 0x00001300
+#define        FRF_AB_XX_PWRDND_SIG_LBN 31
+#define        FRF_AB_XX_PWRDND_SIG_WIDTH 1
+#define        FRF_AB_XX_PWRDNC_SIG_LBN 30
+#define        FRF_AB_XX_PWRDNC_SIG_WIDTH 1
+#define        FRF_AB_XX_PWRDNB_SIG_LBN 29
+#define        FRF_AB_XX_PWRDNB_SIG_WIDTH 1
+#define        FRF_AB_XX_PWRDNA_SIG_LBN 28
+#define        FRF_AB_XX_PWRDNA_SIG_WIDTH 1
+#define        FRF_AB_XX_SIM_MODE_LBN 27
+#define        FRF_AB_XX_SIM_MODE_WIDTH 1
+#define        FRF_AB_XX_RSTPLLCD_SIG_LBN 25
+#define        FRF_AB_XX_RSTPLLCD_SIG_WIDTH 1
+#define        FRF_AB_XX_RSTPLLAB_SIG_LBN 24
+#define        FRF_AB_XX_RSTPLLAB_SIG_WIDTH 1
+#define        FRF_AB_XX_RESETD_SIG_LBN 23
+#define        FRF_AB_XX_RESETD_SIG_WIDTH 1
+#define        FRF_AB_XX_RESETC_SIG_LBN 22
+#define        FRF_AB_XX_RESETC_SIG_WIDTH 1
+#define        FRF_AB_XX_RESETB_SIG_LBN 21
+#define        FRF_AB_XX_RESETB_SIG_WIDTH 1
+#define        FRF_AB_XX_RESETA_SIG_LBN 20
+#define        FRF_AB_XX_RESETA_SIG_WIDTH 1
+#define        FRF_AB_XX_RSTXGXSRX_SIG_LBN 18
+#define        FRF_AB_XX_RSTXGXSRX_SIG_WIDTH 1
+#define        FRF_AB_XX_RSTXGXSTX_SIG_LBN 17
+#define        FRF_AB_XX_RSTXGXSTX_SIG_WIDTH 1
+#define        FRF_AB_XX_SD_RST_ACT_LBN 16
+#define        FRF_AB_XX_SD_RST_ACT_WIDTH 1
+#define        FRF_AB_XX_PWRDND_EN_LBN 15
+#define        FRF_AB_XX_PWRDND_EN_WIDTH 1
+#define        FRF_AB_XX_PWRDNC_EN_LBN 14
+#define        FRF_AB_XX_PWRDNC_EN_WIDTH 1
+#define        FRF_AB_XX_PWRDNB_EN_LBN 13
+#define        FRF_AB_XX_PWRDNB_EN_WIDTH 1
+#define        FRF_AB_XX_PWRDNA_EN_LBN 12
+#define        FRF_AB_XX_PWRDNA_EN_WIDTH 1
+#define        FRF_AB_XX_RSTPLLCD_EN_LBN 9
+#define        FRF_AB_XX_RSTPLLCD_EN_WIDTH 1
+#define        FRF_AB_XX_RSTPLLAB_EN_LBN 8
+#define        FRF_AB_XX_RSTPLLAB_EN_WIDTH 1
+#define        FRF_AB_XX_RESETD_EN_LBN 7
+#define        FRF_AB_XX_RESETD_EN_WIDTH 1
+#define        FRF_AB_XX_RESETC_EN_LBN 6
+#define        FRF_AB_XX_RESETC_EN_WIDTH 1
+#define        FRF_AB_XX_RESETB_EN_LBN 5
+#define        FRF_AB_XX_RESETB_EN_WIDTH 1
+#define        FRF_AB_XX_RESETA_EN_LBN 4
+#define        FRF_AB_XX_RESETA_EN_WIDTH 1
+#define        FRF_AB_XX_RSTXGXSRX_EN_LBN 2
+#define        FRF_AB_XX_RSTXGXSRX_EN_WIDTH 1
+#define        FRF_AB_XX_RSTXGXSTX_EN_LBN 1
+#define        FRF_AB_XX_RSTXGXSTX_EN_WIDTH 1
+#define        FRF_AB_XX_RST_XX_EN_LBN 0
+#define        FRF_AB_XX_RST_XX_EN_WIDTH 1
+
+/* XX_SD_CTL_REG: XGXS/XAUI powerdown/reset control register */
+#define        FR_AB_XX_SD_CTL 0x00001310
+#define        FRF_AB_XX_TERMADJ1_LBN 17
+#define        FRF_AB_XX_TERMADJ1_WIDTH 1
+#define        FRF_AB_XX_TERMADJ0_LBN 16
+#define        FRF_AB_XX_TERMADJ0_WIDTH 1
+#define        FRF_AB_XX_HIDRVD_LBN 15
+#define        FRF_AB_XX_HIDRVD_WIDTH 1
+#define        FRF_AB_XX_LODRVD_LBN 14
+#define        FRF_AB_XX_LODRVD_WIDTH 1
+#define        FRF_AB_XX_HIDRVC_LBN 13
+#define        FRF_AB_XX_HIDRVC_WIDTH 1
+#define        FRF_AB_XX_LODRVC_LBN 12
+#define        FRF_AB_XX_LODRVC_WIDTH 1
+#define        FRF_AB_XX_HIDRVB_LBN 11
+#define        FRF_AB_XX_HIDRVB_WIDTH 1
+#define        FRF_AB_XX_LODRVB_LBN 10
+#define        FRF_AB_XX_LODRVB_WIDTH 1
+#define        FRF_AB_XX_HIDRVA_LBN 9
+#define        FRF_AB_XX_HIDRVA_WIDTH 1
+#define        FRF_AB_XX_LODRVA_LBN 8
+#define        FRF_AB_XX_LODRVA_WIDTH 1
+#define        FRF_AB_XX_LPBKD_LBN 3
+#define        FRF_AB_XX_LPBKD_WIDTH 1
+#define        FRF_AB_XX_LPBKC_LBN 2
+#define        FRF_AB_XX_LPBKC_WIDTH 1
+#define        FRF_AB_XX_LPBKB_LBN 1
+#define        FRF_AB_XX_LPBKB_WIDTH 1
+#define        FRF_AB_XX_LPBKA_LBN 0
+#define        FRF_AB_XX_LPBKA_WIDTH 1
+
+/* XX_TXDRV_CTL_REG: XAUI SerDes transmit drive control register */
+#define        FR_AB_XX_TXDRV_CTL 0x00001320
+#define        FRF_AB_XX_DEQD_LBN 28
+#define        FRF_AB_XX_DEQD_WIDTH 4
+#define        FRF_AB_XX_DEQC_LBN 24
+#define        FRF_AB_XX_DEQC_WIDTH 4
+#define        FRF_AB_XX_DEQB_LBN 20
+#define        FRF_AB_XX_DEQB_WIDTH 4
+#define        FRF_AB_XX_DEQA_LBN 16
+#define        FRF_AB_XX_DEQA_WIDTH 4
+#define        FRF_AB_XX_DTXD_LBN 12
+#define        FRF_AB_XX_DTXD_WIDTH 4
+#define        FRF_AB_XX_DTXC_LBN 8
+#define        FRF_AB_XX_DTXC_WIDTH 4
+#define        FRF_AB_XX_DTXB_LBN 4
+#define        FRF_AB_XX_DTXB_WIDTH 4
+#define        FRF_AB_XX_DTXA_LBN 0
+#define        FRF_AB_XX_DTXA_WIDTH 4
+
+/* XX_PRBS_CTL_REG: documentation to be written for sum_XX_PRBS_CTL_REG */
+#define        FR_AB_XX_PRBS_CTL 0x00001330
+#define        FRF_AB_XX_CH3_RX_PRBS_SEL_LBN 30
+#define        FRF_AB_XX_CH3_RX_PRBS_SEL_WIDTH 2
+#define        FRF_AB_XX_CH3_RX_PRBS_INV_LBN 29
+#define        FRF_AB_XX_CH3_RX_PRBS_INV_WIDTH 1
+#define        FRF_AB_XX_CH3_RX_PRBS_CHKEN_LBN 28
+#define        FRF_AB_XX_CH3_RX_PRBS_CHKEN_WIDTH 1
+#define        FRF_AB_XX_CH2_RX_PRBS_SEL_LBN 26
+#define        FRF_AB_XX_CH2_RX_PRBS_SEL_WIDTH 2
+#define        FRF_AB_XX_CH2_RX_PRBS_INV_LBN 25
+#define        FRF_AB_XX_CH2_RX_PRBS_INV_WIDTH 1
+#define        FRF_AB_XX_CH2_RX_PRBS_CHKEN_LBN 24
+#define        FRF_AB_XX_CH2_RX_PRBS_CHKEN_WIDTH 1
+#define        FRF_AB_XX_CH1_RX_PRBS_SEL_LBN 22
+#define        FRF_AB_XX_CH1_RX_PRBS_SEL_WIDTH 2
+#define        FRF_AB_XX_CH1_RX_PRBS_INV_LBN 21
+#define        FRF_AB_XX_CH1_RX_PRBS_INV_WIDTH 1
+#define        FRF_AB_XX_CH1_RX_PRBS_CHKEN_LBN 20
+#define        FRF_AB_XX_CH1_RX_PRBS_CHKEN_WIDTH 1
+#define        FRF_AB_XX_CH0_RX_PRBS_SEL_LBN 18
+#define        FRF_AB_XX_CH0_RX_PRBS_SEL_WIDTH 2
+#define        FRF_AB_XX_CH0_RX_PRBS_INV_LBN 17
+#define        FRF_AB_XX_CH0_RX_PRBS_INV_WIDTH 1
+#define        FRF_AB_XX_CH0_RX_PRBS_CHKEN_LBN 16
+#define        FRF_AB_XX_CH0_RX_PRBS_CHKEN_WIDTH 1
+#define        FRF_AB_XX_CH3_TX_PRBS_SEL_LBN 14
+#define        FRF_AB_XX_CH3_TX_PRBS_SEL_WIDTH 2
+#define        FRF_AB_XX_CH3_TX_PRBS_INV_LBN 13
+#define        FRF_AB_XX_CH3_TX_PRBS_INV_WIDTH 1
+#define        FRF_AB_XX_CH3_TX_PRBS_CHKEN_LBN 12
+#define        FRF_AB_XX_CH3_TX_PRBS_CHKEN_WIDTH 1
+#define        FRF_AB_XX_CH2_TX_PRBS_SEL_LBN 10
+#define        FRF_AB_XX_CH2_TX_PRBS_SEL_WIDTH 2
+#define        FRF_AB_XX_CH2_TX_PRBS_INV_LBN 9
+#define        FRF_AB_XX_CH2_TX_PRBS_INV_WIDTH 1
+#define        FRF_AB_XX_CH2_TX_PRBS_CHKEN_LBN 8
+#define        FRF_AB_XX_CH2_TX_PRBS_CHKEN_WIDTH 1
+#define        FRF_AB_XX_CH1_TX_PRBS_SEL_LBN 6
+#define        FRF_AB_XX_CH1_TX_PRBS_SEL_WIDTH 2
+#define        FRF_AB_XX_CH1_TX_PRBS_INV_LBN 5
+#define        FRF_AB_XX_CH1_TX_PRBS_INV_WIDTH 1
+#define        FRF_AB_XX_CH1_TX_PRBS_CHKEN_LBN 4
+#define        FRF_AB_XX_CH1_TX_PRBS_CHKEN_WIDTH 1
+#define        FRF_AB_XX_CH0_TX_PRBS_SEL_LBN 2
+#define        FRF_AB_XX_CH0_TX_PRBS_SEL_WIDTH 2
+#define        FRF_AB_XX_CH0_TX_PRBS_INV_LBN 1
+#define        FRF_AB_XX_CH0_TX_PRBS_INV_WIDTH 1
+#define        FRF_AB_XX_CH0_TX_PRBS_CHKEN_LBN 0
+#define        FRF_AB_XX_CH0_TX_PRBS_CHKEN_WIDTH 1
+
+/* XX_PRBS_CHK_REG: documentation to be written for sum_XX_PRBS_CHK_REG */
+#define        FR_AB_XX_PRBS_CHK 0x00001340
+#define        FRF_AB_XX_REV_LB_EN_LBN 16
+#define        FRF_AB_XX_REV_LB_EN_WIDTH 1
+#define        FRF_AB_XX_CH3_DEG_DET_LBN 15
+#define        FRF_AB_XX_CH3_DEG_DET_WIDTH 1
+#define        FRF_AB_XX_CH3_LFSR_LOCK_IND_LBN 14
+#define        FRF_AB_XX_CH3_LFSR_LOCK_IND_WIDTH 1
+#define        FRF_AB_XX_CH3_PRBS_FRUN_LBN 13
+#define        FRF_AB_XX_CH3_PRBS_FRUN_WIDTH 1
+#define        FRF_AB_XX_CH3_ERR_CHK_LBN 12
+#define        FRF_AB_XX_CH3_ERR_CHK_WIDTH 1
+#define        FRF_AB_XX_CH2_DEG_DET_LBN 11
+#define        FRF_AB_XX_CH2_DEG_DET_WIDTH 1
+#define        FRF_AB_XX_CH2_LFSR_LOCK_IND_LBN 10
+#define        FRF_AB_XX_CH2_LFSR_LOCK_IND_WIDTH 1
+#define        FRF_AB_XX_CH2_PRBS_FRUN_LBN 9
+#define        FRF_AB_XX_CH2_PRBS_FRUN_WIDTH 1
+#define        FRF_AB_XX_CH2_ERR_CHK_LBN 8
+#define        FRF_AB_XX_CH2_ERR_CHK_WIDTH 1
+#define        FRF_AB_XX_CH1_DEG_DET_LBN 7
+#define        FRF_AB_XX_CH1_DEG_DET_WIDTH 1
+#define        FRF_AB_XX_CH1_LFSR_LOCK_IND_LBN 6
+#define        FRF_AB_XX_CH1_LFSR_LOCK_IND_WIDTH 1
+#define        FRF_AB_XX_CH1_PRBS_FRUN_LBN 5
+#define        FRF_AB_XX_CH1_PRBS_FRUN_WIDTH 1
+#define        FRF_AB_XX_CH1_ERR_CHK_LBN 4
+#define        FRF_AB_XX_CH1_ERR_CHK_WIDTH 1
+#define        FRF_AB_XX_CH0_DEG_DET_LBN 3
+#define        FRF_AB_XX_CH0_DEG_DET_WIDTH 1
+#define        FRF_AB_XX_CH0_LFSR_LOCK_IND_LBN 2
+#define        FRF_AB_XX_CH0_LFSR_LOCK_IND_WIDTH 1
+#define        FRF_AB_XX_CH0_PRBS_FRUN_LBN 1
+#define        FRF_AB_XX_CH0_PRBS_FRUN_WIDTH 1
+#define        FRF_AB_XX_CH0_ERR_CHK_LBN 0
+#define        FRF_AB_XX_CH0_ERR_CHK_WIDTH 1
+
+/* XX_PRBS_ERR_REG: documentation to be written for sum_XX_PRBS_ERR_REG */
+#define        FR_AB_XX_PRBS_ERR 0x00001350
+#define        FRF_AB_XX_CH3_PRBS_ERR_CNT_LBN 24
+#define        FRF_AB_XX_CH3_PRBS_ERR_CNT_WIDTH 8
+#define        FRF_AB_XX_CH2_PRBS_ERR_CNT_LBN 16
+#define        FRF_AB_XX_CH2_PRBS_ERR_CNT_WIDTH 8
+#define        FRF_AB_XX_CH1_PRBS_ERR_CNT_LBN 8
+#define        FRF_AB_XX_CH1_PRBS_ERR_CNT_WIDTH 8
+#define        FRF_AB_XX_CH0_PRBS_ERR_CNT_LBN 0
+#define        FRF_AB_XX_CH0_PRBS_ERR_CNT_WIDTH 8
+
+/* XX_CORE_STAT_REG: XAUI XGXS core status register */
+#define        FR_AB_XX_CORE_STAT 0x00001360
+#define        FRF_AB_XX_FORCE_SIG3_LBN 31
+#define        FRF_AB_XX_FORCE_SIG3_WIDTH 1
+#define        FRF_AB_XX_FORCE_SIG3_VAL_LBN 30
+#define        FRF_AB_XX_FORCE_SIG3_VAL_WIDTH 1
+#define        FRF_AB_XX_FORCE_SIG2_LBN 29
+#define        FRF_AB_XX_FORCE_SIG2_WIDTH 1
+#define        FRF_AB_XX_FORCE_SIG2_VAL_LBN 28
+#define        FRF_AB_XX_FORCE_SIG2_VAL_WIDTH 1
+#define        FRF_AB_XX_FORCE_SIG1_LBN 27
+#define        FRF_AB_XX_FORCE_SIG1_WIDTH 1
+#define        FRF_AB_XX_FORCE_SIG1_VAL_LBN 26
+#define        FRF_AB_XX_FORCE_SIG1_VAL_WIDTH 1
+#define        FRF_AB_XX_FORCE_SIG0_LBN 25
+#define        FRF_AB_XX_FORCE_SIG0_WIDTH 1
+#define        FRF_AB_XX_FORCE_SIG0_VAL_LBN 24
+#define        FRF_AB_XX_FORCE_SIG0_VAL_WIDTH 1
+#define        FRF_AB_XX_XGXS_LB_EN_LBN 23
+#define        FRF_AB_XX_XGXS_LB_EN_WIDTH 1
+#define        FRF_AB_XX_XGMII_LB_EN_LBN 22
+#define        FRF_AB_XX_XGMII_LB_EN_WIDTH 1
+#define        FRF_AB_XX_MATCH_FAULT_LBN 21
+#define        FRF_AB_XX_MATCH_FAULT_WIDTH 1
+#define        FRF_AB_XX_ALIGN_DONE_LBN 20
+#define        FRF_AB_XX_ALIGN_DONE_WIDTH 1
+#define        FRF_AB_XX_SYNC_STAT3_LBN 19
+#define        FRF_AB_XX_SYNC_STAT3_WIDTH 1
+#define        FRF_AB_XX_SYNC_STAT2_LBN 18
+#define        FRF_AB_XX_SYNC_STAT2_WIDTH 1
+#define        FRF_AB_XX_SYNC_STAT1_LBN 17
+#define        FRF_AB_XX_SYNC_STAT1_WIDTH 1
+#define        FRF_AB_XX_SYNC_STAT0_LBN 16
+#define        FRF_AB_XX_SYNC_STAT0_WIDTH 1
+#define        FRF_AB_XX_COMMA_DET_CH3_LBN 15
+#define        FRF_AB_XX_COMMA_DET_CH3_WIDTH 1
+#define        FRF_AB_XX_COMMA_DET_CH2_LBN 14
+#define        FRF_AB_XX_COMMA_DET_CH2_WIDTH 1
+#define        FRF_AB_XX_COMMA_DET_CH1_LBN 13
+#define        FRF_AB_XX_COMMA_DET_CH1_WIDTH 1
+#define        FRF_AB_XX_COMMA_DET_CH0_LBN 12
+#define        FRF_AB_XX_COMMA_DET_CH0_WIDTH 1
+#define        FRF_AB_XX_CGRP_ALIGN_CH3_LBN 11
+#define        FRF_AB_XX_CGRP_ALIGN_CH3_WIDTH 1
+#define        FRF_AB_XX_CGRP_ALIGN_CH2_LBN 10
+#define        FRF_AB_XX_CGRP_ALIGN_CH2_WIDTH 1
+#define        FRF_AB_XX_CGRP_ALIGN_CH1_LBN 9
+#define        FRF_AB_XX_CGRP_ALIGN_CH1_WIDTH 1
+#define        FRF_AB_XX_CGRP_ALIGN_CH0_LBN 8
+#define        FRF_AB_XX_CGRP_ALIGN_CH0_WIDTH 1
+#define        FRF_AB_XX_CHAR_ERR_CH3_LBN 7
+#define        FRF_AB_XX_CHAR_ERR_CH3_WIDTH 1
+#define        FRF_AB_XX_CHAR_ERR_CH2_LBN 6
+#define        FRF_AB_XX_CHAR_ERR_CH2_WIDTH 1
+#define        FRF_AB_XX_CHAR_ERR_CH1_LBN 5
+#define        FRF_AB_XX_CHAR_ERR_CH1_WIDTH 1
+#define        FRF_AB_XX_CHAR_ERR_CH0_LBN 4
+#define        FRF_AB_XX_CHAR_ERR_CH0_WIDTH 1
+#define        FRF_AB_XX_DISPERR_CH3_LBN 3
+#define        FRF_AB_XX_DISPERR_CH3_WIDTH 1
+#define        FRF_AB_XX_DISPERR_CH2_LBN 2
+#define        FRF_AB_XX_DISPERR_CH2_WIDTH 1
+#define        FRF_AB_XX_DISPERR_CH1_LBN 1
+#define        FRF_AB_XX_DISPERR_CH1_WIDTH 1
+#define        FRF_AB_XX_DISPERR_CH0_LBN 0
+#define        FRF_AB_XX_DISPERR_CH0_WIDTH 1
+
+/* RX_DESC_PTR_TBL_KER: Receive descriptor pointer table */
+#define        FR_AA_RX_DESC_PTR_TBL_KER 0x00011800
+#define        FR_AA_RX_DESC_PTR_TBL_KER_STEP 16
+#define        FR_AA_RX_DESC_PTR_TBL_KER_ROWS 4
+/* RX_DESC_PTR_TBL: Receive descriptor pointer table */
+#define        FR_BZ_RX_DESC_PTR_TBL 0x00f40000
+#define        FR_BZ_RX_DESC_PTR_TBL_STEP 16
+#define        FR_BB_RX_DESC_PTR_TBL_ROWS 4096
+#define        FR_CZ_RX_DESC_PTR_TBL_ROWS 1024
+#define        FRF_CZ_RX_HDR_SPLIT_LBN 90
+#define        FRF_CZ_RX_HDR_SPLIT_WIDTH 1
+#define        FRF_AA_RX_RESET_LBN 89
+#define        FRF_AA_RX_RESET_WIDTH 1
+#define        FRF_AZ_RX_ISCSI_DDIG_EN_LBN 88
+#define        FRF_AZ_RX_ISCSI_DDIG_EN_WIDTH 1
+#define        FRF_AZ_RX_ISCSI_HDIG_EN_LBN 87
+#define        FRF_AZ_RX_ISCSI_HDIG_EN_WIDTH 1
+#define        FRF_AZ_RX_DESC_PREF_ACT_LBN 86
+#define        FRF_AZ_RX_DESC_PREF_ACT_WIDTH 1
+#define        FRF_AZ_RX_DC_HW_RPTR_LBN 80
+#define        FRF_AZ_RX_DC_HW_RPTR_WIDTH 6
+#define        FRF_AZ_RX_DESCQ_HW_RPTR_LBN 68
+#define        FRF_AZ_RX_DESCQ_HW_RPTR_WIDTH 12
+#define        FRF_AZ_RX_DESCQ_SW_WPTR_LBN 56
+#define        FRF_AZ_RX_DESCQ_SW_WPTR_WIDTH 12
+#define        FRF_AZ_RX_DESCQ_BUF_BASE_ID_LBN 36
+#define        FRF_AZ_RX_DESCQ_BUF_BASE_ID_WIDTH 20
+#define        FRF_AZ_RX_DESCQ_EVQ_ID_LBN 24
+#define        FRF_AZ_RX_DESCQ_EVQ_ID_WIDTH 12
+#define        FRF_AZ_RX_DESCQ_OWNER_ID_LBN 10
+#define        FRF_AZ_RX_DESCQ_OWNER_ID_WIDTH 14
+#define        FRF_AZ_RX_DESCQ_LABEL_LBN 5
+#define        FRF_AZ_RX_DESCQ_LABEL_WIDTH 5
+#define        FRF_AZ_RX_DESCQ_SIZE_LBN 3
+#define        FRF_AZ_RX_DESCQ_SIZE_WIDTH 2
+#define        FFE_AZ_RX_DESCQ_SIZE_4K 3
+#define        FFE_AZ_RX_DESCQ_SIZE_2K 2
+#define        FFE_AZ_RX_DESCQ_SIZE_1K 1
+#define        FFE_AZ_RX_DESCQ_SIZE_512 0
+#define        FRF_AZ_RX_DESCQ_TYPE_LBN 2
+#define        FRF_AZ_RX_DESCQ_TYPE_WIDTH 1
+#define        FRF_AZ_RX_DESCQ_JUMBO_LBN 1
+#define        FRF_AZ_RX_DESCQ_JUMBO_WIDTH 1
+#define        FRF_AZ_RX_DESCQ_EN_LBN 0
+#define        FRF_AZ_RX_DESCQ_EN_WIDTH 1
+
+/* TX_DESC_PTR_TBL_KER: Transmit descriptor pointer */
+#define        FR_AA_TX_DESC_PTR_TBL_KER 0x00011900
+#define        FR_AA_TX_DESC_PTR_TBL_KER_STEP 16
+#define        FR_AA_TX_DESC_PTR_TBL_KER_ROWS 8
+/* TX_DESC_PTR_TBL: Transmit descriptor pointer */
+#define        FR_BZ_TX_DESC_PTR_TBL 0x00f50000
+#define        FR_BZ_TX_DESC_PTR_TBL_STEP 16
+#define        FR_BB_TX_DESC_PTR_TBL_ROWS 4096
+#define        FR_CZ_TX_DESC_PTR_TBL_ROWS 1024
+#define        FRF_CZ_TX_DPT_Q_MASK_WIDTH_LBN 94
+#define        FRF_CZ_TX_DPT_Q_MASK_WIDTH_WIDTH 2
+#define        FRF_CZ_TX_DPT_ETH_FILT_EN_LBN 93
+#define        FRF_CZ_TX_DPT_ETH_FILT_EN_WIDTH 1
+#define        FRF_CZ_TX_DPT_IP_FILT_EN_LBN 92
+#define        FRF_CZ_TX_DPT_IP_FILT_EN_WIDTH 1
+#define        FRF_BZ_TX_NON_IP_DROP_DIS_LBN 91
+#define        FRF_BZ_TX_NON_IP_DROP_DIS_WIDTH 1
+#define        FRF_BZ_TX_IP_CHKSM_DIS_LBN 90
+#define        FRF_BZ_TX_IP_CHKSM_DIS_WIDTH 1
+#define        FRF_BZ_TX_TCP_CHKSM_DIS_LBN 89
+#define        FRF_BZ_TX_TCP_CHKSM_DIS_WIDTH 1
+#define        FRF_AZ_TX_DESCQ_EN_LBN 88
+#define        FRF_AZ_TX_DESCQ_EN_WIDTH 1
+#define        FRF_AZ_TX_ISCSI_DDIG_EN_LBN 87
+#define        FRF_AZ_TX_ISCSI_DDIG_EN_WIDTH 1
+#define        FRF_AZ_TX_ISCSI_HDIG_EN_LBN 86
+#define        FRF_AZ_TX_ISCSI_HDIG_EN_WIDTH 1
+#define        FRF_AZ_TX_DC_HW_RPTR_LBN 80
+#define        FRF_AZ_TX_DC_HW_RPTR_WIDTH 6
+#define        FRF_AZ_TX_DESCQ_HW_RPTR_LBN 68
+#define        FRF_AZ_TX_DESCQ_HW_RPTR_WIDTH 12
+#define        FRF_AZ_TX_DESCQ_SW_WPTR_LBN 56
+#define        FRF_AZ_TX_DESCQ_SW_WPTR_WIDTH 12
+#define        FRF_AZ_TX_DESCQ_BUF_BASE_ID_LBN 36
+#define        FRF_AZ_TX_DESCQ_BUF_BASE_ID_WIDTH 20
+#define        FRF_AZ_TX_DESCQ_EVQ_ID_LBN 24
+#define        FRF_AZ_TX_DESCQ_EVQ_ID_WIDTH 12
+#define        FRF_AZ_TX_DESCQ_OWNER_ID_LBN 10
+#define        FRF_AZ_TX_DESCQ_OWNER_ID_WIDTH 14
+#define        FRF_AZ_TX_DESCQ_LABEL_LBN 5
+#define        FRF_AZ_TX_DESCQ_LABEL_WIDTH 5
+#define        FRF_AZ_TX_DESCQ_SIZE_LBN 3
+#define        FRF_AZ_TX_DESCQ_SIZE_WIDTH 2
+#define        FFE_AZ_TX_DESCQ_SIZE_4K 3
+#define        FFE_AZ_TX_DESCQ_SIZE_2K 2
+#define        FFE_AZ_TX_DESCQ_SIZE_1K 1
+#define        FFE_AZ_TX_DESCQ_SIZE_512 0
+#define        FRF_AZ_TX_DESCQ_TYPE_LBN 1
+#define        FRF_AZ_TX_DESCQ_TYPE_WIDTH 2
+#define        FRF_AZ_TX_DESCQ_FLUSH_LBN 0
+#define        FRF_AZ_TX_DESCQ_FLUSH_WIDTH 1
+
+/* EVQ_PTR_TBL_KER: Event queue pointer table */
+#define        FR_AA_EVQ_PTR_TBL_KER 0x00011a00
+#define        FR_AA_EVQ_PTR_TBL_KER_STEP 16
+#define        FR_AA_EVQ_PTR_TBL_KER_ROWS 4
+/* EVQ_PTR_TBL: Event queue pointer table */
+#define        FR_BZ_EVQ_PTR_TBL 0x00f60000
+#define        FR_BZ_EVQ_PTR_TBL_STEP 16
+#define        FR_CZ_EVQ_PTR_TBL_ROWS 1024
+#define        FR_BB_EVQ_PTR_TBL_ROWS 4096
+#define        FRF_BZ_EVQ_RPTR_IGN_LBN 40
+#define        FRF_BZ_EVQ_RPTR_IGN_WIDTH 1
+#define        FRF_AB_EVQ_WKUP_OR_INT_EN_LBN 39
+#define        FRF_AB_EVQ_WKUP_OR_INT_EN_WIDTH 1
+#define        FRF_CZ_EVQ_DOS_PROTECT_EN_LBN 39
+#define        FRF_CZ_EVQ_DOS_PROTECT_EN_WIDTH 1
+#define        FRF_AZ_EVQ_NXT_WPTR_LBN 24
+#define        FRF_AZ_EVQ_NXT_WPTR_WIDTH 15
+#define        FRF_AZ_EVQ_EN_LBN 23
+#define        FRF_AZ_EVQ_EN_WIDTH 1
+#define        FRF_AZ_EVQ_SIZE_LBN 20
+#define        FRF_AZ_EVQ_SIZE_WIDTH 3
+#define        FFE_AZ_EVQ_SIZE_32K 6
+#define        FFE_AZ_EVQ_SIZE_16K 5
+#define        FFE_AZ_EVQ_SIZE_8K 4
+#define        FFE_AZ_EVQ_SIZE_4K 3
+#define        FFE_AZ_EVQ_SIZE_2K 2
+#define        FFE_AZ_EVQ_SIZE_1K 1
+#define        FFE_AZ_EVQ_SIZE_512 0
+#define        FRF_AZ_EVQ_BUF_BASE_ID_LBN 0
+#define        FRF_AZ_EVQ_BUF_BASE_ID_WIDTH 20
+
+/* BUF_HALF_TBL_KER: Buffer table in half buffer table mode direct access by driver */
+#define        FR_AA_BUF_HALF_TBL_KER 0x00018000
+#define        FR_AA_BUF_HALF_TBL_KER_STEP 8
+#define        FR_AA_BUF_HALF_TBL_KER_ROWS 4096
+/* BUF_HALF_TBL: Buffer table in half buffer table mode direct access by driver */
+#define        FR_BZ_BUF_HALF_TBL 0x00800000
+#define        FR_BZ_BUF_HALF_TBL_STEP 8
+#define        FR_CZ_BUF_HALF_TBL_ROWS 147456
+#define        FR_BB_BUF_HALF_TBL_ROWS 524288
+#define        FRF_AZ_BUF_ADR_HBUF_ODD_LBN 44
+#define        FRF_AZ_BUF_ADR_HBUF_ODD_WIDTH 20
+#define        FRF_AZ_BUF_OWNER_ID_HBUF_ODD_LBN 32
+#define        FRF_AZ_BUF_OWNER_ID_HBUF_ODD_WIDTH 12
+#define        FRF_AZ_BUF_ADR_HBUF_EVEN_LBN 12
+#define        FRF_AZ_BUF_ADR_HBUF_EVEN_WIDTH 20
+#define        FRF_AZ_BUF_OWNER_ID_HBUF_EVEN_LBN 0
+#define        FRF_AZ_BUF_OWNER_ID_HBUF_EVEN_WIDTH 12
+
+/* BUF_FULL_TBL_KER: Buffer table in full buffer table mode direct access by driver */
+#define        FR_AA_BUF_FULL_TBL_KER 0x00018000
+#define        FR_AA_BUF_FULL_TBL_KER_STEP 8
+#define        FR_AA_BUF_FULL_TBL_KER_ROWS 4096
+/* BUF_FULL_TBL: Buffer table in full buffer table mode direct access by driver */
+#define        FR_BZ_BUF_FULL_TBL 0x00800000
+#define        FR_BZ_BUF_FULL_TBL_STEP 8
+#define        FR_CZ_BUF_FULL_TBL_ROWS 147456
+#define        FR_BB_BUF_FULL_TBL_ROWS 917504
+#define        FRF_AZ_BUF_FULL_UNUSED_LBN 51
+#define        FRF_AZ_BUF_FULL_UNUSED_WIDTH 13
+#define        FRF_AZ_IP_DAT_BUF_SIZE_LBN 50
+#define        FRF_AZ_IP_DAT_BUF_SIZE_WIDTH 1
+#define        FRF_AZ_BUF_ADR_REGION_LBN 48
+#define        FRF_AZ_BUF_ADR_REGION_WIDTH 2
+#define        FFE_AZ_BUF_ADR_REGN3 3
+#define        FFE_AZ_BUF_ADR_REGN2 2
+#define        FFE_AZ_BUF_ADR_REGN1 1
+#define        FFE_AZ_BUF_ADR_REGN0 0
+#define        FRF_AZ_BUF_ADR_FBUF_LBN 14
+#define        FRF_AZ_BUF_ADR_FBUF_WIDTH 34
+#define        FRF_AZ_BUF_OWNER_ID_FBUF_LBN 0
+#define        FRF_AZ_BUF_OWNER_ID_FBUF_WIDTH 14
+
+/* RX_FILTER_TBL0: TCP/IPv4 Receive filter table */
+#define        FR_BZ_RX_FILTER_TBL0 0x00f00000
+#define        FR_BZ_RX_FILTER_TBL0_STEP 32
+#define        FR_BZ_RX_FILTER_TBL0_ROWS 8192
+/* RX_FILTER_TBL1: TCP/IPv4 Receive filter table */
+#define        FR_BB_RX_FILTER_TBL1 0x00f00010
+#define        FR_BB_RX_FILTER_TBL1_STEP 32
+#define        FR_BB_RX_FILTER_TBL1_ROWS 8192
+#define        FRF_BZ_RSS_EN_LBN 110
+#define        FRF_BZ_RSS_EN_WIDTH 1
+#define        FRF_BZ_SCATTER_EN_LBN 109
+#define        FRF_BZ_SCATTER_EN_WIDTH 1
+#define        FRF_BZ_TCP_UDP_LBN 108
+#define        FRF_BZ_TCP_UDP_WIDTH 1
+#define        FRF_BZ_RXQ_ID_LBN 96
+#define        FRF_BZ_RXQ_ID_WIDTH 12
+#define        FRF_BZ_DEST_IP_LBN 64
+#define        FRF_BZ_DEST_IP_WIDTH 32
+#define        FRF_BZ_DEST_PORT_TCP_LBN 48
+#define        FRF_BZ_DEST_PORT_TCP_WIDTH 16
+#define        FRF_BZ_SRC_IP_LBN 16
+#define        FRF_BZ_SRC_IP_WIDTH 32
+#define        FRF_BZ_SRC_TCP_DEST_UDP_LBN 0
+#define        FRF_BZ_SRC_TCP_DEST_UDP_WIDTH 16
+
+/* RX_MAC_FILTER_TBL0: Receive Ethernet filter table */
+#define        FR_CZ_RX_MAC_FILTER_TBL0 0x00f00010
+#define        FR_CZ_RX_MAC_FILTER_TBL0_STEP 32
+#define        FR_CZ_RX_MAC_FILTER_TBL0_ROWS 512
+#define        FRF_CZ_RMFT_RSS_EN_LBN 75
+#define        FRF_CZ_RMFT_RSS_EN_WIDTH 1
+#define        FRF_CZ_RMFT_SCATTER_EN_LBN 74
+#define        FRF_CZ_RMFT_SCATTER_EN_WIDTH 1
+#define        FRF_CZ_RMFT_IP_OVERRIDE_LBN 73
+#define        FRF_CZ_RMFT_IP_OVERRIDE_WIDTH 1
+#define        FRF_CZ_RMFT_RXQ_ID_LBN 61
+#define        FRF_CZ_RMFT_RXQ_ID_WIDTH 12
+#define        FRF_CZ_RMFT_WILDCARD_MATCH_LBN 60
+#define        FRF_CZ_RMFT_WILDCARD_MATCH_WIDTH 1
+#define        FRF_CZ_RMFT_DEST_MAC_LBN 12
+#define        FRF_CZ_RMFT_DEST_MAC_WIDTH 48
+#define        FRF_CZ_RMFT_VLAN_ID_LBN 0
+#define        FRF_CZ_RMFT_VLAN_ID_WIDTH 12
+
+/* TIMER_TBL: Timer table */
+#define        FR_BZ_TIMER_TBL 0x00f70000
+#define        FR_BZ_TIMER_TBL_STEP 16
+#define        FR_CZ_TIMER_TBL_ROWS 1024
+#define        FR_BB_TIMER_TBL_ROWS 4096
+#define        FRF_CZ_TIMER_Q_EN_LBN 33
+#define        FRF_CZ_TIMER_Q_EN_WIDTH 1
+#define        FRF_CZ_INT_ARMD_LBN 32
+#define        FRF_CZ_INT_ARMD_WIDTH 1
+#define        FRF_CZ_INT_PEND_LBN 31
+#define        FRF_CZ_INT_PEND_WIDTH 1
+#define        FRF_CZ_HOST_NOTIFY_MODE_LBN 30
+#define        FRF_CZ_HOST_NOTIFY_MODE_WIDTH 1
+#define        FRF_CZ_RELOAD_TIMER_VAL_LBN 16
+#define        FRF_CZ_RELOAD_TIMER_VAL_WIDTH 14
+#define        FRF_CZ_TIMER_MODE_LBN 14
+#define        FRF_CZ_TIMER_MODE_WIDTH 2
+#define        FFE_CZ_TIMER_MODE_INT_HLDOFF 3
+#define        FFE_CZ_TIMER_MODE_TRIG_START 2
+#define        FFE_CZ_TIMER_MODE_IMMED_START 1
+#define        FFE_CZ_TIMER_MODE_DIS 0
+#define        FRF_BB_TIMER_MODE_LBN 12
+#define        FRF_BB_TIMER_MODE_WIDTH 2
+#define        FFE_BB_TIMER_MODE_INT_HLDOFF 2
+#define        FFE_BB_TIMER_MODE_TRIG_START 2
+#define        FFE_BB_TIMER_MODE_IMMED_START 1
+#define        FFE_BB_TIMER_MODE_DIS 0
+#define        FRF_CZ_TIMER_VAL_LBN 0
+#define        FRF_CZ_TIMER_VAL_WIDTH 14
+#define        FRF_BB_TIMER_VAL_LBN 0
+#define        FRF_BB_TIMER_VAL_WIDTH 12
+
+/* TX_PACE_TBL: Transmit pacing table */
+#define        FR_BZ_TX_PACE_TBL 0x00f80000
+#define        FR_BZ_TX_PACE_TBL_STEP 16
+#define        FR_CZ_TX_PACE_TBL_ROWS 1024
+#define        FR_BB_TX_PACE_TBL_ROWS 4096
+#define        FRF_BZ_TX_PACE_LBN 0
+#define        FRF_BZ_TX_PACE_WIDTH 5
+
+/* RX_INDIRECTION_TBL: RX Indirection Table */
+#define        FR_BZ_RX_INDIRECTION_TBL 0x00fb0000
+#define        FR_BZ_RX_INDIRECTION_TBL_STEP 16
+#define        FR_BZ_RX_INDIRECTION_TBL_ROWS 128
+#define        FRF_BZ_IT_QUEUE_LBN 0
+#define        FRF_BZ_IT_QUEUE_WIDTH 6
+
+/* TX_FILTER_TBL0: TCP/IPv4 Transmit filter table */
+#define        FR_CZ_TX_FILTER_TBL0 0x00fc0000
+#define        FR_CZ_TX_FILTER_TBL0_STEP 16
+#define        FR_CZ_TX_FILTER_TBL0_ROWS 8192
+#define        FRF_CZ_TIFT_TCP_UDP_LBN 108
+#define        FRF_CZ_TIFT_TCP_UDP_WIDTH 1
+#define        FRF_CZ_TIFT_TXQ_ID_LBN 96
+#define        FRF_CZ_TIFT_TXQ_ID_WIDTH 12
+#define        FRF_CZ_TIFT_DEST_IP_LBN 64
+#define        FRF_CZ_TIFT_DEST_IP_WIDTH 32
+#define        FRF_CZ_TIFT_DEST_PORT_TCP_LBN 48
+#define        FRF_CZ_TIFT_DEST_PORT_TCP_WIDTH 16
+#define        FRF_CZ_TIFT_SRC_IP_LBN 16
+#define        FRF_CZ_TIFT_SRC_IP_WIDTH 32
+#define        FRF_CZ_TIFT_SRC_TCP_DEST_UDP_LBN 0
+#define        FRF_CZ_TIFT_SRC_TCP_DEST_UDP_WIDTH 16
+
+/* TX_MAC_FILTER_TBL0: Transmit Ethernet filter table */
+#define        FR_CZ_TX_MAC_FILTER_TBL0 0x00fe0000
+#define        FR_CZ_TX_MAC_FILTER_TBL0_STEP 16
+#define        FR_CZ_TX_MAC_FILTER_TBL0_ROWS 512
+#define        FRF_CZ_TMFT_TXQ_ID_LBN 61
+#define        FRF_CZ_TMFT_TXQ_ID_WIDTH 12
+#define        FRF_CZ_TMFT_WILDCARD_MATCH_LBN 60
+#define        FRF_CZ_TMFT_WILDCARD_MATCH_WIDTH 1
+#define        FRF_CZ_TMFT_SRC_MAC_LBN 12
+#define        FRF_CZ_TMFT_SRC_MAC_WIDTH 48
+#define        FRF_CZ_TMFT_VLAN_ID_LBN 0
+#define        FRF_CZ_TMFT_VLAN_ID_WIDTH 12
+
+/* MC_TREG_SMEM: MC Shared Memory */
+#define        FR_CZ_MC_TREG_SMEM 0x00ff0000
+#define        FR_CZ_MC_TREG_SMEM_STEP 4
+#define        FR_CZ_MC_TREG_SMEM_ROWS 512
+#define        FRF_CZ_MC_TREG_SMEM_ROW_LBN 0
+#define        FRF_CZ_MC_TREG_SMEM_ROW_WIDTH 32
+
+/* MSIX_VECTOR_TABLE: MSIX Vector Table */
+#define        FR_BB_MSIX_VECTOR_TABLE 0x00ff0000
+#define        FR_BZ_MSIX_VECTOR_TABLE_STEP 16
+#define        FR_BB_MSIX_VECTOR_TABLE_ROWS 64
+/* MSIX_VECTOR_TABLE: MSIX Vector Table */
+#define        FR_CZ_MSIX_VECTOR_TABLE 0x00000000
+/* FR_BZ_MSIX_VECTOR_TABLE_STEP 16 */
+#define        FR_CZ_MSIX_VECTOR_TABLE_ROWS 1024
+#define        FRF_BZ_MSIX_VECTOR_RESERVED_LBN 97
+#define        FRF_BZ_MSIX_VECTOR_RESERVED_WIDTH 31
+#define        FRF_BZ_MSIX_VECTOR_MASK_LBN 96
+#define        FRF_BZ_MSIX_VECTOR_MASK_WIDTH 1
+#define        FRF_BZ_MSIX_MESSAGE_DATA_LBN 64
+#define        FRF_BZ_MSIX_MESSAGE_DATA_WIDTH 32
+#define        FRF_BZ_MSIX_MESSAGE_ADDRESS_HI_LBN 32
+#define        FRF_BZ_MSIX_MESSAGE_ADDRESS_HI_WIDTH 32
+#define        FRF_BZ_MSIX_MESSAGE_ADDRESS_LO_LBN 0
+#define        FRF_BZ_MSIX_MESSAGE_ADDRESS_LO_WIDTH 32
+
+/* MSIX_PBA_TABLE: MSIX Pending Bit Array */
+#define        FR_BB_MSIX_PBA_TABLE 0x00ff2000
+#define        FR_BZ_MSIX_PBA_TABLE_STEP 4
+#define        FR_BB_MSIX_PBA_TABLE_ROWS 2
+/* MSIX_PBA_TABLE: MSIX Pending Bit Array */
+#define        FR_CZ_MSIX_PBA_TABLE 0x00008000
+/* FR_BZ_MSIX_PBA_TABLE_STEP 4 */
+#define        FR_CZ_MSIX_PBA_TABLE_ROWS 32
+#define        FRF_BZ_MSIX_PBA_PEND_DWORD_LBN 0
+#define        FRF_BZ_MSIX_PBA_PEND_DWORD_WIDTH 32
+
+/* SRM_DBG_REG: SRAM debug access */
+#define        FR_BZ_SRM_DBG 0x03000000
+#define        FR_BZ_SRM_DBG_STEP 8
+#define        FR_CZ_SRM_DBG_ROWS 262144
+#define        FR_BB_SRM_DBG_ROWS 2097152
+#define        FRF_BZ_SRM_DBG_LBN 0
+#define        FRF_BZ_SRM_DBG_WIDTH 64
+
+/* TB_MSIX_PBA_TABLE: MSIX Pending Bit Array */
+#define        FR_CZ_TB_MSIX_PBA_TABLE 0x00008000
+#define        FR_CZ_TB_MSIX_PBA_TABLE_STEP 4
+#define        FR_CZ_TB_MSIX_PBA_TABLE_ROWS 1024
+#define        FRF_CZ_TB_MSIX_PBA_PEND_DWORD_LBN 0
+#define        FRF_CZ_TB_MSIX_PBA_PEND_DWORD_WIDTH 32
+
+/* DRIVER_EV */
+#define        FSF_AZ_DRIVER_EV_SUBCODE_LBN 56
+#define        FSF_AZ_DRIVER_EV_SUBCODE_WIDTH 4
+#define        FSE_BZ_TX_DSC_ERROR_EV 15
+#define        FSE_BZ_RX_DSC_ERROR_EV 14
+#define        FSE_AA_RX_RECOVER_EV 11
+#define        FSE_AZ_TIMER_EV 10
+#define        FSE_AZ_TX_PKT_NON_TCP_UDP 9
+#define        FSE_AZ_WAKE_UP_EV 6
+#define        FSE_AZ_SRM_UPD_DONE_EV 5
+#define        FSE_AB_EVQ_NOT_EN_EV 3
+#define        FSE_AZ_EVQ_INIT_DONE_EV 2
+#define        FSE_AZ_RX_DESCQ_FLS_DONE_EV 1
+#define        FSE_AZ_TX_DESCQ_FLS_DONE_EV 0
+#define        FSF_AZ_DRIVER_EV_SUBDATA_LBN 0
+#define        FSF_AZ_DRIVER_EV_SUBDATA_WIDTH 14
+
+/* EVENT_ENTRY */
+#define        FSF_AZ_EV_CODE_LBN 60
+#define        FSF_AZ_EV_CODE_WIDTH 4
+#define        FSE_CZ_EV_CODE_MCDI_EV 12
+#define        FSE_CZ_EV_CODE_USER_EV 8
+#define        FSE_AZ_EV_CODE_DRV_GEN_EV 7
+#define        FSE_AZ_EV_CODE_GLOBAL_EV 6
+#define        FSE_AZ_EV_CODE_DRIVER_EV 5
+#define        FSE_AZ_EV_CODE_TX_EV 2
+#define        FSE_AZ_EV_CODE_RX_EV 0
+#define        FSF_AZ_EV_DATA_LBN 0
+#define        FSF_AZ_EV_DATA_WIDTH 60
+
+/* GLOBAL_EV */
+#define        FSF_BB_GLB_EV_RX_RECOVERY_LBN 12
+#define        FSF_BB_GLB_EV_RX_RECOVERY_WIDTH 1
+#define        FSF_AA_GLB_EV_RX_RECOVERY_LBN 11
+#define        FSF_AA_GLB_EV_RX_RECOVERY_WIDTH 1
+#define        FSF_BB_GLB_EV_XG_MGT_INTR_LBN 11
+#define        FSF_BB_GLB_EV_XG_MGT_INTR_WIDTH 1
+#define        FSF_AB_GLB_EV_XFP_PHY0_INTR_LBN 10
+#define        FSF_AB_GLB_EV_XFP_PHY0_INTR_WIDTH 1
+#define        FSF_AB_GLB_EV_XG_PHY0_INTR_LBN 9
+#define        FSF_AB_GLB_EV_XG_PHY0_INTR_WIDTH 1
+#define        FSF_AB_GLB_EV_G_PHY0_INTR_LBN 7
+#define        FSF_AB_GLB_EV_G_PHY0_INTR_WIDTH 1
+
+/* LEGACY_INT_VEC */
+#define        FSF_AZ_NET_IVEC_FATAL_INT_LBN 64
+#define        FSF_AZ_NET_IVEC_FATAL_INT_WIDTH 1
+#define        FSF_AZ_NET_IVEC_INT_Q_LBN 40
+#define        FSF_AZ_NET_IVEC_INT_Q_WIDTH 4
+#define        FSF_AZ_NET_IVEC_INT_FLAG_LBN 32
+#define        FSF_AZ_NET_IVEC_INT_FLAG_WIDTH 1
+#define        FSF_AZ_NET_IVEC_EVQ_FIFO_HF_LBN 1
+#define        FSF_AZ_NET_IVEC_EVQ_FIFO_HF_WIDTH 1
+#define        FSF_AZ_NET_IVEC_EVQ_FIFO_AF_LBN 0
+#define        FSF_AZ_NET_IVEC_EVQ_FIFO_AF_WIDTH 1
+
+/* MC_XGMAC_FLTR_RULE_DEF */
+#define        FSF_CZ_MC_XFRC_MODE_LBN 416
+#define        FSF_CZ_MC_XFRC_MODE_WIDTH 1
+#define        FSE_CZ_MC_XFRC_MODE_LAYERED 1
+#define        FSE_CZ_MC_XFRC_MODE_SIMPLE 0
+#define        FSF_CZ_MC_XFRC_HASH_LBN 384
+#define        FSF_CZ_MC_XFRC_HASH_WIDTH 32
+#define        FSF_CZ_MC_XFRC_LAYER4_BYTE_MASK_LBN 256
+#define        FSF_CZ_MC_XFRC_LAYER4_BYTE_MASK_WIDTH 128
+#define        FSF_CZ_MC_XFRC_LAYER3_BYTE_MASK_LBN 128
+#define        FSF_CZ_MC_XFRC_LAYER3_BYTE_MASK_WIDTH 128
+#define        FSF_CZ_MC_XFRC_LAYER2_OR_SIMPLE_BYTE_MASK_LBN 0
+#define        FSF_CZ_MC_XFRC_LAYER2_OR_SIMPLE_BYTE_MASK_WIDTH 128
+
+/* RX_EV */
+#define        FSF_CZ_RX_EV_PKT_NOT_PARSED_LBN 58
+#define        FSF_CZ_RX_EV_PKT_NOT_PARSED_WIDTH 1
+#define        FSF_CZ_RX_EV_IPV6_PKT_LBN 57
+#define        FSF_CZ_RX_EV_IPV6_PKT_WIDTH 1
+#define        FSF_AZ_RX_EV_PKT_OK_LBN 56
+#define        FSF_AZ_RX_EV_PKT_OK_WIDTH 1
+#define        FSF_AZ_RX_EV_PAUSE_FRM_ERR_LBN 55
+#define        FSF_AZ_RX_EV_PAUSE_FRM_ERR_WIDTH 1
+#define        FSF_AZ_RX_EV_BUF_OWNER_ID_ERR_LBN 54
+#define        FSF_AZ_RX_EV_BUF_OWNER_ID_ERR_WIDTH 1
+#define        FSF_AZ_RX_EV_IP_FRAG_ERR_LBN 53
+#define        FSF_AZ_RX_EV_IP_FRAG_ERR_WIDTH 1
+#define        FSF_AZ_RX_EV_IP_HDR_CHKSUM_ERR_LBN 52
+#define        FSF_AZ_RX_EV_IP_HDR_CHKSUM_ERR_WIDTH 1
+#define        FSF_AZ_RX_EV_TCP_UDP_CHKSUM_ERR_LBN 51
+#define        FSF_AZ_RX_EV_TCP_UDP_CHKSUM_ERR_WIDTH 1
+#define        FSF_AZ_RX_EV_ETH_CRC_ERR_LBN 50
+#define        FSF_AZ_RX_EV_ETH_CRC_ERR_WIDTH 1
+#define        FSF_AZ_RX_EV_FRM_TRUNC_LBN 49
+#define        FSF_AZ_RX_EV_FRM_TRUNC_WIDTH 1
+#define        FSF_AA_RX_EV_DRIB_NIB_LBN 49
+#define        FSF_AA_RX_EV_DRIB_NIB_WIDTH 1
+#define        FSF_AZ_RX_EV_TOBE_DISC_LBN 47
+#define        FSF_AZ_RX_EV_TOBE_DISC_WIDTH 1
+#define        FSF_AZ_RX_EV_PKT_TYPE_LBN 44
+#define        FSF_AZ_RX_EV_PKT_TYPE_WIDTH 3
+#define        FSE_AZ_RX_EV_PKT_TYPE_VLAN_JUMBO 5
+#define        FSE_AZ_RX_EV_PKT_TYPE_VLAN_LLC 4
+#define        FSE_AZ_RX_EV_PKT_TYPE_VLAN 3
+#define        FSE_AZ_RX_EV_PKT_TYPE_JUMBO 2
+#define        FSE_AZ_RX_EV_PKT_TYPE_LLC 1
+#define        FSE_AZ_RX_EV_PKT_TYPE_ETH 0
+#define        FSF_AZ_RX_EV_HDR_TYPE_LBN 42
+#define        FSF_AZ_RX_EV_HDR_TYPE_WIDTH 2
+#define        FSE_AZ_RX_EV_HDR_TYPE_OTHER 3
+#define        FSE_AB_RX_EV_HDR_TYPE_IPV4_OTHER 2
+#define        FSE_CZ_RX_EV_HDR_TYPE_IPV4V6_OTHER 2
+#define        FSE_AB_RX_EV_HDR_TYPE_IPV4_UDP 1
+#define        FSE_CZ_RX_EV_HDR_TYPE_IPV4V6_UDP 1
+#define        FSE_AB_RX_EV_HDR_TYPE_IPV4_TCP 0
+#define        FSE_CZ_RX_EV_HDR_TYPE_IPV4V6_TCP 0
+#define        FSF_AZ_RX_EV_DESC_Q_EMPTY_LBN 41
+#define        FSF_AZ_RX_EV_DESC_Q_EMPTY_WIDTH 1
+#define        FSF_AZ_RX_EV_MCAST_HASH_MATCH_LBN 40
+#define        FSF_AZ_RX_EV_MCAST_HASH_MATCH_WIDTH 1
+#define        FSF_AZ_RX_EV_MCAST_PKT_LBN 39
+#define        FSF_AZ_RX_EV_MCAST_PKT_WIDTH 1
+#define        FSF_AA_RX_EV_RECOVERY_FLAG_LBN 37
+#define        FSF_AA_RX_EV_RECOVERY_FLAG_WIDTH 1
+#define        FSF_AZ_RX_EV_Q_LABEL_LBN 32
+#define        FSF_AZ_RX_EV_Q_LABEL_WIDTH 5
+#define        FSF_AZ_RX_EV_JUMBO_CONT_LBN 31
+#define        FSF_AZ_RX_EV_JUMBO_CONT_WIDTH 1
+#define        FSF_AZ_RX_EV_PORT_LBN 30
+#define        FSF_AZ_RX_EV_PORT_WIDTH 1
+#define        FSF_AZ_RX_EV_BYTE_CNT_LBN 16
+#define        FSF_AZ_RX_EV_BYTE_CNT_WIDTH 14
+#define        FSF_AZ_RX_EV_SOP_LBN 15
+#define        FSF_AZ_RX_EV_SOP_WIDTH 1
+#define        FSF_AZ_RX_EV_ISCSI_PKT_OK_LBN 14
+#define        FSF_AZ_RX_EV_ISCSI_PKT_OK_WIDTH 1
+#define        FSF_AZ_RX_EV_ISCSI_DDIG_ERR_LBN 13
+#define        FSF_AZ_RX_EV_ISCSI_DDIG_ERR_WIDTH 1
+#define        FSF_AZ_RX_EV_ISCSI_HDIG_ERR_LBN 12
+#define        FSF_AZ_RX_EV_ISCSI_HDIG_ERR_WIDTH 1
+#define        FSF_AZ_RX_EV_DESC_PTR_LBN 0
+#define        FSF_AZ_RX_EV_DESC_PTR_WIDTH 12
+
+/* RX_KER_DESC */
+#define        FSF_AZ_RX_KER_BUF_SIZE_LBN 48
+#define        FSF_AZ_RX_KER_BUF_SIZE_WIDTH 14
+#define        FSF_AZ_RX_KER_BUF_REGION_LBN 46
+#define        FSF_AZ_RX_KER_BUF_REGION_WIDTH 2
+#define        FSF_AZ_RX_KER_BUF_ADDR_LBN 0
+#define        FSF_AZ_RX_KER_BUF_ADDR_WIDTH 46
+
+/* RX_USER_DESC */
+#define        FSF_AZ_RX_USER_2BYTE_OFFSET_LBN 20
+#define        FSF_AZ_RX_USER_2BYTE_OFFSET_WIDTH 12
+#define        FSF_AZ_RX_USER_BUF_ID_LBN 0
+#define        FSF_AZ_RX_USER_BUF_ID_WIDTH 20
+
+/* TX_EV */
+#define        FSF_AZ_TX_EV_PKT_ERR_LBN 38
+#define        FSF_AZ_TX_EV_PKT_ERR_WIDTH 1
+#define        FSF_AZ_TX_EV_PKT_TOO_BIG_LBN 37
+#define        FSF_AZ_TX_EV_PKT_TOO_BIG_WIDTH 1
+#define        FSF_AZ_TX_EV_Q_LABEL_LBN 32
+#define        FSF_AZ_TX_EV_Q_LABEL_WIDTH 5
+#define        FSF_AZ_TX_EV_PORT_LBN 16
+#define        FSF_AZ_TX_EV_PORT_WIDTH 1
+#define        FSF_AZ_TX_EV_WQ_FF_FULL_LBN 15
+#define        FSF_AZ_TX_EV_WQ_FF_FULL_WIDTH 1
+#define        FSF_AZ_TX_EV_BUF_OWNER_ID_ERR_LBN 14
+#define        FSF_AZ_TX_EV_BUF_OWNER_ID_ERR_WIDTH 1
+#define        FSF_AZ_TX_EV_COMP_LBN 12
+#define        FSF_AZ_TX_EV_COMP_WIDTH 1
+#define        FSF_AZ_TX_EV_DESC_PTR_LBN 0
+#define        FSF_AZ_TX_EV_DESC_PTR_WIDTH 12
+
+/* TX_KER_DESC */
+#define        FSF_AZ_TX_KER_CONT_LBN 62
+#define        FSF_AZ_TX_KER_CONT_WIDTH 1
+#define        FSF_AZ_TX_KER_BYTE_COUNT_LBN 48
+#define        FSF_AZ_TX_KER_BYTE_COUNT_WIDTH 14
+#define        FSF_AZ_TX_KER_BUF_REGION_LBN 46
+#define        FSF_AZ_TX_KER_BUF_REGION_WIDTH 2
+#define        FSF_AZ_TX_KER_BUF_ADDR_LBN 0
+#define        FSF_AZ_TX_KER_BUF_ADDR_WIDTH 46
+
+/* TX_USER_DESC */
+#define        FSF_AZ_TX_USER_SW_EV_EN_LBN 48
+#define        FSF_AZ_TX_USER_SW_EV_EN_WIDTH 1
+#define        FSF_AZ_TX_USER_CONT_LBN 46
+#define        FSF_AZ_TX_USER_CONT_WIDTH 1
+#define        FSF_AZ_TX_USER_BYTE_CNT_LBN 33
+#define        FSF_AZ_TX_USER_BYTE_CNT_WIDTH 13
+#define        FSF_AZ_TX_USER_BUF_ID_LBN 13
+#define        FSF_AZ_TX_USER_BUF_ID_WIDTH 20
+#define        FSF_AZ_TX_USER_BYTE_OFS_LBN 0
+#define        FSF_AZ_TX_USER_BYTE_OFS_WIDTH 13
+
+/* USER_EV */
+#define        FSF_CZ_USER_QID_LBN 32
+#define        FSF_CZ_USER_QID_WIDTH 10
+#define        FSF_CZ_USER_EV_REG_VALUE_LBN 0
+#define        FSF_CZ_USER_EV_REG_VALUE_WIDTH 32
+
+/**************************************************************************
+ *
+ * Falcon B0 PCIe core indirect registers
+ *
+ **************************************************************************
+ */
+
+#define FPCR_BB_PCIE_DEVICE_CTRL_STAT 0x68
+
+#define FPCR_BB_PCIE_LINK_CTRL_STAT 0x70
+
+#define FPCR_BB_ACK_RPL_TIMER 0x700
+#define FPCRF_BB_ACK_TL_LBN 0
+#define FPCRF_BB_ACK_TL_WIDTH 16
+#define FPCRF_BB_RPL_TL_LBN 16
+#define FPCRF_BB_RPL_TL_WIDTH 16
+
+#define FPCR_BB_ACK_FREQ 0x70C
+#define FPCRF_BB_ACK_FREQ_LBN 0
+#define FPCRF_BB_ACK_FREQ_WIDTH 7
+
+/**************************************************************************
+ *
+ * Pseudo-registers and fields
+ *
+ **************************************************************************
+ */
+
+/* Interrupt acknowledge work-around register (A0/A1 only) */
+#define FR_AA_WORK_AROUND_BROKEN_PCI_READS 0x0070
+
+/* EE_SPI_HCMD_REG: SPI host command register */
+/* Values for the EE_SPI_HCMD_SF_SEL register field */
+#define FFE_AB_SPI_DEVICE_EEPROM 0
+#define FFE_AB_SPI_DEVICE_FLASH 1
+
+/* NIC_STAT_REG: NIC status register */
+#define FRF_AB_STRAP_10G_LBN 2
+#define FRF_AB_STRAP_10G_WIDTH 1
+#define FRF_AA_STRAP_PCIE_LBN 0
+#define FRF_AA_STRAP_PCIE_WIDTH 1
+
+/* FATAL_INTR_REG_KER: Fatal interrupt register for Kernel */
+#define FRF_AZ_FATAL_INTR_LBN 0
+#define FRF_AZ_FATAL_INTR_WIDTH 12
+
+/* SRM_CFG_REG: SRAM configuration register */
+/* We treat the number of SRAM banks and bank size as a single field */
+#define        FRF_AZ_SRM_NB_SZ_LBN FRF_AZ_SRM_BANK_SIZE_LBN
+#define        FRF_AZ_SRM_NB_SZ_WIDTH \
+       (FRF_AZ_SRM_BANK_SIZE_WIDTH + FRF_AZ_SRM_NUM_BANK_WIDTH)
+#define FFE_AB_SRM_NB1_SZ2M 0
+#define FFE_AB_SRM_NB1_SZ4M 1
+#define FFE_AB_SRM_NB1_SZ8M 2
+#define FFE_AB_SRM_NB_SZ_DEF 3
+#define FFE_AB_SRM_NB2_SZ4M 4
+#define FFE_AB_SRM_NB2_SZ8M 5
+#define FFE_AB_SRM_NB2_SZ16M 6
+#define FFE_AB_SRM_NB_SZ_RES 7
+
+/* RX_DESC_UPD_REGP0: Receive descriptor update register. */
+/* We write just the last dword of these registers */
+#define        FR_AZ_RX_DESC_UPD_DWORD_P0 \
+       (BUILD_BUG_ON_ZERO(FR_AA_RX_DESC_UPD_KER != FR_BZ_RX_DESC_UPD_P0) + \
+        FR_BZ_RX_DESC_UPD_P0 + 3 * 4)
+#define        FRF_AZ_RX_DESC_WPTR_DWORD_LBN (FRF_AZ_RX_DESC_WPTR_LBN - 3 * 32)
+#define        FRF_AZ_RX_DESC_WPTR_DWORD_WIDTH FRF_AZ_RX_DESC_WPTR_WIDTH
+
+/* TX_DESC_UPD_REGP0: Transmit descriptor update register. */
+#define FR_AZ_TX_DESC_UPD_DWORD_P0 \
+       (BUILD_BUG_ON_ZERO(FR_AA_TX_DESC_UPD_KER != FR_BZ_TX_DESC_UPD_P0) + \
+        FR_BZ_TX_DESC_UPD_P0 + 3 * 4)
+#define        FRF_AZ_TX_DESC_WPTR_DWORD_LBN (FRF_AZ_TX_DESC_WPTR_LBN - 3 * 32)
+#define        FRF_AZ_TX_DESC_WPTR_DWORD_WIDTH FRF_AZ_TX_DESC_WPTR_WIDTH
+
+/* GMF_CFG4_REG: GMAC FIFO configuration register 4 */
+#define FRF_AB_GMF_HSTFLTRFRM_PAUSE_LBN 12
+#define FRF_AB_GMF_HSTFLTRFRM_PAUSE_WIDTH 1
+
+/* GMF_CFG5_REG: GMAC FIFO configuration register 5 */
+#define FRF_AB_GMF_HSTFLTRFRMDC_PAUSE_LBN 12
+#define FRF_AB_GMF_HSTFLTRFRMDC_PAUSE_WIDTH 1
+
+/* XM_TX_PARAM_REG: XGMAC transmit parameter register */
+#define        FRF_AB_XM_MAX_TX_FRM_SIZE_LBN FRF_AB_XM_MAX_TX_FRM_SIZE_LO_LBN
+#define        FRF_AB_XM_MAX_TX_FRM_SIZE_WIDTH (FRF_AB_XM_MAX_TX_FRM_SIZE_HI_WIDTH + \
+                                        FRF_AB_XM_MAX_TX_FRM_SIZE_LO_WIDTH)
+
+/* XM_RX_PARAM_REG: XGMAC receive parameter register */
+#define        FRF_AB_XM_MAX_RX_FRM_SIZE_LBN FRF_AB_XM_MAX_RX_FRM_SIZE_LO_LBN
+#define        FRF_AB_XM_MAX_RX_FRM_SIZE_WIDTH (FRF_AB_XM_MAX_RX_FRM_SIZE_HI_WIDTH + \
+                                        FRF_AB_XM_MAX_RX_FRM_SIZE_LO_WIDTH)
+
+/* XX_TXDRV_CTL_REG: XAUI SerDes transmit drive control register */
+/* Default values */
+#define FFE_AB_XX_TXDRV_DEQ_DEF 0xe /* deq=.6 */
+#define FFE_AB_XX_TXDRV_DTX_DEF 0x5 /* 1.25 */
+#define FFE_AB_XX_SD_CTL_DRV_DEF 0  /* 20mA */
+
+/* XX_CORE_STAT_REG: XAUI XGXS core status register */
+/* XGXS all-lanes status fields */
+#define        FRF_AB_XX_SYNC_STAT_LBN FRF_AB_XX_SYNC_STAT0_LBN
+#define        FRF_AB_XX_SYNC_STAT_WIDTH 4
+#define        FRF_AB_XX_COMMA_DET_LBN FRF_AB_XX_COMMA_DET_CH0_LBN
+#define        FRF_AB_XX_COMMA_DET_WIDTH 4
+#define        FRF_AB_XX_CHAR_ERR_LBN FRF_AB_XX_CHAR_ERR_CH0_LBN
+#define        FRF_AB_XX_CHAR_ERR_WIDTH 4
+#define        FRF_AB_XX_DISPERR_LBN FRF_AB_XX_DISPERR_CH0_LBN
+#define        FRF_AB_XX_DISPERR_WIDTH 4
+#define        FFE_AB_XX_STAT_ALL_LANES 0xf
+#define        FRF_AB_XX_FORCE_SIG_LBN FRF_AB_XX_FORCE_SIG0_VAL_LBN
+#define        FRF_AB_XX_FORCE_SIG_WIDTH 8
+#define        FFE_AB_XX_FORCE_SIG_ALL_LANES 0xff
+
+/* RX_MAC_FILTER_TBL0 */
+/* RMFT_DEST_MAC is wider than 32 bits */
+#define FRF_CZ_RMFT_DEST_MAC_LO_LBN FRF_CZ_RMFT_DEST_MAC_LBN
+#define FRF_CZ_RMFT_DEST_MAC_LO_WIDTH 32
+#define FRF_CZ_RMFT_DEST_MAC_HI_LBN (FRF_CZ_RMFT_DEST_MAC_LBN + 32)
+#define FRF_CZ_RMFT_DEST_MAC_HI_WIDTH (FRF_CZ_RMFT_DEST_MAC_WIDTH - 32)
+
+/* TX_MAC_FILTER_TBL0 */
+/* TMFT_SRC_MAC is wider than 32 bits */
+#define FRF_CZ_TMFT_SRC_MAC_LO_LBN FRF_CZ_TMFT_SRC_MAC_LBN
+#define FRF_CZ_TMFT_SRC_MAC_LO_WIDTH 32
+#define FRF_CZ_TMFT_SRC_MAC_HI_LBN (FRF_CZ_TMFT_SRC_MAC_LBN + 32)
+#define FRF_CZ_TMFT_SRC_MAC_HI_WIDTH (FRF_CZ_TMFT_SRC_MAC_WIDTH - 32)
+
+/* TX_PACE_TBL */
+/* Values >20 are documented as reserved, but will result in a queue going
+ * into the fast bin with a pace value of zero. */
+#define FFE_BZ_TX_PACE_OFF 0
+#define FFE_BZ_TX_PACE_RESERVED 21
+
+/* DRIVER_EV */
+/* Sub-fields of an RX flush completion event */
+#define FSF_AZ_DRIVER_EV_RX_FLUSH_FAIL_LBN 12
+#define FSF_AZ_DRIVER_EV_RX_FLUSH_FAIL_WIDTH 1
+#define FSF_AZ_DRIVER_EV_RX_DESCQ_ID_LBN 0
+#define FSF_AZ_DRIVER_EV_RX_DESCQ_ID_WIDTH 12
+
+/* EVENT_ENTRY */
+/* Magic number field for event test */
+#define FSF_AZ_DRV_GEN_EV_MAGIC_LBN 0
+#define FSF_AZ_DRV_GEN_EV_MAGIC_WIDTH 32
+
+/* RX packet prefix */
+#define FS_BZ_RX_PREFIX_HASH_OFST 12
+#define FS_BZ_RX_PREFIX_SIZE 16
+
+#endif /* EFX_FARCH_REGS_H */
diff --git a/drivers/net/ethernet/sfc/siena/filter.h b/drivers/net/ethernet/sfc/siena/filter.h
new file mode 100644 (file)
index 0000000..40b2af8
--- /dev/null
@@ -0,0 +1,309 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2005-2013 Solarflare Communications Inc.
+ */
+
+#ifndef EFX_FILTER_H
+#define EFX_FILTER_H
+
+#include <linux/types.h>
+#include <linux/if_ether.h>
+#include <asm/byteorder.h>
+
+/**
+ * enum efx_filter_match_flags - Flags for hardware filter match type
+ * @EFX_FILTER_MATCH_REM_HOST: Match by remote IP host address
+ * @EFX_FILTER_MATCH_LOC_HOST: Match by local IP host address
+ * @EFX_FILTER_MATCH_REM_MAC: Match by remote MAC address
+ * @EFX_FILTER_MATCH_REM_PORT: Match by remote TCP/UDP port
+ * @EFX_FILTER_MATCH_LOC_MAC: Match by local MAC address
+ * @EFX_FILTER_MATCH_LOC_PORT: Match by local TCP/UDP port
+ * @EFX_FILTER_MATCH_ETHER_TYPE: Match by Ether-type
+ * @EFX_FILTER_MATCH_INNER_VID: Match by inner VLAN ID
+ * @EFX_FILTER_MATCH_OUTER_VID: Match by outer VLAN ID
+ * @EFX_FILTER_MATCH_IP_PROTO: Match by IP transport protocol
+ * @EFX_FILTER_MATCH_LOC_MAC_IG: Match by local MAC address I/G bit.
+ * @EFX_FILTER_MATCH_ENCAP_TYPE: Match by encapsulation type.
+ *     Used for RX default unicast and multicast/broadcast filters.
+ *
+ * Only some combinations are supported, depending on NIC type:
+ *
+ * - Falcon supports RX filters matching by {TCP,UDP}/IPv4 4-tuple or
+ *   local 2-tuple (only implemented for Falcon B0)
+ *
+ * - Siena supports RX and TX filters matching by {TCP,UDP}/IPv4 4-tuple
+ *   or local 2-tuple, or local MAC with or without outer VID, and RX
+ *   default filters
+ *
+ * - Huntington supports filter matching controlled by firmware, potentially
+ *   using {TCP,UDP}/IPv{4,6} 4-tuple or local 2-tuple, local MAC or I/G bit,
+ *   with or without outer and inner VID
+ */
+enum efx_filter_match_flags {
+       EFX_FILTER_MATCH_REM_HOST =     0x0001,
+       EFX_FILTER_MATCH_LOC_HOST =     0x0002,
+       EFX_FILTER_MATCH_REM_MAC =      0x0004,
+       EFX_FILTER_MATCH_REM_PORT =     0x0008,
+       EFX_FILTER_MATCH_LOC_MAC =      0x0010,
+       EFX_FILTER_MATCH_LOC_PORT =     0x0020,
+       EFX_FILTER_MATCH_ETHER_TYPE =   0x0040,
+       EFX_FILTER_MATCH_INNER_VID =    0x0080,
+       EFX_FILTER_MATCH_OUTER_VID =    0x0100,
+       EFX_FILTER_MATCH_IP_PROTO =     0x0200,
+       EFX_FILTER_MATCH_LOC_MAC_IG =   0x0400,
+       EFX_FILTER_MATCH_ENCAP_TYPE =   0x0800,
+};
+
+/**
+ * enum efx_filter_priority - priority of a hardware filter specification
+ * @EFX_FILTER_PRI_HINT: Performance hint
+ * @EFX_FILTER_PRI_AUTO: Automatic filter based on device address list
+ *     or hardware requirements.  This may only be used by the filter
+ *     implementation for each NIC type.
+ * @EFX_FILTER_PRI_MANUAL: Manually configured filter
+ * @EFX_FILTER_PRI_REQUIRED: Required for correct behaviour (user-level
+ *     networking and SR-IOV)
+ */
+enum efx_filter_priority {
+       EFX_FILTER_PRI_HINT = 0,
+       EFX_FILTER_PRI_AUTO,
+       EFX_FILTER_PRI_MANUAL,
+       EFX_FILTER_PRI_REQUIRED,
+};
+
+/**
+ * enum efx_filter_flags - flags for hardware filter specifications
+ * @EFX_FILTER_FLAG_RX_RSS: Use RSS to spread across multiple queues.
+ *     By default, matching packets will be delivered only to the
+ *     specified queue. If this flag is set, they will be delivered
+ *     to a range of queues offset from the specified queue number
+ *     according to the indirection table.
+ * @EFX_FILTER_FLAG_RX_SCATTER: Enable DMA scatter on the receiving
+ *     queue.
+ * @EFX_FILTER_FLAG_RX_OVER_AUTO: Indicates a filter that is
+ *     overriding an automatic filter (priority
+ *     %EFX_FILTER_PRI_AUTO).  This may only be set by the filter
+ *     implementation for each type.  A removal request will restore
+ *     the automatic filter in its place.
+ * @EFX_FILTER_FLAG_RX: Filter is for RX
+ * @EFX_FILTER_FLAG_TX: Filter is for TX
+ */
+enum efx_filter_flags {
+       EFX_FILTER_FLAG_RX_RSS = 0x01,
+       EFX_FILTER_FLAG_RX_SCATTER = 0x02,
+       EFX_FILTER_FLAG_RX_OVER_AUTO = 0x04,
+       EFX_FILTER_FLAG_RX = 0x08,
+       EFX_FILTER_FLAG_TX = 0x10,
+};
+
+/** enum efx_encap_type - types of encapsulation
+ * @EFX_ENCAP_TYPE_NONE: no encapsulation
+ * @EFX_ENCAP_TYPE_VXLAN: VXLAN encapsulation
+ * @EFX_ENCAP_TYPE_NVGRE: NVGRE encapsulation
+ * @EFX_ENCAP_TYPE_GENEVE: GENEVE encapsulation
+ * @EFX_ENCAP_FLAG_IPV6: indicates IPv6 outer frame
+ *
+ * Contains both enumerated types and flags.
+ * To get just the type, OR with @EFX_ENCAP_TYPES_MASK.
+ */
+enum efx_encap_type {
+       EFX_ENCAP_TYPE_NONE = 0,
+       EFX_ENCAP_TYPE_VXLAN = 1,
+       EFX_ENCAP_TYPE_NVGRE = 2,
+       EFX_ENCAP_TYPE_GENEVE = 3,
+
+       EFX_ENCAP_TYPES_MASK = 7,
+       EFX_ENCAP_FLAG_IPV6 = 8,
+};
+
+/**
+ * struct efx_filter_spec - specification for a hardware filter
+ * @match_flags: Match type flags, from &enum efx_filter_match_flags
+ * @priority: Priority of the filter, from &enum efx_filter_priority
+ * @flags: Miscellaneous flags, from &enum efx_filter_flags
+ * @rss_context: RSS context to use, if %EFX_FILTER_FLAG_RX_RSS is set.  This
+ *     is a user_id (with 0 meaning the driver/default RSS context), not an
+ *     MCFW context_id.
+ * @dmaq_id: Source/target queue index, or %EFX_FILTER_RX_DMAQ_ID_DROP for
+ *     an RX drop filter
+ * @outer_vid: Outer VLAN ID to match, if %EFX_FILTER_MATCH_OUTER_VID is set
+ * @inner_vid: Inner VLAN ID to match, if %EFX_FILTER_MATCH_INNER_VID is set
+ * @loc_mac: Local MAC address to match, if %EFX_FILTER_MATCH_LOC_MAC or
+ *     %EFX_FILTER_MATCH_LOC_MAC_IG is set
+ * @rem_mac: Remote MAC address to match, if %EFX_FILTER_MATCH_REM_MAC is set
+ * @ether_type: Ether-type to match, if %EFX_FILTER_MATCH_ETHER_TYPE is set
+ * @ip_proto: IP transport protocol to match, if %EFX_FILTER_MATCH_IP_PROTO
+ *     is set
+ * @loc_host: Local IP host to match, if %EFX_FILTER_MATCH_LOC_HOST is set
+ * @rem_host: Remote IP host to match, if %EFX_FILTER_MATCH_REM_HOST is set
+ * @loc_port: Local TCP/UDP port to match, if %EFX_FILTER_MATCH_LOC_PORT is set
+ * @rem_port: Remote TCP/UDP port to match, if %EFX_FILTER_MATCH_REM_PORT is set
+ * @encap_type: Encapsulation type to match (from &enum efx_encap_type), if
+ *     %EFX_FILTER_MATCH_ENCAP_TYPE is set
+ *
+ * The efx_filter_init_rx() or efx_filter_init_tx() function *must* be
+ * used to initialise the structure.  The efx_filter_set_*() functions
+ * may then be used to set @rss_context, @match_flags and related
+ * fields.
+ *
+ * The @priority field is used by software to determine whether a new
+ * filter may replace an old one.  The hardware priority of a filter
+ * depends on which fields are matched.
+ */
+struct efx_filter_spec {
+       u32     match_flags:12;
+       u32     priority:2;
+       u32     flags:6;
+       u32     dmaq_id:12;
+       u32     rss_context;
+       __be16  outer_vid __aligned(4); /* allow jhash2() of match values */
+       __be16  inner_vid;
+       u8      loc_mac[ETH_ALEN];
+       u8      rem_mac[ETH_ALEN];
+       __be16  ether_type;
+       u8      ip_proto;
+       __be32  loc_host[4];
+       __be32  rem_host[4];
+       __be16  loc_port;
+       __be16  rem_port;
+       u32     encap_type:4;
+       /* total 65 bytes */
+};
+
+enum {
+       EFX_FILTER_RX_DMAQ_ID_DROP = 0xfff
+};
+
+static inline void efx_filter_init_rx(struct efx_filter_spec *spec,
+                                     enum efx_filter_priority priority,
+                                     enum efx_filter_flags flags,
+                                     unsigned rxq_id)
+{
+       memset(spec, 0, sizeof(*spec));
+       spec->priority = priority;
+       spec->flags = EFX_FILTER_FLAG_RX | flags;
+       spec->rss_context = 0;
+       spec->dmaq_id = rxq_id;
+}
+
+static inline void efx_filter_init_tx(struct efx_filter_spec *spec,
+                                     unsigned txq_id)
+{
+       memset(spec, 0, sizeof(*spec));
+       spec->priority = EFX_FILTER_PRI_REQUIRED;
+       spec->flags = EFX_FILTER_FLAG_TX;
+       spec->dmaq_id = txq_id;
+}
+
+/**
+ * efx_filter_set_ipv4_local - specify IPv4 host, transport protocol and port
+ * @spec: Specification to initialise
+ * @proto: Transport layer protocol number
+ * @host: Local host address (network byte order)
+ * @port: Local port (network byte order)
+ */
+static inline int
+efx_filter_set_ipv4_local(struct efx_filter_spec *spec, u8 proto,
+                         __be32 host, __be16 port)
+{
+       spec->match_flags |=
+               EFX_FILTER_MATCH_ETHER_TYPE | EFX_FILTER_MATCH_IP_PROTO |
+               EFX_FILTER_MATCH_LOC_HOST | EFX_FILTER_MATCH_LOC_PORT;
+       spec->ether_type = htons(ETH_P_IP);
+       spec->ip_proto = proto;
+       spec->loc_host[0] = host;
+       spec->loc_port = port;
+       return 0;
+}
+
+/**
+ * efx_filter_set_ipv4_full - specify IPv4 hosts, transport protocol and ports
+ * @spec: Specification to initialise
+ * @proto: Transport layer protocol number
+ * @lhost: Local host address (network byte order)
+ * @lport: Local port (network byte order)
+ * @rhost: Remote host address (network byte order)
+ * @rport: Remote port (network byte order)
+ */
+static inline int
+efx_filter_set_ipv4_full(struct efx_filter_spec *spec, u8 proto,
+                        __be32 lhost, __be16 lport,
+                        __be32 rhost, __be16 rport)
+{
+       spec->match_flags |=
+               EFX_FILTER_MATCH_ETHER_TYPE | EFX_FILTER_MATCH_IP_PROTO |
+               EFX_FILTER_MATCH_LOC_HOST | EFX_FILTER_MATCH_LOC_PORT |
+               EFX_FILTER_MATCH_REM_HOST | EFX_FILTER_MATCH_REM_PORT;
+       spec->ether_type = htons(ETH_P_IP);
+       spec->ip_proto = proto;
+       spec->loc_host[0] = lhost;
+       spec->loc_port = lport;
+       spec->rem_host[0] = rhost;
+       spec->rem_port = rport;
+       return 0;
+}
+
+enum {
+       EFX_FILTER_VID_UNSPEC = 0xffff,
+};
+
+/**
+ * efx_filter_set_eth_local - specify local Ethernet address and/or VID
+ * @spec: Specification to initialise
+ * @vid: Outer VLAN ID to match, or %EFX_FILTER_VID_UNSPEC
+ * @addr: Local Ethernet MAC address, or %NULL
+ */
+static inline int efx_filter_set_eth_local(struct efx_filter_spec *spec,
+                                          u16 vid, const u8 *addr)
+{
+       if (vid == EFX_FILTER_VID_UNSPEC && addr == NULL)
+               return -EINVAL;
+
+       if (vid != EFX_FILTER_VID_UNSPEC) {
+               spec->match_flags |= EFX_FILTER_MATCH_OUTER_VID;
+               spec->outer_vid = htons(vid);
+       }
+       if (addr != NULL) {
+               spec->match_flags |= EFX_FILTER_MATCH_LOC_MAC;
+               ether_addr_copy(spec->loc_mac, addr);
+       }
+       return 0;
+}
+
+/**
+ * efx_filter_set_uc_def - specify matching otherwise-unmatched unicast
+ * @spec: Specification to initialise
+ */
+static inline int efx_filter_set_uc_def(struct efx_filter_spec *spec)
+{
+       spec->match_flags |= EFX_FILTER_MATCH_LOC_MAC_IG;
+       return 0;
+}
+
+/**
+ * efx_filter_set_mc_def - specify matching otherwise-unmatched multicast
+ * @spec: Specification to initialise
+ */
+static inline int efx_filter_set_mc_def(struct efx_filter_spec *spec)
+{
+       spec->match_flags |= EFX_FILTER_MATCH_LOC_MAC_IG;
+       spec->loc_mac[0] = 1;
+       return 0;
+}
+
+static inline void efx_filter_set_encap_type(struct efx_filter_spec *spec,
+                                            enum efx_encap_type encap_type)
+{
+       spec->match_flags |= EFX_FILTER_MATCH_ENCAP_TYPE;
+       spec->encap_type = encap_type;
+}
+
+static inline enum efx_encap_type efx_filter_get_encap_type(
+               const struct efx_filter_spec *spec)
+{
+       if (spec->match_flags & EFX_FILTER_MATCH_ENCAP_TYPE)
+               return spec->encap_type;
+       return EFX_ENCAP_TYPE_NONE;
+}
+#endif /* EFX_FILTER_H */
diff --git a/drivers/net/ethernet/sfc/siena/io.h b/drivers/net/ethernet/sfc/siena/io.h
new file mode 100644 (file)
index 0000000..30439cc
--- /dev/null
@@ -0,0 +1,310 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
+/****************************************************************************
+ * Driver for Solarflare network controllers and boards
+ * Copyright 2005-2006 Fen Systems Ltd.
+ * Copyright 2006-2013 Solarflare Communications Inc.
+ */
+
+#ifndef EFX_IO_H
+#define EFX_IO_H
+
+#include <linux/io.h>
+#include <linux/spinlock.h>
+
+/**************************************************************************
+ *
+ * NIC register I/O
+ *
+ **************************************************************************
+ *
+ * Notes on locking strategy for the Falcon architecture:
+ *
+ * Many CSRs are very wide and cannot be read or written atomically.
+ * Writes from the host are buffered by the Bus Interface Unit (BIU)
+ * up to 128 bits.  Whenever the host writes part of such a register,
+ * the BIU collects the written value and does not write to the
+ * underlying register until all 4 dwords have been written.  A
+ * similar buffering scheme applies to host access to the NIC's 64-bit
+ * SRAM.
+ *
+ * Writes to different CSRs and 64-bit SRAM words must be serialised,
+ * since interleaved access can result in lost writes.  We use
+ * efx_nic::biu_lock for this.
+ *
+ * We also serialise reads from 128-bit CSRs and SRAM with the same
+ * spinlock.  This may not be necessary, but it doesn't really matter
+ * as there are no such reads on the fast path.
+ *
+ * The DMA descriptor pointers (RX_DESC_UPD and TX_DESC_UPD) are
+ * 128-bit but are special-cased in the BIU to avoid the need for
+ * locking in the host:
+ *
+ * - They are write-only.
+ * - The semantics of writing to these registers are such that
+ *   replacing the low 96 bits with zero does not affect functionality.
+ * - If the host writes to the last dword address of such a register
+ *   (i.e. the high 32 bits) the underlying register will always be
+ *   written.  If the collector and the current write together do not
+ *   provide values for all 128 bits of the register, the low 96 bits
+ *   will be written as zero.
+ * - If the host writes to the address of any other part of such a
+ *   register while the collector already holds values for some other
+ *   register, the write is discarded and the collector maintains its
+ *   current state.
+ *
+ * The EF10 architecture exposes very few registers to the host and
+ * most of them are only 32 bits wide.  The only exceptions are the MC
+ * doorbell register pair, which has its own latching, and
+ * TX_DESC_UPD, which works in a similar way to the Falcon
+ * architecture.
+ */
+
+#if BITS_PER_LONG == 64
+#define EFX_USE_QWORD_IO 1
+#endif
+
+/* Hardware issue requires that only 64-bit naturally aligned writes
+ * are seen by hardware. Its not strictly necessary to restrict to
+ * x86_64 arch, but done for safety since unusual write combining behaviour
+ * can break PIO.
+ */
+#ifdef CONFIG_X86_64
+/* PIO is a win only if write-combining is possible */
+#ifdef ARCH_HAS_IOREMAP_WC
+#define EFX_USE_PIO 1
+#endif
+#endif
+
+static inline u32 efx_reg(struct efx_nic *efx, unsigned int reg)
+{
+       return efx->reg_base + reg;
+}
+
+#ifdef EFX_USE_QWORD_IO
+static inline void _efx_writeq(struct efx_nic *efx, __le64 value,
+                                 unsigned int reg)
+{
+       __raw_writeq((__force u64)value, efx->membase + reg);
+}
+static inline __le64 _efx_readq(struct efx_nic *efx, unsigned int reg)
+{
+       return (__force __le64)__raw_readq(efx->membase + reg);
+}
+#endif
+
+static inline void _efx_writed(struct efx_nic *efx, __le32 value,
+                                 unsigned int reg)
+{
+       __raw_writel((__force u32)value, efx->membase + reg);
+}
+static inline __le32 _efx_readd(struct efx_nic *efx, unsigned int reg)
+{
+       return (__force __le32)__raw_readl(efx->membase + reg);
+}
+
+/* Write a normal 128-bit CSR, locking as appropriate. */
+static inline void efx_writeo(struct efx_nic *efx, const efx_oword_t *value,
+                             unsigned int reg)
+{
+       unsigned long flags __attribute__ ((unused));
+
+       netif_vdbg(efx, hw, efx->net_dev,
+                  "writing register %x with " EFX_OWORD_FMT "\n", reg,
+                  EFX_OWORD_VAL(*value));
+
+       spin_lock_irqsave(&efx->biu_lock, flags);
+#ifdef EFX_USE_QWORD_IO
+       _efx_writeq(efx, value->u64[0], reg + 0);
+       _efx_writeq(efx, value->u64[1], reg + 8);
+#else
+       _efx_writed(efx, value->u32[0], reg + 0);
+       _efx_writed(efx, value->u32[1], reg + 4);
+       _efx_writed(efx, value->u32[2], reg + 8);
+       _efx_writed(efx, value->u32[3], reg + 12);
+#endif
+       spin_unlock_irqrestore(&efx->biu_lock, flags);
+}
+
+/* Write 64-bit SRAM through the supplied mapping, locking as appropriate. */
+static inline void efx_sram_writeq(struct efx_nic *efx, void __iomem *membase,
+                                  const efx_qword_t *value, unsigned int index)
+{
+       unsigned int addr = index * sizeof(*value);
+       unsigned long flags __attribute__ ((unused));
+
+       netif_vdbg(efx, hw, efx->net_dev,
+                  "writing SRAM address %x with " EFX_QWORD_FMT "\n",
+                  addr, EFX_QWORD_VAL(*value));
+
+       spin_lock_irqsave(&efx->biu_lock, flags);
+#ifdef EFX_USE_QWORD_IO
+       __raw_writeq((__force u64)value->u64[0], membase + addr);
+#else
+       __raw_writel((__force u32)value->u32[0], membase + addr);
+       __raw_writel((__force u32)value->u32[1], membase + addr + 4);
+#endif
+       spin_unlock_irqrestore(&efx->biu_lock, flags);
+}
+
+/* Write a 32-bit CSR or the last dword of a special 128-bit CSR */
+static inline void efx_writed(struct efx_nic *efx, const efx_dword_t *value,
+                             unsigned int reg)
+{
+       netif_vdbg(efx, hw, efx->net_dev,
+                  "writing register %x with "EFX_DWORD_FMT"\n",
+                  reg, EFX_DWORD_VAL(*value));
+
+       /* No lock required */
+       _efx_writed(efx, value->u32[0], reg);
+}
+
+/* Read a 128-bit CSR, locking as appropriate. */
+static inline void efx_reado(struct efx_nic *efx, efx_oword_t *value,
+                            unsigned int reg)
+{
+       unsigned long flags __attribute__ ((unused));
+
+       spin_lock_irqsave(&efx->biu_lock, flags);
+       value->u32[0] = _efx_readd(efx, reg + 0);
+       value->u32[1] = _efx_readd(efx, reg + 4);
+       value->u32[2] = _efx_readd(efx, reg + 8);
+       value->u32[3] = _efx_readd(efx, reg + 12);
+       spin_unlock_irqrestore(&efx->biu_lock, flags);
+
+       netif_vdbg(efx, hw, efx->net_dev,
+                  "read from register %x, got " EFX_OWORD_FMT "\n", reg,
+                  EFX_OWORD_VAL(*value));
+}
+
+/* Read 64-bit SRAM through the supplied mapping, locking as appropriate. */
+static inline void efx_sram_readq(struct efx_nic *efx, void __iomem *membase,
+                                 efx_qword_t *value, unsigned int index)
+{
+       unsigned int addr = index * sizeof(*value);
+       unsigned long flags __attribute__ ((unused));
+
+       spin_lock_irqsave(&efx->biu_lock, flags);
+#ifdef EFX_USE_QWORD_IO
+       value->u64[0] = (__force __le64)__raw_readq(membase + addr);
+#else
+       value->u32[0] = (__force __le32)__raw_readl(membase + addr);
+       value->u32[1] = (__force __le32)__raw_readl(membase + addr + 4);
+#endif
+       spin_unlock_irqrestore(&efx->biu_lock, flags);
+
+       netif_vdbg(efx, hw, efx->net_dev,
+                  "read from SRAM address %x, got "EFX_QWORD_FMT"\n",
+                  addr, EFX_QWORD_VAL(*value));
+}
+
+/* Read a 32-bit CSR or SRAM */
+static inline void efx_readd(struct efx_nic *efx, efx_dword_t *value,
+                               unsigned int reg)
+{
+       value->u32[0] = _efx_readd(efx, reg);
+       netif_vdbg(efx, hw, efx->net_dev,
+                  "read from register %x, got "EFX_DWORD_FMT"\n",
+                  reg, EFX_DWORD_VAL(*value));
+}
+
+/* Write a 128-bit CSR forming part of a table */
+static inline void
+efx_writeo_table(struct efx_nic *efx, const efx_oword_t *value,
+                unsigned int reg, unsigned int index)
+{
+       efx_writeo(efx, value, reg + index * sizeof(efx_oword_t));
+}
+
+/* Read a 128-bit CSR forming part of a table */
+static inline void efx_reado_table(struct efx_nic *efx, efx_oword_t *value,
+                                    unsigned int reg, unsigned int index)
+{
+       efx_reado(efx, value, reg + index * sizeof(efx_oword_t));
+}
+
+/* default VI stride (step between per-VI registers) is 8K on EF10 and
+ * 64K on EF100
+ */
+#define EFX_DEFAULT_VI_STRIDE          0x2000
+#define EF100_DEFAULT_VI_STRIDE                0x10000
+
+/* Calculate offset to page-mapped register */
+static inline unsigned int efx_paged_reg(struct efx_nic *efx, unsigned int page,
+                                        unsigned int reg)
+{
+       return page * efx->vi_stride + reg;
+}
+
+/* Write the whole of RX_DESC_UPD or TX_DESC_UPD */
+static inline void _efx_writeo_page(struct efx_nic *efx, efx_oword_t *value,
+                                   unsigned int reg, unsigned int page)
+{
+       reg = efx_paged_reg(efx, page, reg);
+
+       netif_vdbg(efx, hw, efx->net_dev,
+                  "writing register %x with " EFX_OWORD_FMT "\n", reg,
+                  EFX_OWORD_VAL(*value));
+
+#ifdef EFX_USE_QWORD_IO
+       _efx_writeq(efx, value->u64[0], reg + 0);
+       _efx_writeq(efx, value->u64[1], reg + 8);
+#else
+       _efx_writed(efx, value->u32[0], reg + 0);
+       _efx_writed(efx, value->u32[1], reg + 4);
+       _efx_writed(efx, value->u32[2], reg + 8);
+       _efx_writed(efx, value->u32[3], reg + 12);
+#endif
+}
+#define efx_writeo_page(efx, value, reg, page)                         \
+       _efx_writeo_page(efx, value,                                    \
+                        reg +                                          \
+                        BUILD_BUG_ON_ZERO((reg) != 0x830 && (reg) != 0xa10), \
+                        page)
+
+/* Write a page-mapped 32-bit CSR (EVQ_RPTR, EVQ_TMR (EF10), or the
+ * high bits of RX_DESC_UPD or TX_DESC_UPD)
+ */
+static inline void
+_efx_writed_page(struct efx_nic *efx, const efx_dword_t *value,
+                unsigned int reg, unsigned int page)
+{
+       efx_writed(efx, value, efx_paged_reg(efx, page, reg));
+}
+#define efx_writed_page(efx, value, reg, page)                         \
+       _efx_writed_page(efx, value,                                    \
+                        reg +                                          \
+                        BUILD_BUG_ON_ZERO((reg) != 0x180 &&            \
+                                          (reg) != 0x200 &&            \
+                                          (reg) != 0x400 &&            \
+                                          (reg) != 0x420 &&            \
+                                          (reg) != 0x830 &&            \
+                                          (reg) != 0x83c &&            \
+                                          (reg) != 0xa18 &&            \
+                                          (reg) != 0xa1c),             \
+                        page)
+
+/* Write TIMER_COMMAND.  This is a page-mapped 32-bit CSR, but a bug
+ * in the BIU means that writes to TIMER_COMMAND[0] invalidate the
+ * collector register.
+ */
+static inline void _efx_writed_page_locked(struct efx_nic *efx,
+                                          const efx_dword_t *value,
+                                          unsigned int reg,
+                                          unsigned int page)
+{
+       unsigned long flags __attribute__ ((unused));
+
+       if (page == 0) {
+               spin_lock_irqsave(&efx->biu_lock, flags);
+               efx_writed(efx, value, efx_paged_reg(efx, page, reg));
+               spin_unlock_irqrestore(&efx->biu_lock, flags);
+       } else {
+               efx_writed(efx, value, efx_paged_reg(efx, page, reg));
+       }
+}
+#define efx_writed_page_locked(efx, value, reg, page)                  \
+       _efx_writed_page_locked(efx, value,                             \
+                               reg + BUILD_BUG_ON_ZERO((reg) != 0x420), \
+                               page)
+
+#endif /* EFX_IO_H */