Update module flags documentation for Min.
authorJacob Bramley <jacob.bramley@arm.com>
Tue, 13 Jun 2023 15:21:15 +0000 (16:21 +0100)
committerJacob Bramley <jacob.bramley@arm.com>
Wed, 28 Jun 2023 08:15:02 +0000 (09:15 +0100)
This updates the documentation to match the implementation. Warning and
Min interact in the same way as Warning and Max.

Differential Revision: https://reviews.llvm.org/D153012

llvm/docs/LangRef.rst

index 014f249..0cc5708 100644 (file)
@@ -7613,9 +7613,10 @@ The following behaviors are supported:
    * - 2
      - **Warning**
            Emits a warning if two values disagree. The result value will be the
-           operand for the flag from the first module being linked, or the max
-           if the other module uses **Max** (in which case the resulting flag
-           will be **Max**).
+           operand for the flag from the first module being linked, unless the
+           other module uses **Min** or **Max**, in which case the result will
+           be **Min** (with the min value) or **Max** (with the max value),
+           respectively.
 
    * - 3
      - **Require**