s5pc110: f1: initial support
authorMinkyu Kang <mk7.kang@samsung.com>
Wed, 12 Jan 2011 11:33:55 +0000 (20:33 +0900)
committerMinkyu Kang <mk7.kang@samsung.com>
Wed, 12 Jan 2011 11:33:55 +0000 (20:33 +0900)
Signed-off-by: Minkyu Kang <mk7.kang@samsung.com>
12 files changed:
board/samsung/f1_c110/Makefile [new file with mode: 0644]
board/samsung/f1_c110/animation_frames.h [new file with mode: 0644]
board/samsung/f1_c110/config.mk [new file with mode: 0644]
board/samsung/f1_c110/f1.c [new file with mode: 0644]
board/samsung/f1_c110/gpio_setting.h [new file with mode: 0644]
board/samsung/f1_c110/lowlevel_init.S [new file with mode: 0644]
board/samsung/f1_c110/onenand.c [new file with mode: 0644]
board/samsung/f1_c110/psi_ram.h [new file with mode: 0644]
board/samsung/f1_c110/psi_ram.hex [new file with mode: 0644]
boards.cfg
include/configs/s5pc110_f1.h [new file with mode: 0644]
include/configs/s5pc110_universal.h

diff --git a/board/samsung/f1_c110/Makefile b/board/samsung/f1_c110/Makefile
new file mode 100644 (file)
index 0000000..38329ed
--- /dev/null
@@ -0,0 +1,51 @@
+#
+# (C) Copyright 2009
+# Kyungmin Park, Samsung Electronics
+#
+# See file CREDITS for list of people who contributed to this
+# project.
+#
+# This program is free software; you can redistribute it and/or
+# modify it under the terms of the GNU General Public License as
+# published by the Free Software Foundation; either version 2 of
+# the License, or (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+# MA 02111-1307 USA
+#
+
+include $(TOPDIR)/config.mk
+
+LIB    = $(obj)lib$(BOARD).o
+
+COBJS-y        := f1.o onenand.o
+SOBJS  := lowlevel_init.o
+
+SRCS    := $(SOBJS:.o=.S) $(COBJS-y:.o=.c)
+OBJS   := $(addprefix $(obj),$(COBJS-y))
+SOBJS  := $(addprefix $(obj),$(SOBJS))
+
+$(LIB):        $(obj).depend $(SOBJS) $(OBJS)
+       $(call cmd_link_o_target, $(SOBJS) $(OBJS))
+
+clean:
+       rm -f $(SOBJS) $(OBJS)
+
+distclean:     clean
+       rm -f $(LIB) core *.bak $(obj).depend
+
+#########################################################################
+
+# defines $(obj).depend target
+include $(SRCTREE)/rules.mk
+
+sinclude $(obj).depend
+
+#########################################################################
diff --git a/board/samsung/f1_c110/animation_frames.h b/board/samsung/f1_c110/animation_frames.h
new file mode 100644 (file)
index 0000000..7037dc0
--- /dev/null
@@ -0,0 +1,236 @@
+
+const unsigned char battery_charging_animation_0[] = {
+0x1F, 0x8B, 0x8, 0x8, 0xCA, 0xEA, 0x57, 0x4B,
+0x0, 0x3, 0x42, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x43, 0x68, 0x61, 0x72, 0x67, 0x69, 0x6E,
+0x67, 0x5F, 0x62, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x5F, 0x61, 0x6E, 0x69, 0x30, 0x2E, 0x62,
+0x6D, 0x70, 0x0, 0xED, 0xD2, 0x2D, 0xE, 0xC2,
+0x30, 0x0, 0x80, 0xD1, 0x92, 0x90, 0x20, 0x90,
+0x5C, 0x0, 0xC9, 0x39, 0xF0, 0x70, 0x1C, 0x74,
+0x25, 0x27, 0xE0, 0x3C, 0x93, 0x1C, 0x7, 0x89,
+0x2B, 0x6D, 0x47, 0x2, 0x1B, 0x82, 0x6, 0x10,
+0xB, 0x79, 0x5F, 0xD7, 0x35, 0xE9, 0x7E, 0x9E,
+0xE9, 0x76, 0x77, 0xDA, 0x87, 0xDA, 0x21, 0xCF,
+0x4D, 0x9E, 0xE7, 0xFB, 0x9C, 0x85, 0x79, 0xDD,
+0x5F, 0xE7, 0xE7, 0xAB, 0x65, 0x3F, 0x87, 0xC5,
+0x7A, 0x85, 0x18, 0xFB, 0xA5, 0x8C, 0xB2, 0x95,
+0x6F, 0x5D, 0xD7, 0xE5, 0x9D, 0x54, 0xAF, 0x90,
+0x52, 0xBF, 0x94, 0x51, 0xB6, 0xCA, 0x4D, 0x92,
+0x24, 0xFD, 0x75, 0x8B, 0xD4, 0xDC, 0x85, 0xC1,
+0x98, 0x9A, 0xD1, 0xF0, 0x71, 0xE3, 0x6B, 0xC,
+0x6, 0x83, 0xC1, 0x60, 0x30, 0x18, 0xC, 0x6,
+0x83, 0xC1, 0x60, 0x30, 0x18, 0xC, 0x6, 0x83,
+0xC1, 0x60, 0x30, 0x18, 0xC, 0x6, 0x83, 0xC1,
+0x60, 0x30, 0x18, 0xC, 0x6, 0x83, 0xC1, 0x60,
+0x30, 0x18, 0xC, 0x6, 0x83, 0xC1, 0x60, 0x30,
+0x18, 0xC, 0x6, 0x83, 0xF1, 0xF8, 0xF8, 0x7A,
+0x7C, 0xDF, 0xB7, 0x46, 0x63, 0xC, 0x46, 0xBB,
+0x31, 0x3A, 0xA0, 0xA3, 0x9E, 0x8F, 0x75, 0xFC,
+0xD4, 0x18, 0xF4, 0x6A, 0xFC, 0xE6, 0xBF, 0xC,
+0x6, 0x63, 0x3A, 0x86, 0x24, 0x49, 0x9A, 0x6C,
+0x37, 0x3F, 0xAD, 0xA6, 0x3E, 0x96, 0x4E, 0x0,
+0x0,
+};
+
+
+const unsigned char battery_charging_animation_1[] = {
+0x1F, 0x8B, 0x8, 0x8, 0xD6, 0xEA, 0x57, 0x4B,
+0x0, 0x3, 0x42, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x43, 0x68, 0x61, 0x72, 0x67, 0x69, 0x6E,
+0x67, 0x5F, 0x62, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x5F, 0x61, 0x6E, 0x69, 0x31, 0x2E, 0x62,
+0x6D, 0x70, 0x0, 0xED, 0xD4, 0x3D, 0xA, 0xC2,
+0x30, 0x0, 0x80, 0xD1, 0xA, 0x82, 0x83, 0x83,
+0x83, 0x17, 0x70, 0xF4, 0x1C, 0xEE, 0x7A, 0x1C,
+0xE7, 0x8C, 0x9E, 0xC0, 0xF3, 0x74, 0xF4, 0x38,
+0x8E, 0x6E, 0x35, 0x49, 0x1D, 0x6C, 0x5, 0xD,
+0x5A, 0xA1, 0xE8, 0xFB, 0xDA, 0xA4, 0x90, 0xFE,
+0xBC, 0x25, 0x74, 0xB3, 0x3D, 0xEE, 0xAA, 0xDC,
+0x3E, 0x8E, 0x75, 0x1C, 0xA7, 0xDB, 0x98, 0x54,
+0xD3, 0xBC, 0xBE, 0x8A, 0xF7, 0x97, 0xF3, 0x76,
+0x74, 0xB, 0xF9, 0xAC, 0x42, 0x68, 0x2F, 0xE9,
+0x48, 0x4B, 0x71, 0xAA, 0xEB, 0x3A, 0xAE, 0x34,
+0xF9, 0xAC, 0x9A, 0xA6, 0xBD, 0xA4, 0x23, 0x2D,
+0xA5, 0x49, 0x92, 0x24, 0xFD, 0x74, 0xB3, 0xA6,
+0xB8, 0x33, 0x83, 0x31, 0x36, 0xA3, 0xE0, 0xE5,
+0xC2, 0xC7, 0x18, 0xC, 0xC6, 0xDF, 0x1B, 0x8B,
+0xA7, 0xBF, 0x29, 0x6, 0x83, 0xC1, 0x60, 0xC,
+0x6D, 0xC, 0xF2, 0x18, 0x83, 0xC1, 0x60, 0x30,
+0x18, 0xC, 0x6, 0x83, 0xC1, 0x60, 0x30, 0x18,
+0xC, 0x6, 0x83, 0xC1, 0x60, 0x30, 0x18, 0xC,
+0x6, 0x83, 0xC1, 0x60, 0x30, 0x18, 0xC, 0x6,
+0x83, 0xC1, 0x60, 0x30, 0x18, 0xC, 0x6, 0x83,
+0xF1, 0x3D, 0xE3, 0x72, 0x78, 0xDD, 0xA7, 0x46,
+0x61, 0xC, 0x46, 0xB9, 0xD1, 0xDB, 0xA0, 0xBD,
+0xEE, 0xB7, 0x75, 0x78, 0xD7, 0xE8, 0xF4, 0x68,
+0xC, 0xF3, 0x5D, 0x6, 0x83, 0x31, 0x1E, 0x43,
+0x92, 0x24, 0x8D, 0xB6, 0x2B, 0xC5, 0x5, 0xEC,
+0x35, 0x96, 0x4E, 0x0, 0x0,
+};
+
+
+const unsigned char battery_charging_animation_2[] = {
+0x1F, 0x8B, 0x8, 0x8, 0xE4, 0xEA, 0x57, 0x4B,
+0x0, 0x3, 0x42, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x43, 0x68, 0x61, 0x72, 0x67, 0x69, 0x6E,
+0x67, 0x5F, 0x62, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x5F, 0x61, 0x6E, 0x69, 0x32, 0x2E, 0x62,
+0x6D, 0x70, 0x0, 0xED, 0xD4, 0x31, 0xE, 0x82,
+0x30, 0x0, 0x40, 0xD1, 0x9A, 0x98, 0x38, 0x38,
+0x38, 0x78, 0x1, 0x47, 0xCF, 0xE1, 0xAE, 0xC7,
+0x71, 0x66, 0xF4, 0x4, 0x9E, 0x87, 0xD1, 0xE3,
+0x38, 0xBA, 0x61, 0xB, 0xE, 0x82, 0x89, 0x36,
+0xCA, 0x0, 0xF8, 0x7E, 0x29, 0x24, 0xA5, 0xF0,
+0x16, 0xC2, 0x6E, 0x7F, 0x3E, 0x84, 0xBA, 0x63,
+0x9C, 0xDB, 0x38, 0x2F, 0x8F, 0x39, 0xB, 0xF3,
+0x7A, 0x7D, 0x13, 0xEF, 0xAF, 0x97, 0xCD, 0x6C,
+0x57, 0xD4, 0x47, 0x28, 0x8A, 0xE6, 0x92, 0x46,
+0x5A, 0x8A, 0xA7, 0xB2, 0x2C, 0xE3, 0x4A, 0x55,
+0x1F, 0xA1, 0xAA, 0x9A, 0x4B, 0x1A, 0x69, 0x29,
+0x9D, 0x24, 0x49, 0xD2, 0xA4, 0x5B, 0x54, 0xD9,
+0x5D, 0x19, 0x8C, 0xA1, 0x19, 0x19, 0xF, 0x67,
+0x6E, 0x63, 0x30, 0x18, 0x7F, 0x6F, 0xAC, 0xDE,
+0xFE, 0xA6, 0x18, 0xC, 0x6, 0x83, 0xD1, 0xB7,
+0xD1, 0xCB, 0x36, 0x6, 0x83, 0xF1, 0xF7, 0xC6,
+0x54, 0xFE, 0x89, 0xC, 0x6, 0x83, 0x31, 0x16,
+0xA3, 0x97, 0x6D, 0xC, 0x6, 0x83, 0xC1, 0x60,
+0x30, 0x18, 0xC, 0x6, 0x83, 0xC1, 0x60, 0x30,
+0x18, 0xC, 0x6, 0x83, 0xC1, 0x60, 0x30, 0x18,
+0xC, 0x6, 0x83, 0xC1, 0x60, 0x30, 0x18, 0xE3,
+0x31, 0x6E, 0xA7, 0xCF, 0xFD, 0x6A, 0x64, 0xC6,
+0x60, 0xE4, 0x1B, 0x9D, 0xF, 0xB4, 0xD3, 0xF3,
+0x67, 0x5D, 0x7C, 0x6B, 0xB4, 0x7A, 0x35, 0xFA,
+0x79, 0x2F, 0x83, 0xC1, 0x18, 0x8E, 0x21, 0x49,
+0x92, 0x6, 0xDB, 0x1D, 0x55, 0xCA, 0x4E, 0xB7,
+0x96, 0x4E, 0x0, 0x0,
+};
+
+
+const unsigned char battery_charging_animation_3[] = {
+0x1F, 0x8B, 0x8, 0x8, 0x1, 0xEB, 0x57, 0x4B,
+0x0, 0x3, 0x42, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x43, 0x68, 0x61, 0x72, 0x67, 0x69, 0x6E,
+0x67, 0x5F, 0x62, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x5F, 0x61, 0x6E, 0x69, 0x33, 0x2E, 0x62,
+0x6D, 0x70, 0x0, 0xED, 0xD4, 0x3D, 0xE, 0x82,
+0x30, 0x0, 0x80, 0x51, 0x4C, 0x4C, 0x1C, 0x1C,
+0x1C, 0xBC, 0x80, 0xA3, 0xE7, 0x70, 0xD7, 0xE3,
+0x38, 0x33, 0x7A, 0x2, 0xCF, 0xC3, 0xE8, 0x71,
+0x1C, 0xDD, 0xB0, 0x5, 0x7, 0xD1, 0x44, 0x1B,
+0xED, 0xC0, 0xCF, 0xFB, 0xA0, 0x90, 0x94, 0xC2,
+0x5B, 0x48, 0x77, 0xFB, 0xF3, 0xA1, 0x68, 0x3A,
+0x86, 0xB1, 0xD, 0xE3, 0xF2, 0x18, 0xB3, 0x62,
+0xDE, 0xCC, 0x6F, 0xC2, 0xF3, 0xF5, 0xB2, 0x1D,
+0xDD, 0xCA, 0xE6, 0x2C, 0xCA, 0xB2, 0xBD, 0xC5,
+0x23, 0x4E, 0x85, 0x4B, 0x55, 0x55, 0x61, 0xA6,
+0x6E, 0xCE, 0xA2, 0xAE, 0xDB, 0x5B, 0x3C, 0xE2,
+0x54, 0xBC, 0x48, 0x92, 0xA4, 0x51, 0xB7, 0xA8,
+0x93, 0xBB, 0x32, 0x18, 0x7D, 0x33, 0x12, 0x5E,
+0x4E, 0x5C, 0xC6, 0x60, 0x30, 0x26, 0x6F, 0xAC,
+0x3E, 0x6E, 0x53, 0xC, 0x6, 0x83, 0xC1, 0xC8,
+0x6D, 0x64, 0x59, 0xC6, 0x60, 0x30, 0x26, 0x6F,
+0x8C, 0x65, 0x4F, 0x64, 0x30, 0x18, 0x8C, 0xA1,
+0x18, 0x59, 0x96, 0x31, 0x18, 0x8C, 0xC9, 0x1B,
+0x63, 0xD9, 0x13, 0x19, 0xC, 0x6, 0x63, 0x28,
+0x46, 0x96, 0x65, 0xC, 0x6, 0x83, 0xC1, 0x60,
+0x30, 0x18, 0xC, 0x6, 0x83, 0xC1, 0x60, 0x30,
+0x18, 0xC, 0x6, 0x83, 0xC1, 0x60, 0x4C, 0xD7,
+0xB8, 0x9D, 0xBE, 0xF7, 0xAF, 0x91, 0x18, 0x83,
+0x91, 0x6E, 0xBC, 0xFC, 0xA0, 0x2F, 0x3D, 0xFF,
+0xD6, 0xE5, 0xAF, 0x46, 0xA7, 0x77, 0x23, 0xCF,
+0x77, 0x19, 0xC, 0x46, 0x7F, 0xC, 0x49, 0x92,
+0xD4, 0xDB, 0xEE, 0xBD, 0xC2, 0x9A, 0xD0, 0x96,
+0x4E, 0x0, 0x0,
+};
+
+
+const unsigned char battery_charging_animation_4[] = {
+0x1F, 0x8B, 0x8, 0x8, 0xB, 0xEB, 0x57, 0x4B,
+0x0, 0x3, 0x42, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x43, 0x68, 0x61, 0x72, 0x67, 0x69, 0x6E,
+0x67, 0x5F, 0x62, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x5F, 0x61, 0x6E, 0x69, 0x34, 0x2E, 0x62,
+0x6D, 0x70, 0x0, 0xED, 0xD4, 0x31, 0x6E, 0x83,
+0x30, 0x0, 0x40, 0x51, 0x22, 0x55, 0x62, 0xC8,
+0xD0, 0xA1, 0x17, 0xC8, 0xD8, 0x73, 0x64, 0x6F,
+0xCF, 0xD2, 0xA9, 0x59, 0x19, 0x73, 0x82, 0x9E,
+0x87, 0xB1, 0xC7, 0xC9, 0xD8, 0xCD, 0xB5, 0xA1,
+0x43, 0x42, 0xD4, 0xD6, 0x4A, 0x3C, 0x80, 0x79,
+0x1F, 0xC, 0x92, 0x31, 0xBC, 0x5, 0x79, 0xFF,
+0xF2, 0xF1, 0xDA, 0xC, 0xBD, 0xC7, 0xF1, 0x1C,
+0xC7, 0xE7, 0xCF, 0xD8, 0x34, 0xF, 0xC3, 0xFC,
+0x2E, 0x3E, 0x7F, 0xDA, 0x8E, 0xE3, 0xB2, 0x6E,
+0x38, 0x9B, 0xAE, 0x1B, 0x6F, 0xE9, 0x48, 0x53,
+0xF1, 0xD2, 0xF7, 0x7D, 0x9C, 0x9, 0xC3, 0xD9,
+0x84, 0x30, 0xDE, 0xD2, 0x91, 0xA6, 0xD2, 0x45,
+0x92, 0x24, 0x55, 0x5D, 0x1B, 0xB2, 0x3B, 0x31,
+0x18, 0x73, 0x33, 0x32, 0x5E, 0xCE, 0x5C, 0xC6,
+0x60, 0x30, 0x56, 0x6F, 0x3C, 0xFE, 0xB9, 0x4D,
+0x31, 0x18, 0xC, 0x6, 0xA3, 0xB4, 0x51, 0x64,
+0x19, 0x83, 0xC1, 0x58, 0xBD, 0x51, 0xCB, 0x9E,
+0xC8, 0x60, 0x30, 0x18, 0x4B, 0x31, 0x8A, 0x2C,
+0x63, 0x30, 0x18, 0xAB, 0x37, 0x6A, 0xD9, 0x13,
+0x19, 0xC, 0x6, 0x63, 0x29, 0x46, 0x91, 0x65,
+0xC, 0x6, 0x63, 0xD6, 0x46, 0x7B, 0xF8, 0xA5,
+0xB7, 0x72, 0x46, 0x2D, 0x7B, 0x22, 0x83, 0xC1,
+0x60, 0x2C, 0xC5, 0x28, 0xB2, 0x8C, 0xC1, 0x60,
+0x30, 0x18, 0xC, 0x6, 0x83, 0xC1, 0x60, 0x30,
+0x18, 0xA5, 0x8C, 0xAF, 0xE3, 0xFF, 0xDD, 0x6B,
+0x64, 0xC6, 0x60, 0xE4, 0x1B, 0x93, 0x1F, 0x74,
+0xD2, 0xF9, 0x6F, 0xDD, 0xDD, 0x6A, 0x5C, 0x74,
+0x6D, 0x94, 0xF9, 0x2E, 0x83, 0xC1, 0x98, 0x8F,
+0x21, 0x49, 0x92, 0x66, 0xDB, 0x37, 0x6A, 0xA5,
+0xCD, 0x98, 0x96, 0x4E, 0x0, 0x0,
+};
+
+
+const unsigned char battery_charging_animation_5[] = {
+0x1F, 0x8B, 0x8, 0x8, 0x13, 0xEB, 0x57, 0x4B,
+0x0, 0x3, 0x42, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x43, 0x68, 0x61, 0x72, 0x67, 0x69, 0x6E,
+0x67, 0x5F, 0x62, 0x61, 0x74, 0x74, 0x65, 0x72,
+0x79, 0x5F, 0x61, 0x6E, 0x69, 0x35, 0x2E, 0x62,
+0x6D, 0x70, 0x0, 0xED, 0xD6, 0x31, 0x6E, 0xC2,
+0x30, 0x0, 0x40, 0xD1, 0x20, 0x55, 0x62, 0x60,
+0xE8, 0xD0, 0xB, 0x30, 0xF6, 0x1C, 0xEC, 0x70,
+0x96, 0x4E, 0x74, 0xCD, 0xC8, 0x9, 0x7A, 0x9E,
+0x8C, 0x3D, 0xE, 0x23, 0x5B, 0xB0, 0x13, 0x86,
+0x2, 0x82, 0x5A, 0xAD, 0x7, 0xC7, 0x79, 0x3F,
+0x71, 0x22, 0x19, 0x93, 0xB7, 0x44, 0x56, 0x36,
+0xDB, 0xAF, 0x5D, 0x33, 0xB4, 0xF, 0xE3, 0x3D,
+0x8C, 0xEF, 0xCB, 0x58, 0x34, 0x2F, 0xC3, 0xFC,
+0x3A, 0xFC, 0xFE, 0xB6, 0x1A, 0xC7, 0x75, 0xED,
+0x70, 0x36, 0x6D, 0x3B, 0xDE, 0xE2, 0x11, 0xA7,
+0xC2, 0xA5, 0xEB, 0xBA, 0x30, 0xD3, 0xF, 0x67,
+0xD3, 0xF7, 0xE3, 0x2D, 0x1E, 0x71, 0x2A, 0x5E,
+0x24, 0x49, 0x52, 0xD5, 0x2D, 0xFB, 0xE4, 0x8E,
+0xC, 0x46, 0x69, 0x46, 0xC2, 0x9F, 0x13, 0x97,
+0x31, 0x18, 0x8C, 0xD9, 0x1B, 0xAF, 0x4F, 0xB7,
+0x29, 0x6, 0x83, 0xC1, 0x60, 0xE4, 0x36, 0xB2,
+0x2C, 0x63, 0x30, 0x18, 0xB3, 0x37, 0x6A, 0xD9,
+0x13, 0x19, 0xC, 0x6, 0x63, 0x2A, 0x46, 0x96,
+0x65, 0xC, 0x6, 0x63, 0xF6, 0x46, 0x2D, 0x7B,
+0x22, 0x83, 0xC1, 0x60, 0x4C, 0xC5, 0xC8, 0xB2,
+0x8C, 0xC1, 0x60, 0x14, 0x6D, 0x2C, 0x3F, 0x1F,
+0xF4, 0x91, 0xCF, 0xA8, 0x65, 0x4F, 0x64, 0x30,
+0x18, 0x8C, 0xA9, 0x18, 0x59, 0x96, 0x31, 0x18,
+0x8C, 0xA2, 0xD, 0xDF, 0x70, 0xC, 0x6, 0x83,
+0x51, 0x9F, 0x91, 0x65, 0x19, 0x83, 0xC1, 0x28,
+0xD5, 0x38, 0x1D, 0x7E, 0xEF, 0xBF, 0x46, 0x62,
+0xC, 0x46, 0xBA, 0x71, 0xF3, 0x82, 0xDE, 0xF4,
+0xF3, 0xB5, 0x6E, 0xFF, 0x6A, 0x5C, 0x75, 0x6F,
+0xE4, 0x79, 0x2E, 0x83, 0xC1, 0x28, 0xC7, 0x90,
+0x24, 0x49, 0xC5, 0x76, 0x6, 0xBB, 0xB2, 0x55,
+0xD1, 0x96, 0x4E, 0x0, 0x0,
+};
+
+const unsigned char *battery_charging_animation[] = {
+       battery_charging_animation_0,
+       battery_charging_animation_1,
+       battery_charging_animation_2,
+       battery_charging_animation_3,
+       battery_charging_animation_4,
+       battery_charging_animation_5,
+};
+
diff --git a/board/samsung/f1_c110/config.mk b/board/samsung/f1_c110/config.mk
new file mode 100644 (file)
index 0000000..3a08bb1
--- /dev/null
@@ -0,0 +1,16 @@
+#
+# Copyright (C) 2008 # Samsung Elecgtronics
+# Kyungmin Park <kyungmin.park@samsung.com>
+#
+
+# On S5PC100 we use the 128 MiB OneDRAM bank at
+#
+# 0x30000000 to 0x35000000 (80MiB)
+# 0x38000000 to 0x40000000 (128MiB)
+#
+# On S5PC110 we use the 128 MiB OneDRAM bank at
+#
+# 0x30000000 to 0x35000000 (80MiB)
+# 0x40000000 to 0x48000000 (128MiB)
+#
+CONFIG_SYS_TEXT_BASE = 0x34800000
diff --git a/board/samsung/f1_c110/f1.c b/board/samsung/f1_c110/f1.c
new file mode 100644 (file)
index 0000000..20abd0a
--- /dev/null
@@ -0,0 +1,1055 @@
+/*
+ * Copyright (C) 2010 Samsung Electronics
+ * Kyungmin Park <kyungmin.park@samsung.com>
+ * Minkyu Kang <mk7.kang@samsung.com>
+ *
+ * See file CREDITS for list of people who contributed to this
+ * project.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ */
+
+#include <common.h>
+#include <i2c.h>
+#include <malloc.h>
+#include <asm/io.h>
+#include <asm/arch/clk.h>
+#include <asm/arch/clock.h>
+#include <asm/arch/gpio.h>
+#include <asm/arch/keypad.h>
+#include <asm/arch/mmc.h>
+#include <asm/arch/power.h>
+#include <asm/arch/mem.h>
+#include <asm/arch/hs_otg.h>
+#include <asm/arch/regs-otg.h>
+#include <asm/arch/rtc.h>
+#include <asm/arch/adc.h>
+#include <mipi_ddi.h>
+#include <asm/errno.h>
+#include <fbutils.h>
+#include <lcd.h>
+#include <dsim.h>
+#include <spi.h>
+#include <bmp_layout.h>
+#include <ramoops.h>
+#include <max8998.h>
+
+#include "animation_frames.h"
+#include "gpio_setting.h"
+#include "usb_mass_storage.h"
+
+DECLARE_GLOBAL_DATA_PTR;
+
+static unsigned int board_rev;
+static unsigned int battery_soc;
+static struct s5pc110_gpio *gpio;
+extern vidinfo_t panel_info;
+
+enum {
+       I2C_2,
+       I2C_GPIO3,
+       I2C_PMIC,
+       I2C_GPIO5,
+       I2C_GPIO6,
+       I2C_GPIO7,
+       I2C_GPIO10,
+       I2C_NUM,
+};
+
+/*
+ * i2c 2
+ * SDA: GPD1[4]
+ * SCL: GPD1[5]
+ */
+static struct i2c_gpio_bus_data i2c_2 = {
+       .sda_pin        = 4,
+       .scl_pin        = 5,
+};
+
+/*
+ * i2c gpio3
+ * SDA: GPJ3[6]
+ * SCL: GPJ3[7]
+ */
+static struct i2c_gpio_bus_data i2c_gpio3 = {
+       .sda_pin        = 6,
+       .scl_pin        = 7,
+};
+
+/*
+ * i2c pmic
+ * SDA: GPJ4[0]
+ * SCL: GPJ4[3]
+ */
+static struct i2c_gpio_bus_data i2c_pmic = {
+       .sda_pin        = 0,
+       .scl_pin        = 3,
+};
+
+/*
+ * i2c gpio5
+ * SDA: MP05[3]
+ * SCL: MP05[2]
+ */
+static struct i2c_gpio_bus_data i2c_gpio5 = {
+       .sda_pin        = 3,
+       .scl_pin        = 2,
+};
+
+/*
+ * i2c gpio6
+ * SDA: GPJ3[4]
+ * SCL: GPJ3[5]
+ */
+static struct i2c_gpio_bus_data i2c_gpio6 = {
+       .sda_pin        = 4,
+       .scl_pin        = 5,
+};
+
+/*
+ * i2c gpio7
+ * SDA: MP05[1]
+ * SCL: MP05[0]
+ */
+static struct i2c_gpio_bus_data i2c_gpio7 = {
+       .sda_pin        = 1,
+       .scl_pin        = 0,
+};
+
+/*
+ * i2c gpio10
+ * SDA: GPJ3[0]
+ * SCL: GPJ3[1]
+ */
+static struct i2c_gpio_bus_data i2c_gpio10 = {
+       .sda_pin        = 0,
+       .scl_pin        = 1,
+};
+
+static struct i2c_gpio_bus i2c_gpio[I2C_NUM];
+
+u32 get_board_rev(void)
+{
+       return board_rev;
+}
+
+static int hwrevision(int rev)
+{
+       return (board_rev & 0xf) == rev;
+}
+
+static void check_battery(int mode);
+
+static struct i2c_gpio_bus i2c_gpio[] = {
+       {
+               .bus    = &i2c_2,
+       }, {
+               .bus    = &i2c_gpio3,
+       }, {
+               .bus    = &i2c_pmic,
+       }, {
+               .bus    = &i2c_gpio5,
+       }, {
+               .bus    = &i2c_gpio6,
+       }, {
+               .bus    = &i2c_gpio7,
+       }, {
+               .bus    = &i2c_gpio10,
+       },
+};
+
+void i2c_init_board(void)
+{
+       gpio = (struct s5pc110_gpio *)samsung_get_base_gpio();
+
+       i2c_gpio[I2C_2].bus = &i2c_2;
+       i2c_gpio[I2C_GPIO3].bus = &i2c_gpio3;
+       i2c_gpio[I2C_PMIC].bus = &i2c_pmic;
+       i2c_gpio[I2C_GPIO5].bus = &i2c_gpio5;
+       i2c_gpio[I2C_GPIO6].bus = &i2c_gpio6;
+       i2c_gpio[I2C_GPIO7].bus = &i2c_gpio7;
+       i2c_gpio[I2C_GPIO10].bus = &i2c_gpio10;
+
+       i2c_gpio[I2C_2].bus->gpio_base =
+               (unsigned int)&gpio->d1;
+       i2c_gpio[I2C_GPIO3].bus->gpio_base =
+               (unsigned int)&gpio->j3;
+       i2c_gpio[I2C_PMIC].bus->gpio_base =
+               (unsigned int)&gpio->j4;
+       i2c_gpio[I2C_GPIO5].bus->gpio_base =
+               (unsigned int)&gpio->mp0_5;
+       i2c_gpio[I2C_GPIO6].bus->gpio_base =
+               (unsigned int)&gpio->j3;
+       i2c_gpio[I2C_GPIO7].bus->gpio_base =
+               (unsigned int)&gpio->mp0_5;
+
+       i2c_gpio_init(i2c_gpio, I2C_NUM, I2C_PMIC);
+
+       /* Reset on max17040 early */
+       check_battery(1);
+}
+
+#ifdef CONFIG_MISC_INIT_R
+#define DEV_INFO_LEN           256
+static char device_info[DEV_INFO_LEN];
+static int display_info;
+
+static void empty_device_info_buffer(void)
+{
+       memset(device_info, 0x0, DEV_INFO_LEN);
+}
+
+static void dprintf(const char *fmt, ...)
+{
+       va_list args;
+       uint i;
+       char buf[128];
+
+       va_start(args, fmt);
+       i = vsprintf(buf, fmt, args);
+       va_end(args);
+
+       buf[127] = 0;
+
+       if ((strlen(device_info) + strlen(buf)) > (DEV_INFO_LEN - 1)) {
+               puts("Flushing device info...\n");
+               puts(device_info);
+               empty_device_info_buffer();
+       }
+       strncat(device_info, buf, 127);
+       puts(buf);
+}
+
+#ifdef CONFIG_S5PC1XXFB
+static void display_device_info(void)
+{
+       if (!display_info)
+               return;
+
+       init_font();
+       set_font_xy(0, 450);
+       set_font_color(FONT_WHITE);
+       fb_printf(device_info);
+       exit_font();
+
+       memset(device_info, 0x0, DEV_INFO_LEN);
+
+       udelay(5 * 1000 * 1000);
+}
+#endif
+
+static char feature_buffer[32];
+
+static unsigned int get_hw_revision(struct s5p_gpio_bank *bank, int hwrev3)
+{
+       unsigned int rev;
+
+       gpio_direction_input(bank, 2);
+       gpio_direction_input(bank, 3);
+       gpio_direction_input(bank, 4);
+       gpio_direction_input(bank, hwrev3);
+
+       gpio_set_pull(bank, 2, GPIO_PULL_NONE);         /* HWREV_MODE0 */
+       gpio_set_pull(bank, 3, GPIO_PULL_NONE);         /* HWREV_MODE1 */
+       gpio_set_pull(bank, 4, GPIO_PULL_NONE);         /* HWREV_MODE2 */
+       gpio_set_pull(bank, hwrev3, GPIO_PULL_NONE);    /* HWREV_MODE3 */
+
+       rev = gpio_get_value(bank, 2);
+       rev |= (gpio_get_value(bank, 3) << 1);
+       rev |= (gpio_get_value(bank, 4) << 2);
+       rev |= (gpio_get_value(bank, hwrev3) << 3);
+
+       return rev;
+}
+
+static const char * const pcb_rev[] = {
+       "AQUILA_2.1",
+};
+
+static void check_hw_revision(void)
+{
+       int hwrev;
+
+       hwrev = get_hw_revision(&gpio->j0, 7);
+
+       board_rev |= hwrev;
+}
+
+static void show_hw_revision(void)
+{
+       printf("HW Revision:\t0x%x\n", board_rev);
+       printf("PCB Revision:\t%s\n", pcb_rev[(board_rev & 0xf) - 0xa]);
+}
+
+void get_rev_info(char *rev_info)
+{
+       sprintf(rev_info, "HW Revision: 0x%x (%s)\n",
+                       board_rev, pcb_rev[(board_rev & 0xf) - 0xa]);
+}
+
+static void check_auto_burn(void)
+{
+       unsigned long magic_base = CONFIG_SYS_SDRAM_BASE + 0x02000000;
+       unsigned int count = 0;
+       char buf[64];
+
+       /* OneNAND */
+       if (readl(magic_base) == 0x426f6f74) {  /* ASICC: Boot */
+               puts("Auto burning bootloader\n");
+               count += sprintf(buf + count, "run updateb; ");
+       }
+       /* MMC */
+       if (readl(magic_base) == 0x654D4D43) {  /* ASICC: eMMC */
+               puts("Auto burning bootloader (eMMC)\n");
+               count += sprintf(buf + count, "run updatemmc; ");
+       }
+       if (readl(magic_base + 0x04) == 0x4b65726e) {   /* ASICC: Kern */
+               puts("Auto burning kernel\n");
+               count += sprintf(buf + count, "run updatek; ");
+       }
+       /* Backup u-boot in eMMC */
+       if (readl(magic_base + 0x8) == 0x4261636B) {    /* ASICC: Back */
+               puts("Auto buring u-boot image (boot partition2 in eMMC)\n");
+               count += sprintf(buf + count, "run updatebackup; ");
+       }
+
+       if (count) {
+               count += sprintf(buf + count, "reset");
+               setenv("bootcmd", buf);
+       }
+
+       /* Clear the magic value */
+       memset((void*) magic_base, 0, 2);
+}
+
+static void pmic_pin_init(void)
+{
+       unsigned int reg, value;
+
+       /* AP_PS_HOLD: XEINT_0: GPH0[0]
+        * Note: Don't use GPIO PS_HOLD it doesn't work
+        */
+       reg = S5PC110_PS_HOLD_CONTROL;
+       value = readl(reg);
+       value |= S5PC110_PS_HOLD_DIR_OUTPUT |
+               S5PC110_PS_HOLD_DATA_HIGH |
+               S5PC110_PS_HOLD_OUT_EN;
+       writel(value, reg);
+
+       /* nPOWER: XEINT_22: GPH2[6] interrupt mode */
+       gpio_cfg_pin(&gpio->h2, 6, GPIO_IRQ);
+       gpio_set_pull(&gpio->h2, 6, GPIO_PULL_UP);
+}
+
+static void enable_ldos(void)
+{
+       /* TOUCH_EN: XMMC3DATA_3: GPG3[6] output high */
+       gpio_direction_output(&gpio->g3, 6, 1);
+}
+
+static void enable_t_flash(void)
+{
+       /* T_FLASH_EN : XM0ADDR_13: MP0_5[4] output high */
+       gpio_direction_output(&gpio->mp0_5, 4, 1);
+}
+
+static void check_battery(int mode)
+{
+       unsigned char val[2];
+       unsigned char addr = 0x36;      /* max17040 fuel gauge */
+
+       i2c_set_bus_num(I2C_GPIO3);
+
+       if (i2c_probe(addr)) {
+               puts("Can't found max17040 fuel gauge\n");
+               return;
+       }
+
+       /* mode 0: check mode / 1: enable mode */
+       if (mode) {
+               val[0] = 0x40;
+               val[1] = 0x00;
+               i2c_write(addr, 0xfe, 1, val, 2);
+       } else {
+               i2c_read(addr, 0x04, 1, val, 1);
+               dprintf("battery:\t%d%%\n", val[0]);
+               battery_soc = val[0];
+       }
+}
+
+static int max8998_probe(void)
+{
+       unsigned char addr = 0xCC >> 1;
+
+       i2c_set_bus_num(I2C_PMIC);
+
+       if (i2c_probe(addr)) {
+               puts("Can't found max8998\n");
+               return 1;
+       }
+
+       return 0;
+}
+
+#define CHARGER_ANIMATION_FRAME                6
+static void max8998_clear_interrupt(void)
+{
+       unsigned char addr, val[2];
+       addr = 0xCC >> 1;
+
+       /* TODO */
+       return;
+
+       if (max8998_probe())
+               return;
+
+       i2c_read(addr, 0x00, 1, val, 1);
+       i2c_read(addr, 0x01, 1, val, 1);
+       i2c_read(addr, 0x02, 1, val, 1);
+       i2c_read(addr, 0x03, 1, val, 1);
+}
+
+static int poweron_key_check(void)
+{
+       unsigned char addr, val[2];
+       addr = 0xCC >> 1;
+
+       /* TODO */
+       return 0;
+
+       if (max8998_probe())
+               return 0;
+
+       i2c_read(addr, 0x02, 1, val, 1);
+       return val[0] & 0x1;
+}
+
+int check_exit_key(void)
+{
+       return poweron_key_check();
+}
+
+static int max8998_power_key(void)
+{
+       unsigned char addr, val[2];
+       addr = 0xCC >> 1;
+
+       /* TODO */
+       return 0;
+
+       if (max8998_probe())
+               return 0;
+
+       /* Accessing IRQ1 register */
+       i2c_read(addr, 0x00, 1, val, 1);
+       if (val[0] & (1 << 6))
+               return 1;
+
+       return 0;
+}
+
+static int power_key_check(void)
+{
+       unsigned char addr, val[2];
+       addr = 0xCC >> 1;
+
+       /* TODO */
+       return 0;
+
+       if (max8998_probe())
+               return 0;
+
+       /* power_key check */
+       i2c_read(addr, 0x00, 1, val, 1);
+       return val[0] & (1 << 7);
+}
+
+#define KBR3           (1 << 3)
+#define KBR2           (1 << 2)
+#define KBR1           (1 << 1)
+#define KBR0           (1 << 0)
+
+static void check_keypad(void)
+{
+       unsigned int auto_download = 0;
+
+       if (auto_download)
+               setenv("bootcmd", "usbdown");
+}
+
+static int max8998_has_ext_power_source(void)
+{
+       unsigned char addr, val[2];
+       addr = 0xCC >> 1;
+
+       /* TODO */
+       return 0;
+
+       if (max8998_probe())
+               return 0;
+
+       /* Accessing STATUS2 register */
+       i2c_read(addr, 0x09, 1, val, 1);
+       if (val[0] & (1 << 5))
+               return 1;
+
+       return 0;
+}
+
+#define S5PC110_RST_STAT       0xE010A000
+
+#define SWRESET                        (1 << 3)
+#define WDTRESET               (1 << 2)
+#define WARMRESET              (1 << 1)
+#define EXTRESET               (1 << 0)
+
+static int get_reset_status(void)
+{
+       return readl(S5PC110_RST_STAT) & 0xf;
+}
+
+static void check_reset_status(void)
+{
+       int status = get_reset_status();
+
+       puts("Reset Status: ");
+
+       switch (status) {
+       case EXTRESET:
+               puts("Pin(Ext) Reset\n");
+               break;
+       case WARMRESET:
+               puts("Warm Reset\n");
+               break;
+       case WDTRESET:
+               puts("Watchdog Reset\n");
+               break;
+       case SWRESET:
+               puts("S/W Reset\n");
+               break;
+       default:
+               printf("Unknown (0x%x)\n", status);
+       }
+}
+
+#define MAX8998_REG_ONOFF1     0x11
+#define MAX8998_REG_ONOFF2     0x12
+#define MAX8998_REG_ONOFF3     0x13
+#define MAX8998_REG_ONOFF4     0x14
+#define MAX8998_REG_LDO7       0x21
+#define MAX8998_REG_LDO17      0x29
+/* ONOFF1 */
+#define MAX8998_LDO3           (1 << 2)
+/* ONOFF2 */
+#define MAX8998_LDO6           (1 << 7)
+#define MAX8998_LDO7           (1 << 6)
+#define MAX8998_LDO8           (1 << 5)
+#define MAX8998_LDO9           (1 << 4)
+#define MAX8998_LDO10          (1 << 3)
+#define MAX8998_LDO11          (1 << 2)
+#define MAX8998_LDO12          (1 << 1)
+#define MAX8998_LDO13          (1 << 0)
+/* ONOFF3 */
+#define MAX8998_LDO14          (1 << 7)
+#define MAX8998_LDO15          (1 << 6)
+#define MAX8998_LDO16          (1 << 5)
+#define MAX8998_LDO17          (1 << 4)
+
+static void init_pmic(void)
+{
+       unsigned char addr;
+       unsigned char val[2];
+
+       /* TODO */
+       return;
+
+       addr = 0xCC >> 1;       /* max8998 */
+       if (max8998_probe())
+               return;
+
+       /* ONOFF1 */
+       i2c_read(addr, MAX8998_REG_ONOFF1, 1, val, 1);
+       val[0] &= ~MAX8998_LDO3;
+       i2c_write(addr, MAX8998_REG_ONOFF1, 1, val, 1);
+
+       /* ONOFF2 */
+       i2c_read(addr, MAX8998_REG_ONOFF2, 1, val, 1);
+       /*
+        * Disable LDO8(USB_3.3V), LDO10(VPLL_1.1V), LDO11(CAM_IO_2.8V),
+        * LDO12(CAM_ISP_1.2V), LDO13(CAM_A_2.8V)
+        */
+       val[0] &= ~(MAX8998_LDO8 | MAX8998_LDO10 | MAX8998_LDO11 |
+                       MAX8998_LDO12 | MAX8998_LDO13);
+
+       val[0] |= MAX8998_LDO7;         /* LDO7: VLCD_1.8V */
+
+       i2c_write(addr, MAX8998_REG_ONOFF2, 1, val, 1);
+       i2c_read(addr, MAX8998_REG_ONOFF2, 1, val, 1);
+       /* ONOFF3 */
+       i2c_read(addr, MAX8998_REG_ONOFF3, 1, val, 1);
+       /*
+        * Disable LDO14(CAM_CIF_1.8), LDO15(CAM_AF_3.3V),
+        * LDO16(VMIPI_1.8V), LDO17(CAM_8M_1.8V)
+        */
+       val[0] &= ~(MAX8998_LDO14 | MAX8998_LDO15 |
+                       MAX8998_LDO16 | MAX8998_LDO17);
+
+       val[0] |= MAX8998_LDO17;        /* LDO17: VCC_3.0V_LCD */
+
+       i2c_write(addr, MAX8998_REG_ONOFF3, 1, val, 1);
+       i2c_read(addr, MAX8998_REG_ONOFF3, 1, val, 1);
+}
+
+#ifdef CONFIG_LCD
+
+void fimd_clk_set(void)
+{
+       struct s5pc110_clock *clk =
+               (struct s5pc110_clock *)samsung_get_base_clock();
+       unsigned int cfg = 0;
+
+       /* set lcd src clock */
+       cfg = readl(&clk->src1);
+       cfg &= ~(0xf << 20);
+       cfg |= (0x6 << 20);
+       writel(cfg, &clk->src1);
+
+       /* set fimd ratio */
+       cfg = readl(&clk->div1);
+       cfg &= ~(0xf << 20);
+       cfg |= (0x2 << 20);
+       writel(cfg, &clk->div1);
+}
+
+void mipi_power_on(void)
+{
+       run_command("pmic ldo 3 on", 0);
+       run_command("pmic ldo 7 on", 0);
+}
+
+
+extern void s6e63m0_set_platform_data(struct spi_platform_data *pd);
+extern void s6d16a0x_set_platform_data(struct spi_platform_data *pd);
+extern void ld9040_set_platform_data(struct spi_platform_data *pd);
+
+struct spi_platform_data spi_pd;
+
+void lcd_cfg_gpio(void)
+{
+       unsigned int i, f3_end = 4;
+
+       for (i = 0; i < 8; i++) {
+               /* set GPF0,1,2[0:7] for RGB Interface and Data lines (32bit) */
+               gpio_cfg_pin(&gpio->f0, i, GPIO_FUNC(2));
+               gpio_cfg_pin(&gpio->f1, i, GPIO_FUNC(2));
+               gpio_cfg_pin(&gpio->f2, i, GPIO_FUNC(2));
+               /* pull-up/down disable */
+               gpio_set_pull(&gpio->f0, i, GPIO_PULL_NONE);
+               gpio_set_pull(&gpio->f1, i, GPIO_PULL_NONE);
+               gpio_set_pull(&gpio->f2, i, GPIO_PULL_NONE);
+
+               /* drive strength to max (24bit) */
+               gpio_set_drv(&gpio->f0, i, GPIO_DRV_4X);
+               gpio_set_rate(&gpio->f0, i, GPIO_DRV_SLOW);
+               gpio_set_drv(&gpio->f1, i, GPIO_DRV_4X);
+               gpio_set_rate(&gpio->f1, i, GPIO_DRV_SLOW);
+               gpio_set_drv(&gpio->f2, i, GPIO_DRV_4X);
+               gpio_set_rate(&gpio->f2, i, GPIO_DRV_SLOW);
+       }
+
+       for (i = 0; i < f3_end; i++) {
+               /* set GPF3[0:3] for RGB Interface and Data lines (32bit) */
+               gpio_cfg_pin(&gpio->f3, i, GPIO_PULL_UP);
+               /* pull-up/down disable */
+               gpio_set_pull(&gpio->f3, i, GPIO_PULL_NONE);
+               /* drive strength to max (24bit) */
+               gpio_set_drv(&gpio->f3, i, GPIO_DRV_4X);
+               gpio_set_rate(&gpio->f3, i, GPIO_DRV_SLOW);
+       }
+       /* display output path selection (only [1:0] valid) */
+       writel(0x2, 0xE0107008);
+
+       /* gpio pad configuration for LCD reset. */
+       gpio_cfg_pin(&gpio->mp0_5, 5, GPIO_OUTPUT);
+
+       /* gpio pad configuration for LCD ON. */
+       gpio_cfg_pin(&gpio->j1, 3, GPIO_OUTPUT);
+
+       /*
+        * gpio pad configuration for
+        * DISPLAY_CS, DISPLAY_CLK, DISPLAY_SO, DISPLAY_SI.
+        */
+       gpio_cfg_pin(&gpio->mp0_1, 1, GPIO_OUTPUT);
+       gpio_cfg_pin(&gpio->mp0_4, 1, GPIO_OUTPUT);
+       gpio_cfg_pin(&gpio->mp0_4, 2, GPIO_INPUT);
+       gpio_cfg_pin(&gpio->mp0_4, 3, GPIO_OUTPUT);
+
+       spi_pd.cs_bank = &gpio->mp0_1;
+       spi_pd.cs_num = 1;
+       spi_pd.clk_bank = &gpio->mp0_4;
+       spi_pd.clk_num = 1;
+       spi_pd.si_bank = &gpio->mp0_4;
+       spi_pd.si_num = 3;
+       spi_pd.so_bank = &gpio->mp0_4;
+       spi_pd.so_num = 2;
+
+       spi_pd.mode = SPI_MODE_3;
+
+       spi_pd.cs_active = ACTIVE_LOW;
+       spi_pd.word_len = 8;
+
+       return;
+}
+
+#define SWRST_REG              0x00
+#define LEDCON_REG             0x01
+#define LED_CUR_SET_REG                0x03
+#define LED_CUR_TR_REG         0x08
+
+#define SWRST                  0x01
+#define NORMAL_MODE            0x09
+#define CUR_SET                        0x63
+#define TR_SET                 0x00
+
+void backlight_on(unsigned int onoff)
+{
+}
+
+void reset_lcd(void)
+{
+}
+
+void lcd_power_on(unsigned int onoff)
+{
+}
+
+extern void s6e63m0_cfg_ldo(void);
+extern void s6e63m0_enable_ldo(unsigned int onoff);
+extern void s6d16a0x_cfg_ldo(void);
+extern void s6d16a0x_enable_ldo(unsigned int onoff);
+extern void ld9040_cfg_ldo(void);
+extern void ld9040_enable_ldo(unsigned int onoff);
+extern void s3cfb_set_trigger(void);
+extern int s3cfb_is_i80_frame_done(void);
+
+int s5p_no_lcd_support(void)
+{
+       return 0;
+}
+
+static struct dsim_config dsim_info = {
+       /* main frame fifo auto flush at VSYNC pulse */
+       .auto_flush = DSIM_FALSE,
+       .eot_disable = DSIM_FALSE,
+
+       .auto_vertical_cnt = DSIM_FALSE,
+       .hse = DSIM_FALSE,
+       .hfp = DSIM_FALSE,
+       .hbp = DSIM_FALSE,
+       .hsa = DSIM_FALSE,
+
+       .e_no_data_lane = DSIM_DATA_LANE_2,
+       .e_byte_clk = DSIM_PLL_OUT_DIV8,
+
+       /* 472MHz: LSI Recommended */
+       .p = 3,
+       .m = 118,
+       .s = 1,
+
+       /* D-PHY PLL stable time spec :min = 200usec ~ max 400usec */
+       .pll_stable_time = 500,
+
+       .esc_clk = 10 * 1000000,        /* escape clk : 10MHz */
+
+       /* stop state holding counter after bta change count 0 ~ 0xfff */
+       .stop_holding_cnt = 0x0f,
+       .bta_timeout = 0xff,            /* bta timeout 0 ~ 0xff */
+       .rx_timeout = 0xffff,           /* lp rx timeout 0 ~ 0xffff */
+
+       .e_lane_swap = DSIM_NO_CHANGE,
+};
+
+static struct dsim_lcd_config dsim_lcd_info = {
+       .e_interface            = DSIM_COMMAND,
+
+       .parameter[DSI_VIRTUAL_CH_ID]   = (unsigned int) DSIM_VIRTUAL_CH_0,
+       .parameter[DSI_FORMAT]          = (unsigned int) DSIM_24BPP_888,
+       .parameter[DSI_VIDEO_MODE_SEL]  = (unsigned int) DSIM_BURST,
+
+       .mipi_ddi_pd            = NULL,
+};
+
+struct s5p_platform_dsim s6e39a0x_platform_data = {
+       .pvid = NULL,
+       .clk_name = "dsim",
+       .dsim_info = &dsim_info,
+       .dsim_lcd_info = &dsim_lcd_info,
+       .lcd_panel_name = "s6e39a0x",
+       .platform_rev = 1,
+
+       /*
+        * the stable time of needing to write data on SFR
+        * when the mipi mode becomes LP mode.
+        */
+       .delay_for_stabilization = 600000,
+};
+
+extern void s5p_set_dsim_platform_data(struct s5p_platform_dsim *pd);
+extern void s6e39a0x_init(void);
+
+void init_panel_info(vidinfo_t *vid)
+{
+       vid->cfg_gpio = NULL;
+       vid->reset_lcd = NULL;
+       vid->backlight_on = NULL;
+       vid->lcd_power_on = NULL;
+       vid->mipi_power = NULL;
+
+       vid->cfg_ldo = NULL;
+       vid->enable_ldo = NULL;
+
+       vid->init_delay = 0;
+       vid->reset_delay = 0;
+       vid->power_on_delay = 0;
+
+       vid->vl_freq    = 60;
+       vid->vl_col     = 480;
+       vid->vl_row     = 800;
+       vid->vl_width   = 480;
+       vid->vl_height  = 800;
+
+       vid->dual_lcd_enabled = 0;
+       vid->interface_mode = FIMD_RGB_INTERFACE;
+
+       vid->vl_clkp    = CONFIG_SYS_HIGH;
+       vid->vl_hsp     = CONFIG_SYS_LOW;
+       vid->vl_vsp     = CONFIG_SYS_LOW;
+       vid->vl_dp      = CONFIG_SYS_HIGH;
+       vid->vl_bpix    = 32;
+
+       /* S6E63M0 LCD Panel */
+       vid->vl_hspw    = 2;
+       vid->vl_hbpd    = 16;
+       vid->vl_hfpd    = 16;
+
+       vid->vl_vspw    = 2;
+       vid->vl_vbpd    = 3;
+       vid->vl_vfpd    = 28;
+
+       vid->cfg_gpio = lcd_cfg_gpio;
+       vid->reset_lcd = reset_lcd;
+       vid->backlight_on = backlight_on;
+       vid->lcd_power_on = lcd_power_on;
+       vid->cfg_ldo = s6e63m0_cfg_ldo;
+       vid->enable_ldo = s6e63m0_enable_ldo;
+
+       vid->cs_setup = 0;
+       vid->wr_setup = 0;
+       vid->wr_act = 1;
+       vid->wr_hold = 0;
+
+       vid->cfg_gpio = lcd_cfg_gpio;
+       vid->backlight_on = NULL;
+       vid->lcd_power_on = lcd_power_on;
+       vid->reset_lcd = reset_lcd;
+       vid->mipi_power = mipi_power_on;
+
+       vid->init_delay = 0;
+       vid->power_on_delay = 30000;
+       vid->reset_delay = 20000;
+       vid->interface_mode = FIMD_CPU_INTERFACE;
+
+       s6e39a0x_platform_data.part_reset = s5p_dsim_part_reset;
+       s6e39a0x_platform_data.init_d_phy = s5p_dsim_init_d_phy;
+       s6e39a0x_platform_data.get_fb_frame_done = s3cfb_is_i80_frame_done;
+       s6e39a0x_platform_data.trigger = s3cfb_set_trigger;
+       s6e39a0x_platform_data.pvid = vid;
+       s6e39a0x_init();
+       s5p_set_dsim_platform_data(&s6e39a0x_platform_data);
+}
+#endif
+
+#ifdef CONFIG_CMD_RAMOOPS
+static void show_dump_msg(void)
+{
+       int ret;
+
+       ret = ramoops_init(samsung_get_base_modem());
+
+       if (!ret)
+               setenv("bootdelay", "-1");
+}
+#endif
+
+int misc_init_r(void)
+{
+       check_reset_status();
+#ifdef CONFIG_CMD_RAMOOPS
+       show_dump_msg();
+#endif
+
+       show_hw_revision();
+
+       /* Set proper PMIC pins */
+       pmic_pin_init();
+
+       /* Check auto burning */
+       check_auto_burn();
+
+       /* To power up I2C2 */
+       enable_ldos();
+
+       /* Enable T-Flash at Limo Real or Limo Universal */
+       enable_t_flash();
+
+       /* To usbdown automatically */
+       check_keypad();
+
+       /* check max8998 */
+       max8998_init(I2C_PMIC);
+       init_pmic();
+
+#ifdef CONFIG_S5PC1XXFB
+       display_device_info();
+#endif
+
+       /* check max17040 */
+       check_battery(0);
+
+#ifdef CONFIG_INFO_ACTION
+       info_action_check();
+#endif
+
+       return 0;
+}
+#endif
+
+int board_init(void)
+{
+       /* Set Initial global variables */
+       gpio = (struct s5pc110_gpio *)samsung_get_base_gpio();
+
+#ifdef CONFIG_LCD
+       /*
+        * set reserved memory region for framebuffer.
+        *
+        * this region wouldn't be rewrited by kernel so
+        * could avoid nosie screen filled by garbages
+        * after hibernation resume has been completed.
+        */
+       gd->fb_base = CONFIG_FB_RESERVED_MEM;
+#endif
+
+       /* Check H/W Revision */
+       check_hw_revision();
+
+       gd->bd->bi_arch_number = MACH_TYPE_GONI;
+       gd->bd->bi_boot_params = PHYS_SDRAM_1 + 0x100;
+
+       return 0;
+}
+
+int dram_init(void)
+{
+       gd->ram_size = PHYS_SDRAM_1_SIZE + PHYS_SDRAM_2_SIZE +
+                       PHYS_SDRAM_3_SIZE;
+
+       return 0;
+}
+
+void dram_init_banksize(void)
+{
+       gd->bd->bi_dram[0].start = PHYS_SDRAM_1;
+       gd->bd->bi_dram[0].size = PHYS_SDRAM_1_SIZE;
+       gd->bd->bi_dram[1].start = PHYS_SDRAM_2;
+       gd->bd->bi_dram[1].size = PHYS_SDRAM_2_SIZE;
+       gd->bd->bi_dram[2].start = PHYS_SDRAM_3;
+       gd->bd->bi_dram[2].size = PHYS_SDRAM_3_SIZE;
+}
+
+#ifdef CONFIG_CMD_USBDOWN
+int usb_board_init(void)
+{
+       /* interrupt clear */
+       poweron_key_check();
+
+#ifdef CONFIG_CMD_PMIC
+       run_command("pmic ldo 8 on", 0);
+       run_command("pmic ldo 3 on", 0);
+#endif
+
+       return 0;
+}
+#endif
+
+#ifdef CONFIG_GENERIC_MMC
+int s5p_no_mmc_support(void)
+{
+       return 0;
+}
+
+int board_mmc_init(bd_t *bis)
+{
+       int i, err;
+       int buswidth = 4;
+
+       if (s5p_no_mmc_support())
+               return -1;
+
+       /* MASSMEMORY_EN: XMSMDATA7: GPJ2[7] output high */
+       gpio_direction_output(&gpio->j2, 7, 1);
+
+       /*
+        * MMC0 GPIO
+        * GPG0[0]      SD_0_CLK
+        * GPG0[1]      SD_0_CMD
+        * GPG0[2]      SD_0_CDn        -> Not used
+        * GPG0[3:6]    SD_0_DATA[0:3]
+        */
+       for (i = 0; i < 7; i++) {
+               if (i == 2)
+                       continue;
+               /* GPG0[0:6] special function 2 */
+               gpio_cfg_pin(&gpio->g0, i, 0x2);
+               /* GPG0[0:6] pull disable */
+               gpio_set_pull(&gpio->g0, i, GPIO_PULL_NONE);
+               /* GPG0[0:6] drv 4x */
+               gpio_set_drv(&gpio->g0, i, GPIO_DRV_4X);
+       }
+
+       /* T_FLASH_DETECT: EINT28: GPH3[4] interrupt mode */
+       gpio_cfg_pin(&gpio->h3, 4, GPIO_IRQ);
+       gpio_set_pull(&gpio->h3, 4, GPIO_PULL_UP);
+
+       err = s5p_mmc_init(0, buswidth);
+
+       if (!gpio_get_value(&gpio->h3, 4)) {
+               for (i = 0; i < 7; i++) {
+                       if (i == 2)
+                               continue;
+                       /* GPG2[0:6] special function 2 */
+                       gpio_cfg_pin(&gpio->g2, i, 0x2);
+                       /* GPG2[0:6] pull disable */
+                       gpio_set_pull(&gpio->g2, i, GPIO_PULL_NONE);
+                       /* GPG2[0:6] drv 4x */
+                       gpio_set_drv(&gpio->g2, i, GPIO_DRV_4X);
+               }
+               err =s5p_mmc_init(2, 4);
+       }
+
+       return err;
+}
+#endif
diff --git a/board/samsung/f1_c110/gpio_setting.h b/board/samsung/f1_c110/gpio_setting.h
new file mode 100644 (file)
index 0000000..ac4596d
--- /dev/null
@@ -0,0 +1,440 @@
+#ifndef __GPIO_SETTING_H
+#define __GPIO_SETTING_H
+
+#include <asm/arch/gpio.h>
+#include <asm/arch/cpu.h>
+
+#define PDN_MASK(x)            (0x3 << ((x) << 1))
+
+#define CON_INPUT(x)           (0x0 << ((x) << 2))
+#define CON_OUTPUT(x)          (0x1 << ((x) << 2))
+#define CON_IRQ(x)             (0xf << ((x) << 2))
+
+#define DAT_SET(x)             (0x1 << (x))
+#define DAT_CLEAR(x)           (0x0 << (x))
+
+#define OUTPUT0(x)             (0x0 << ((x) << 1))
+#define OUTPUT1(x)             (0x1 << ((x) << 1))
+#define INPUT(x)               (0x2 << ((x) << 1))
+#define KEEP_STATE(x)          (0x3 << ((x) << 1))
+
+#define PULL_DIS(x)            (0x0 << ((x) << 1))
+#define PULL_DOWN(x)           (0x1 << ((x) << 1))
+#define PULL_UP(x)             (0x2 << ((x) << 1))
+
+#define PREVIOUS(x)            (0x3 << ((x) << 1))
+
+#define HWSUGGEST
+#ifdef HWSUGGEST
+#define PDNCON_NC(x)           INPUT(x)
+#define PDNPUD_NC(x)           PULL_DOWN(x)
+#else
+#define PDNCON_NC(x)           OUTPUT0(x)
+#define PDNPUD_NC(x)           PULL_DIS(x)
+#endif
+
+/* #define OPTMIZED_SLEEP_CURRENT_BCM4329 */
+
+struct gpio_powermode {
+       unsigned int    conpdn;
+       unsigned int    pudpdn;
+};
+
+struct gpio_external {
+       unsigned int    con;
+       unsigned int    dat;
+       unsigned int    pud;
+};
+
+/* In mirror mode, it sets "I(PD/PU)" according to the
+ * output at the u-boot time. */
+struct s5pc1xx_gpio_item {
+       struct s5p_gpio_bank *bank;
+       unsigned int number;
+};
+
+static struct s5pc1xx_gpio_item aquila_mirror_powerdown_mode[] = {
+};
+
+static struct s5pc1xx_gpio_item kessler_mirror_powerdown_mode[] = {
+       { .bank = &((struct s5pc110_gpio *)S5PC110_GPIO_BASE)->j0, .number = 2},
+       { .bank = &((struct s5pc110_gpio *)S5PC110_GPIO_BASE)->j0, .number = 3},
+       { .bank = &((struct s5pc110_gpio *)S5PC110_GPIO_BASE)->j0, .number = 4},
+       { .bank = &((struct s5pc110_gpio *)S5PC110_GPIO_BASE)->j0, .number = 7},
+};
+
+#undef __GPIO
+
+static struct gpio_powermode aquila_powerdown_modes[] = {
+       {       /* S5PC110_GPIO_A0_OFFSET */
+               INPUT(0) | OUTPUT0(1) | INPUT(2) | OUTPUT0(3) |
+               INPUT(4) | OUTPUT0(5) | INPUT(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_GPIO_A1_OFFSET */
+               INPUT(0) | OUTPUT0(1) | INPUT(2) | INPUT(3),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3),
+       }, {    /* S5PC110_GPIO_B_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               INPUT(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_GPIO_C0_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | INPUT(3) |
+               OUTPUT0(4),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4),
+       }, {    /* S5PC110_GPIO_C1_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | INPUT(3) |
+               OUTPUT0(4),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4),
+       }, {    /* S5PC110_GPIO_D0_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3),
+       }, {    /* S5PC110_GPIO_D1_OFFSET */
+               INPUT(0) | INPUT(1) | INPUT(2) | INPUT(3) |
+               INPUT(4) | INPUT(5),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5),
+       }, {    /* S5PC110_GPIO_E0_OFFSET */
+               INPUT(0) | INPUT(1) | INPUT(2) | INPUT(3) |
+               INPUT(4) | INPUT(5) | INPUT(6) | INPUT(7),
+               PULL_DOWN(0) | PULL_DOWN(1) | PULL_DOWN(2) | PULL_DOWN(3) |
+               PULL_DOWN(4) | PULL_DOWN(5) | PULL_DOWN(6) | PULL_DOWN(7),
+       }, {    /* S5PC110_GPIO_E1_OFFSET */
+               INPUT(0) | INPUT(1) | INPUT(2) | OUTPUT0(3) |
+               OUTPUT0(4),
+               PULL_DOWN(0) | PULL_DOWN(1) | PULL_DOWN(2) | PULL_DIS(3) |
+               PULL_DIS(4),
+       }, {    /* S5PC110_GPIO_F0_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_GPIO_F1_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_GPIO_F2_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_GPIO_F3_OFFSET */
+               /*
+                * GPF3[4]: CODEC_LDO_EN can be enabled at suspend
+                */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5),
+       }, {    /* S5PC110_GPIO_G0_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110_GPIO_G1_OFFSET */
+
+               /*
+                * Chip Status:                 Off     On      
+                * GPG1[2]: WLAN_nRST           low     high (need to verify)
+                */
+#ifdef OPTMIZED_SLEEP_CURRENT_BCM4329
+               OUTPUT0(0) | INPUT(1) | OUTPUT0(2) | INPUT(3) |
+#else
+               OUTPUT0(0) | INPUT(1) | KEEP_STATE(2) | INPUT(3) |
+#endif
+               INPUT(4) | INPUT(5) | INPUT(6),
+               PULL_DIS(0) | PULL_UP(1) | PULL_DIS(2) | PULL_UP(3) |
+               PULL_UP(4) | PULL_UP(5) | PULL_UP(6),
+       }, {    /* S5PC110_GPIO_G2_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110_GPIO_G3_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT1(2) | INPUT(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110_GPIO_I_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | INPUT(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110_GPIO_J0_OFFSET */
+               INPUT(0) | OUTPUT0(1) | INPUT(2) | INPUT(3) |
+               INPUT(4) | INPUT(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DOWN(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_GPIO_J1_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_GPIO_J2_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               INPUT(4) | OUTPUT0(5) | INPUT(6) | INPUT(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DOWN(6) | PULL_DOWN(7),
+       }, {    /* S5PC110_GPIO_J3_OFFSET */
+               INPUT(0) | INPUT(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT1(4) | OUTPUT0(5) | INPUT(6) | INPUT(7),
+               PULL_DOWN(0) | PULL_DOWN(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_GPIO_J4_OFFSET */
+               INPUT(0) | OUTPUT0(1) | OUTPUT0(2) | INPUT(3) |
+               OUTPUT0(4),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4),
+       }, {    /* S5PC110_MP0_1_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT1(2) | OUTPUT0(3) |
+               OUTPUT1(4) | OUTPUT0(5) | OUTPUT1(6) | OUTPUT1(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_MP0_2_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | INPUT(2) | OUTPUT0(3),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3),
+       }, {    /* S5PC110_MP0_3_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT1(2) | OUTPUT0(3) |
+               INPUT(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_MP0_4_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | INPUT(3) |
+               INPUT(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DOWN(3) |
+               PULL_DOWN(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_MP0_5_OFFSET */
+               INPUT(0) | OUTPUT0(1) | INPUT(2) | INPUT(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DOWN(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_MP0_6_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110_MP0_7_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       },
+};
+
+static struct gpio_external aquila_external_powerdown_modes[] = {
+       {       /* S5PC110_GPIO_H0_OFFSET */
+               CON_OUTPUT(0) | CON_INPUT(1) | CON_OUTPUT(2) | CON_OUTPUT(3) |
+               CON_OUTPUT(4) | CON_OUTPUT(5) | CON_INPUT(6) | CON_IRQ(7),
+               DAT_SET(0) | DAT_CLEAR(2) | DAT_CLEAR(3) |
+               DAT_CLEAR(4) | DAT_CLEAR(5),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5),
+       }, {    /* S5PC110_GPIO_H1_OFFSET */
+               CON_INPUT(0) | CON_INPUT(1) | CON_OUTPUT(2) | CON_IRQ(3) |
+               CON_INPUT(4) | CON_INPUT(5) | CON_OUTPUT(6) | CON_INPUT(7),
+               DAT_SET(0),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DOWN(4),
+       }, {    /* S5PC110_GPIO_H2_OFFSET */
+               CON_OUTPUT(0) | CON_OUTPUT(1) | CON_OUTPUT(2) | CON_OUTPUT(3) |
+               CON_IRQ(4) | CON_IRQ(5) | CON_IRQ(6) | CON_IRQ(7),
+               DAT_CLEAR(0) | DAT_CLEAR(1) | DAT_CLEAR(2) | DAT_CLEAR(3),
+               0,
+       }, {    /* S5PC110_GPIO_H3_OFFSET */
+               CON_IRQ(0) | CON_IRQ(1) | CON_IRQ(2) | CON_OUTPUT(3) |
+               CON_IRQ(4) | CON_INPUT(5) | CON_IRQ(6) | CON_OUTPUT(7),
+               DAT_CLEAR(3) | DAT_CLEAR(7),
+               0,
+       },
+};
+
+static struct gpio_powermode kessler_powerdown_modes[] = {
+       {       /* S5PC110(ARIES)_GPIO_A0_OFFSET */
+               INPUT(0) | OUTPUT0(1) | INPUT(2) | OUTPUT0(3) |
+               INPUT(4) | OUTPUT0(5) | INPUT(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_A1_OFFSET */
+               INPUT(0) | OUTPUT0(1) | INPUT(2) | INPUT(3),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3),
+       }, {    /* S5PC110(ARIES)_GPIO_B_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               INPUT(4) | OUTPUT0(5) | PDNCON_NC(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PDNPUD_NC(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_C0_OFFSET */
+               OUTPUT0(0) | PDNCON_NC(1) | OUTPUT0(2) | INPUT(3) |
+               OUTPUT0(4),
+               PULL_DIS(0) | PDNPUD_NC(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4),
+       }, {    /* S5PC110(ARIES)_GPIO_C1_OFFSET */
+               OUTPUT0(0) | PDNCON_NC(1) | OUTPUT0(2) | INPUT(3) |
+               OUTPUT0(4),
+               PULL_DIS(0) | PDNPUD_NC(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4),
+       }, {    /* S5PC110(ARIES)_GPIO_D0_OFFSET */
+               PDNCON_NC(0) | OUTPUT0(1) | PDNCON_NC(2) | PDNCON_NC(3),
+               PDNPUD_NC(0) | PULL_DIS(1) | PDNPUD_NC(2) | PDNPUD_NC(3),
+       }, {    /* S5PC110(ARIES)_GPIO_D1_OFFSET */
+               INPUT(0) | INPUT(1) | INPUT(2) | INPUT(3) |
+               INPUT(4) | INPUT(5),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5),
+       }, {    /* S5PC110(ARIES)_GPIO_E0_OFFSET */
+               INPUT(0) | INPUT(1) | INPUT(2) | INPUT(3) |
+               INPUT(4) | INPUT(5) | INPUT(6) | INPUT(7),
+               PULL_DOWN(0) | PULL_DOWN(1) | PULL_DOWN(2) | PULL_DOWN(3) |
+               PULL_DOWN(4) | PULL_DOWN(5) | PULL_DOWN(6) | PULL_DOWN(7),
+       }, {    /* S5PC110(ARIES)_GPIO_E1_OFFSET */
+               INPUT(0) | INPUT(1) | INPUT(2) | OUTPUT0(3) |
+               PDNCON_NC(4),
+               PULL_DOWN(0) | PULL_DOWN(1) | PULL_DOWN(2) | PULL_DIS(3) |
+               PDNPUD_NC(4),
+       }, {    /* S5PC110(ARIES)_GPIO_F0_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_F1_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_F2_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_F3_OFFSET */
+               /*
+                * GPF3[4]: CODEC_LDO_EN can be enabled at suspend
+                */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               INPUT(4) | PDNCON_NC(5),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DOWN(4) | PDNPUD_NC(5),
+       }, {    /* S5PC110(ARIES)_GPIO_G0_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | INPUT(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110(ARIES)_GPIO_G1_OFFSET */
+#ifdef OPTMIZED_SLEEP_CURRENT_BCM4329
+               OUTPUT0(0) | OUTPUT1(1) | OUTPUT0(2) | OUTPUT1(3) |
+               OUTPUT1(4) | OUTPUT1(5) | OUTPUT1(6),
+#else
+               OUTPUT0(0) | OUTPUT1(1) | KEEP_STATE(2) | OUTPUT1(3) |
+               OUTPUT1(4) | OUTPUT1(5) | OUTPUT1(6),
+#endif
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110(ARIES)_GPIO_G2_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | INPUT(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110(ARIES)_GPIO_G3_OFFSET */
+               OUTPUT1(0) | OUTPUT0(1) | PDNCON_NC(2) | INPUT(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6),
+               PULL_DIS(0) | PULL_DIS(1) | PDNPUD_NC(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110(ARIES)_GPIO_I_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               OUTPUT0(4) | OUTPUT0(5) | OUTPUT0(6),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6),
+       }, {    /* S5PC110(ARIES)_GPIO_J0_OFFSET */
+               INPUT(0) | INPUT(1) | INPUT(2) | INPUT(3) |
+               INPUT(4) | INPUT(5) | OUTPUT0(6) | INPUT(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_J1_OFFSET */
+               OUTPUT1(0) | OUTPUT0(1) | OUTPUT0(2) | INPUT(3) |
+               INPUT(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DOWN(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_J2_OFFSET */
+               OUTPUT0(0) | OUTPUT0(1) | OUTPUT0(2) | OUTPUT0(3) |
+               INPUT(4) | OUTPUT0(5) | OUTPUT0(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_J3_OFFSET */
+               INPUT(0) | INPUT(1) | OUTPUT0(2) | OUTPUT0(3) |
+               INPUT(4) | INPUT(5) | INPUT(6) | INPUT(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PULL_DIS(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_GPIO_J4_OFFSET */
+               INPUT(0) | INPUT(1) | OUTPUT0(2) | INPUT(3) |
+               OUTPUT0(4),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4),
+       }, {    /* S5PC110(ARIES)_MP0_1_OFFSET */
+               /* XM0CSN[4]: MP0_1[4] -> output high */
+               PDNCON_NC(0) | OUTPUT0(1) | PDNCON_NC(2) | INPUT(3) |
+               OUTPUT1(4) | INPUT(5) | PDNCON_NC(6) | PDNCON_NC(7),
+               PDNPUD_NC(0) | PULL_DIS(1) | PDNPUD_NC(2) | PULL_DOWN(3) |
+               PULL_DIS(4) | PULL_DOWN(5) | PDNPUD_NC(6) | PDNPUD_NC(7),
+       }, {    /* S5PC110(ARIES)_MP0_2_OFFSET */
+               PDNCON_NC(0) | PDNCON_NC(1) | INPUT(2) | PDNCON_NC(3),
+               PDNPUD_NC(0) | PDNPUD_NC(1) | PULL_DIS(2) | PDNPUD_NC(3),
+       }, {    /* S5PC110(ARIES)_MP0_3_OFFSET */
+               /* XM0FWEN: MP0_3[2] -> output high */
+               PDNCON_NC(0) | PDNCON_NC(1) | OUTPUT1(2) | INPUT(3) |
+               INPUT(4) | OUTPUT1(5) | PDNCON_NC(6) | PDNCON_NC(7),
+               PDNPUD_NC(0) | PDNPUD_NC(1) | PULL_DIS(2) | PULL_DOWN(3) |
+               PULL_DIS(4) | PULL_DIS(5) | PDNPUD_NC(6) | PDNPUD_NC(7),
+       }, {    /* S5PC110(ARIES)_MP0_4_OFFSET */
+               PDNCON_NC(0) | OUTPUT0(1) | OUTPUT0(2) | INPUT(3) |
+               PDNCON_NC(4) | PDNCON_NC(5) | OUTPUT0(6) | PDNCON_NC(7),
+               PDNPUD_NC(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DOWN(3) |
+               PDNPUD_NC(4) | PDNPUD_NC(5) | PULL_DIS(6) | PDNPUD_NC(7),
+       }, {    /* S5PC110(ARIES)_MP0_5_OFFSET */
+               INPUT(0) | INPUT(1) | INPUT(2) | INPUT(3) |
+               INPUT(4) | OUTPUT0(5) | INPUT(6) | OUTPUT0(7),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DOWN(4) | PULL_DIS(5) | PULL_UP(6) | PULL_DIS(7),
+       }, {    /* S5PC110(ARIES)_MP0_6_OFFSET */
+               PDNCON_NC(0) | PDNCON_NC(1) | PDNCON_NC(2) | PDNCON_NC(3) |
+               PDNCON_NC(4) | PDNCON_NC(5) | PDNCON_NC(6) | PDNCON_NC(7),
+               PDNPUD_NC(0) | PDNPUD_NC(1) | PDNPUD_NC(2) | PDNPUD_NC(3) |
+               PDNPUD_NC(4) | PDNPUD_NC(5) | PDNPUD_NC(6) | PDNPUD_NC(7),
+       }, {    /* S5PC110(ARIES)_MP0_7_OFFSET */
+               PDNCON_NC(0) | PDNCON_NC(1) | PDNCON_NC(2) | PDNCON_NC(3) |
+               PDNCON_NC(4) | PDNCON_NC(5) | PDNCON_NC(6) | PDNCON_NC(7),
+               PDNPUD_NC(0) | PDNPUD_NC(1) | PDNPUD_NC(2) | PDNPUD_NC(3) |
+               PDNPUD_NC(4) | PDNPUD_NC(5) | PDNPUD_NC(6) | PDNPUD_NC(7),
+       },
+};
+
+static struct gpio_external kessler_external_powerdown_modes[] = {
+       {       /* S5PC110(ARIES)_GPIO_H0_OFFSET */
+               CON_OUTPUT(0) | CON_INPUT(1) | CON_OUTPUT(2) | CON_OUTPUT(3) |
+               CON_OUTPUT(4) | CON_OUTPUT(5) | CON_INPUT(6) | CON_IRQ(7),
+               DAT_SET(0) | DAT_CLEAR(2) | DAT_CLEAR(3) |
+               DAT_CLEAR(4) | DAT_CLEAR(5),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4) | PULL_DIS(5),
+       }, {    /* S5PC110(ARIES)_GPIO_H1_OFFSET */
+               CON_OUTPUT(0) | CON_OUTPUT(1) | CON_OUTPUT(2) | CON_IRQ(3) |
+               CON_OUTPUT(4) | CON_OUTPUT(5) | CON_OUTPUT(6) | CON_INPUT(7),
+               DAT_CLEAR(0),
+               PULL_DIS(0) | PULL_DIS(1) | PULL_DIS(2) | PULL_DIS(3) |
+               PULL_DIS(4),
+       }, {    /* S5PC110(ARIES)_GPIO_H2_OFFSET */
+               CON_OUTPUT(0) | CON_OUTPUT(1) | CON_OUTPUT(2) | CON_OUTPUT(3) |
+               CON_IRQ(4) | CON_IRQ(5) | CON_IRQ(6) | CON_IRQ(7),
+               DAT_CLEAR(0) | DAT_CLEAR(1) | DAT_CLEAR(2) | DAT_CLEAR(3),
+               0,
+       }, {    /* S5PC110(ARIES)_GPIO_H3_OFFSET */
+               CON_IRQ(0) | CON_IRQ(1) | CON_IRQ(2) | CON_OUTPUT(3) |
+               CON_IRQ(4) | CON_OUTPUT(5) | CON_IRQ(6) | CON_OUTPUT(7),
+               DAT_CLEAR(3) | DAT_CLEAR(5) | DAT_CLEAR(7),
+               0,
+       },
+};
+
+#endif /* __GPIO_SETTING_H */
diff --git a/board/samsung/f1_c110/lowlevel_init.S b/board/samsung/f1_c110/lowlevel_init.S
new file mode 100644 (file)
index 0000000..9e4ef1f
--- /dev/null
@@ -0,0 +1,675 @@
+/*
+ * Memory Setup stuff - taken from blob memsetup.S
+ *
+ * Copyright (C) 2009 Samsung Electronics
+ * Kyungmin Park <kyungmin.park@samsung.com>
+ *
+ * See file CREDITS for list of people who contributed to this
+ * project.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ */
+
+#include <config.h>
+#include <version.h>
+#include <asm/arch/cpu.h>
+#include <asm/arch/clock.h>
+#include <asm/arch/power.h>
+
+#define DEBUG_PM_C110
+#undef DEBUG_PM_C110
+
+/*
+ * Register usages:
+ *
+ * r5 has zero always
+ * r7 has S5PC100 GPIO base, 0xE0300000
+ * r6 has real GPIO base, 0xE0300000, 0xE0200000 at S5PC100, S5PC110 repectively
+ * r9 has Mobile DDR size, 1 means 1GiB, 2 means 2GiB and so on
+ */
+
+       .globl lowlevel_init
+lowlevel_init:
+       mov     r11, lr
+
+       /* r5 has always zero */
+       mov     r5, #0
+
+       ldr     r7, =S5PC100_GPIO_BASE
+       ldr     r6, =S5PC100_GPIO_BASE
+       /* Read CPU ID */
+       ldr     r2, =S5PC100_PRO_ID
+       ldr     r0, [r2]
+       mov     r1, #0x00010000
+       and     r0, r0, r1
+       cmp     r0, r5
+       beq     100f
+       ldr     r6, =S5PC110_GPIO_BASE
+100:
+       /* Turn on KEY_LED_ON [GPJ4(1)] XMSMWEN */
+       cmp     r7, r6
+       beq     skip_check_didle                        @Support C110 only
+
+       ldr     r0, =S5PC110_RST_STAT
+       ldr     r1, [r0]
+       and     r1, r1, #S5PC110_RST_STAT_WAKEUP_MODE_MASK
+       cmp     r1, #S5PC110_DEEPIDLE_WAKEUP
+       beq     didle_wakeup
+       cmp     r7, r6
+skip_check_didle:
+
+#ifndef DEBUG_PM_C110
+       addeq   r0, r6, #0x280                          @S5PC100_GPIO_J4_OFFSET
+       addne   r0, r6, #0x2C0                          @S5PC110_GPIO_J4_OFFSET
+       ldr     r1, [r0, #0x0]                          @S5PC1XX_GPIO_CON_OFFSET
+       bic     r1, r1, #(0xf << 4)                     @ 1 * 4-bit
+       orr     r1, r1, #(0x1 << 4)
+       str     r1, [r0, #0x0]                          @S5PC1XX_GPIO_CON_OFFSET
+
+       ldr     r1, [r0, #0x4]                          @S5PC1XX_GPIO_DAT_OFFSET
+#ifdef CONFIG_PRELOADER
+       orr     r1, r1, #(1 << 1)                       @ 1 * 1-bit
+#else
+       bic     r1, r1, #(1 << 1)
+#endif
+       str     r1, [r0, #0x4]                          @S5PC1XX_GPIO_DAT_OFFSET
+#endif
+
+       /* Don't setup at s5pc100 */
+       beq     100f
+
+       /*
+        * Initialize Async Register Setting for EVT1
+        * Because we are setting EVT1 as the default value of EVT0,
+        * setting EVT0 as well does not make things worse.
+        * Thus, for the simplicity, we set for EVT0, too
+        *
+        * The "Async Registers" are:
+        *      0xE0F0_0000
+        *      0xE1F0_0000
+        *      0xF180_0000
+        *      0xF190_0000
+        *      0xF1A0_0000
+        *      0xF1B0_0000
+        *      0xF1C0_0000
+        *      0xF1D0_0000
+        *      0xF1E0_0000
+        *      0xF1F0_0000
+        *      0xFAF0_0000
+        */
+       ldr     r0, =0xe0f00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xe1f00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xf1800000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xf1900000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xf1a00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xf1b00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xf1c00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xf1d00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xf1e00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xf1f00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       ldr     r0, =0xfaf00000
+       ldr     r1, [r0]
+       bic     r1, r1, #0x1
+       str     r1, [r0]
+
+       /*
+        * Diable ABB block to reduce sleep current at low temperature
+        * Note that it's hidden register setup don't modify it
+        */
+       ldr     r0, =0xE010C300
+       ldr     r1, =0x00800000
+       str     r1, [r0]
+
+100:
+       /* IO retension release */
+       ldreq   r0, =S5PC100_OTHERS                     @0xE0108200
+       ldrne   r0, =S5PC110_OTHERS                     @0xE010E000
+       ldr     r1, [r0]
+       ldreq   r2, =(1 << 31)                          @IO_RET_REL
+       ldrne   r2, =((1 << 31) | (1 << 30) | (1 << 29) | (1 << 28))    @ GPIO, UART_IO
+       orr     r1, r1, r2
+       /* Do not release retention here for S5PC110 */
+       streq   r1, [r0]
+
+#ifndef CONFIG_PRELOADER
+       /* Disable Watchdog */
+       ldreq   r0, =S5PC100_WATCHDOG_BASE              @0xEA200000
+       ldrne   r0, =S5PC110_WATCHDOG_BASE              @0xE2700000
+       str     r5, [r0]
+
+       /* setting SRAM */
+       ldreq   r0, =S5PC100_SROMC_BASE
+       ldrne   r0, =S5PC110_SROMC_BASE
+       ldr     r1, =0x9
+       str     r1, [r0]
+#endif
+
+       /* S5PC100 has 3 groups of interrupt sources */
+       ldreq   r0, =S5PC100_VIC0_BASE                  @0xE4000000
+       ldrne   r0, =S5PC110_VIC0_BASE                  @0xF2000000
+       add     r1, r0, #0x00100000
+       add     r2, r0, #0x00200000
+
+       /* Disable all interrupts (VIC0, VIC1 and VIC2) */
+       mvn     r3, #0x0
+       str     r3, [r0, #0x14]                         @INTENCLEAR
+       str     r3, [r1, #0x14]                         @INTENCLEAR
+       str     r3, [r2, #0x14]                         @INTENCLEAR
+
+#ifndef CONFIG_PRELOADER
+       /* Set all interrupts as IRQ */
+       str     r5, [r0, #0xc]                          @INTSELECT
+       str     r5, [r1, #0xc]                          @INTSELECT
+       str     r5, [r2, #0xc]                          @INTSELECT
+
+       /* Pending Interrupt Clear */
+       str     r5, [r0, #0xf00]                        @INTADDRESS
+       str     r5, [r1, #0xf00]                        @INTADDRESS
+       str     r5, [r2, #0xf00]                        @INTADDRESS
+#endif
+
+#ifndef CONFIG_PRELOADER
+       /* for UART */
+       bl      uart_asm_init
+
+       bl      internal_ram_init
+#endif
+
+#ifdef CONFIG_PRELOADER
+       /* init system clock */
+       bl      system_clock_init
+
+       /* OneNAND Sync Read Support at S5PC110 only
+        * RM[15]       : Sync Read
+        * BRWL[14:12]  : 7 CLK
+        * BL[11:9]     : Continuous
+        * VHF[3]       : Very High Frequency Enable (Over 83MHz)
+        * HF[2]        : High Frequency Enable (Over 66MHz)
+        * WM[1]        : Sync Write
+        */
+       cmp     r7, r6
+       ldrne   r1, =0xE006
+       ldrne   r0, =0xB001E442
+       strneh  r1, [r0]
+
+       /*
+        * GCE[26]      : Gated Clock Enable
+        * RPE[17]      : Enables Read Prefetch
+        */
+       ldrne   r1, =((1 << 26) | (1 << 17) | 0xE006)
+       ldrne   r0, =0xB0600000
+       strne   r1, [r0, #0x100]                        @ ONENAND_IF_CTRL
+       ldrne   r1, =0x1212
+       strne   r1, [r0, #0x108]
+
+       /* Board detection to set proper memory configuration */
+       cmp     r7, r6
+       moveq   r9, #1          /* r9 has 1Gib default at s5pc100 */
+       movne   r9, #2          /* r9 has 2Gib default at s5pc110 */
+
+       /*
+        * Aquila Rev 0.3 : 4G2G1G x16 for Infineon ES3.0
+        * Aquila Rev 0.4 : 4G2G1G x16 for Infineon ES3.1 (same as Rev 0.7)
+        * Aquila Rev 0.5 : 4G3G1G x16 for Infineon ES3.1
+        * Aquila Rev 0.6 : 4G1G1G x32 for MSM6290
+        * Aquila Rev 0.7 : 4G2G1G x16 for Infineon ES3.1 (same as Rev 0.4)
+        * Aquila Rev 0.8 : 4G3G1G x16 for Infineon ES3.1
+        * Aquila Rev 0.9 : 4G3G1G x16 for Infineon ES3.1
+        */
+       ldr     r2, =0xE0200200
+       ldr     r4, [r2, #0x48]
+       /*
+        * HWREV0[2], HWREV1[3], HWREV2[4]
+        */
+       bic     r1, r4, #(0x3F << 4)    /* PULLUP_DISABLE: 3 * 2-bit */
+       /* HWREV3[1] */
+       bic     r1, r1, #(0x3 << 2)     /* PULLUP_DISABLE: 2 * 2-bit */
+       /* HWREV3[7] */
+       bic     r1, r1, #(0x3 << 14)    /* PULLUP_DISABLE: 2 * 2-bit */
+       str     r1, [r2, #0x48]
+       /* For write completion */
+       nop
+       nop
+
+       ldr     r3, [r2, #0x44]
+       and     r1, r3, #(0x7 << 2)     /* HWREV: 2 3 4 */
+       mov     r1, r1, lsr #2
+       cmp     r1, #0x5
+       moveq   r9, #3
+       cmp     r1, #0x6
+       moveq   r9, #1
+       cmp     r1, #0x7
+       moveq   r9, #2
+       and     r0, r3, #(0x1 << 1)     /* HWREV3[1] */
+       mov     r0, r0, lsr #1
+       orr     r1, r1, r0, lsl #3
+       cmp     r1, #0x8                /* Rev 0.8 */
+       moveq   r9, #3
+       and     r1, r3, #(0x7 << 2)     /* HWREV: 2 3 4 */
+       mov     r1, r1, lsr #2
+       and     r0, r3, #(0x1 << 7)     /* HWREV3[7] */
+       mov     r0, r0, lsr #7
+       orr     r1, r1, r0, lsl #3
+       cmp     r1, #0x9                /* Rev 0.9 */
+       moveq   r9, #3
+       str     r4, [r2, #0x48]         /* Restore PULLUP configuration */
+
+#ifdef KESSLER_S1
+       mov     r9, #2                  /* Rev 0.9 */
+#endif
+
+       bl      mem_ctrl_asm_init
+
+       /* Wakeup support. Don't know if it's going to be used, untested. */
+       ldreq   r0, =S5PC100_RST_STAT
+       ldrne   r0, =S5PC110_RST_STAT
+       ldr     r1, [r0]
+       biceq   r1, r1, #0xfffffff7
+       moveq   r2, #(1 << 3)
+       bicne   r1, r1, #0xfffeffff
+       movne   r2, #(1 << 16)
+       cmp     r1, r2
+       bne     1f
+wakeup:
+#ifdef DEBUG_PM_C110
+       mov     r0, r6
+       ldr     r1, =0x22222222
+       str     r1, [r0, #0x0]                  @S5PC100_GPIO_A0_OFFSET
+       ldr     r1, =0x00002222
+       str     r1, [r0, #0x20]                 @S5PC100_GPIO_A1_OFFSET
+
+       /* UART_SEL MP0_5[7] at S5PC110 */
+       add     r0, r6, #0x360                  @S5PC110_GPIO_MP0_5_OFFSET
+       ldr     r1, [r0, #0x0]                  @S5PC1XX_GPIO_CON_OFFSET
+       bic     r1, r1, #(0xf << 28)            @ 28 = 7 * 4-bit
+       orr     r1, r1, #(0x1 << 28)            @ Output
+       str     r1, [r0, #0x0]                  @S5PC1XX_GPIO_CON_OFFSET
+
+       ldr     r1, [r0, #0x8]                  @S5PC1XX_GPIO_PULL_OFFSET
+       bic     r1, r1, #(0x3 << 14)            @ 14 = 7 * 2-bit
+       orr     r1, r1, #(0x2 << 14)            @ Pull-up enabled
+       str     r1, [r0, #0x8]                  @S5PC1XX_GPIO_PULL_OFFSET
+
+       ldr     r1, [r0, #0x4]                  @S5PC1XX_GPIO_DAT_OFFSET
+       orr     r1, r1, #(1 << 7)               @ 7 = 7 * 1-bit
+       str     r1, [r0, #0x4]                  @S5PC1XX_GPIO_DAT_OFFSET
+
+       ldr     r0, =0xE2900000                 @ S5PC110_PA_UART
+       orr     r0, r0, #0x800
+       mov     r1, #0x3
+       str     r1, [r0, #0x000]                @ ULCON
+       ldr     r1, =0x245
+       str     r1, [r0, #0x004]                @ UCON
+       mov     r1, #0x23
+       str     r1, [r0, #0x028]                @ UBRDIV
+       mov     r1, #0x3
+       str     r1, [r0, #0x02C]                @ UDIVSLOT
+
+       mov     r2, #'W'
+       strb    r2, [r0, #0x020]                @ UTXH
+1001:
+       ldrb    r3, [r0, #0x010]                @ UTRSTAT
+       tst     r3, #(1 << 2)
+       beq     1001b
+#endif
+
+       /* turn off L2 cache */
+       bl      l2_cache_disable
+
+       cmp     r7, r6
+       ldreq   r0, =0xC100
+       ldrne   r0, =0xC110
+
+       /* invalidate L2 cache also */
+       bl      invalidate_dcache
+
+       /* turn on L2 cache */
+       bl      l2_cache_enable
+
+       cmp     r7, r6
+       /* Load return address and jump to kernel */
+       ldreq   r0, =S5PC100_INFORM0
+       ldrne   r0, =S5PC110_INFORM0
+
+       /* r1 = physical address of s5pc1xx_cpu_resume function */
+       ldr     r1, [r0]
+
+       /* Jump to kernel (sleep-s5pc1xx.S) */
+       mov     pc, r1
+       nop
+       nop
+#else
+       cmp     r7, r6
+       /* Clear wakeup status register */
+       ldreq   r0, =S5PC100_WAKEUP_STAT
+       ldrne   r0, =S5PC110_WAKEUP_STAT
+       ldr     r1, [r0]
+       str     r1, [r0]
+
+       /* IO retension release */
+       ldreq   r0, =S5PC100_OTHERS                     @0xE0108200
+       ldrne   r0, =S5PC110_OTHERS                     @0xE010E000
+       ldr     r1, [r0]
+       ldreq   r2, =(1 << 31)                          @IO_RET_REL
+       ldrne   r2, =((1 << 31) | (1 << 30) | (1 << 29) | (1 << 28))
+       orr     r1, r1, r2
+       str     r1, [r0]
+
+#endif
+       b       1f
+
+didle_wakeup:
+       /* Wait when APLL is locked */
+       ldr     r0, =S5PC110_APLL_CON
+lockloop:
+       ldr     r1, [r0]
+       and     r1, r1, #S5PC110_APLL_CON_LOCKED
+       cmp     r1, #S5PC110_APLL_CON_LOCKED
+       bne     lockloop
+
+       ldr     r0, =S5PC110_INFORM0
+       ldr     r1, [r0]
+       mov     pc, r1
+       nop
+       nop
+       nop
+       nop
+       nop
+
+
+1:
+       mov     lr, r11
+       mov     pc, lr
+
+/*
+ * system_clock_init: Initialize core clock and bus clock.
+ * void system_clock_init(void)
+ */
+system_clock_init:
+       ldr     r0, =S5PC100_CLOCK_BASE         @ 0xE0100000
+
+       /* Check S5PC100 */
+       cmp     r7, r6
+       bne     110f
+100:
+#ifndef DEBUG_PM_C110
+       /* Set Lock Time */
+       ldr     r1, =0xe10                      @ Locktime : 0xe10 = 3600
+       str     r1, [r0, #0x000]                @ S5PC100_APLL_LOCK
+       str     r1, [r0, #0x004]                @ S5PC100_MPLL_LOCK
+       str     r1, [r0, #0x008]                @ S5PC100_EPLL_LOCK
+       str     r1, [r0, #0x00C]                @ S5PC100_HPLL_LOCK
+
+       /* S5P_APLL_CON */
+#ifdef CONFIG_CLK_667_166_83
+       ldr     r1, =0x81bc0400         @ SDIV 0, PDIV 4, MDIV 444 (1333MHz)
+#elif defined(CONFIG_CLK_666_166_66)
+       ldr     r1, =0x814d0301         @ SDIV 1, PDIV 3, MDIV 333 (1333MHz)
+#elif defined(CONFIG_CLK_600_150_75)
+       ldr     r1, =0x812C0300         @ SDIV 0, PDIV 3, MDIV 300 (1200MHz)
+#elif defined(CONFIG_CLK_533_133_66)
+       ldr     r1, =0x810b0300         @ SDIV 0, PDIV 3, MDIV 267 (1066MHz)
+#elif defined(CONFIG_CLK_500_166_66)
+       ldr     r1, =0x81f40301         @ SDIV 1, PDIV 3, MDIV 500 (1000MHz)
+#elif defined(CONFIG_CLK_467_117_59)
+       ldr     r1, =0x826E0401         @ SDIV 1, PDIV 4, MDIV 622 (933MHz)
+#elif defined(CONFIG_CLK_400_100_50)
+       ldr     r1, =0x81900301         @ SDIV 1, PDIV 3, MDIV 400 (800MHz)
+#else
+#error you should set the correct clock configuration
+#endif
+       str     r1, [r0, #0x100]
+       /* S5P_MPLL_CON */
+       ldr     r1, =0x80590201         @ SDIV 1, PDIV 2, MDIV 89 (267MHz)
+       str     r1, [r0, #0x104]
+       /* S5P_EPLL_CON */
+       ldr     r1, =0x80870303         @ SDIV 3, PDIV 3, MDIV 135 (67.5MHz)
+       str     r1, [r0, #0x108]
+       /* S5P_HPLL_CON */
+       ldr     r1, =0x80600603         @ SDIV 3, PDIV 6, MDIV 96
+       str     r1, [r0, #0x10C]
+
+       ldr     r1, [r0, #0x300]
+       ldr     r2, =0x00003fff
+       bic     r1, r1, r2
+#ifdef CONFIG_CLK_800_166_66
+       ldr     r2, =0x00011401
+#elif defined(CONFIG_CLK_500_166_66)
+       ldr     r2, =0x00011201
+#elif defined(CONFIG_CLK_666_166_66)
+       ldr     r2, =0x00011300
+#else
+       ldr     r2, =0x00011301
+#endif
+       orr     r1, r1, r2
+       str     r1, [r0, #0x300]
+       ldr     r1, [r0, #0x304]
+       ldr     r2, =0x00011110
+       orr     r1, r1, r2
+       str     r1, [r0, #0x304]
+       ldr     r1, =0x00000001
+       str     r1, [r0, #0x308]
+
+       /* Set Source Clock */
+       ldr     r1, =0x00001111                 @ A, M, E, HPLL Muxing
+       str     r1, [r0, #0x200]                @ S5PC1XX_CLK_SRC0
+#endif
+       b       200f
+110:
+       ldr     r0, =S5PC110_PWR_CFG            @ 0xE010C000
+
+       /* Set OSC_FREQ value */
+       ldr     r1, =0xf
+       str     r1, [r0, #0x100]                @ S5PC110_OSC_FREQ
+
+       /* Set MTC_STABLE value */
+       ldr     r1, =0xffffffff
+       str     r1, [r0, #0x110]                @ S5PC110_MTC_STABLE
+
+       /* Set CLAMP_STABLE value */
+       ldr     r1, =0x3ff03ff
+       str     r1, [r0, #0x114]                @ S5PC110_CLAMP_STABLE
+
+       ldr     r0, =S5PC100_CLOCK_BASE         @ 0xE0100000
+
+       /* Set Clock divider */
+       ldr     r1, =0x14131330                 @ 1:1:4:4, 1:4:5
+       str     r1, [r0, #0x300]
+       ldr     r1, =0x11110111                 @ UART[3210]: MMC[3210]
+       str     r1, [r0, #0x310]
+
+       /* Set Lock Time */
+       ldr     r1, =0x2cf                      @ Locktime : 30us
+       str     r1, [r0, #0x000]                @ S5PC110_APLL_LOCK
+       ldr     r1, =0xe10                      @ Locktime : 0xe10 = 3600
+       str     r1, [r0, #0x008]                @ S5PC110_MPLL_LOCK
+       str     r1, [r0, #0x010]                @ S5PC110_EPLL_LOCK
+       str     r1, [r0, #0x020]                @ S5PC110_VPLL_LOCK
+
+       /* S5PC110_APLL_CON */
+       ldr     r1, =0x80C80601                 @ 800MHz
+       str     r1, [r0, #0x100]
+       /* S5PC110_MPLL_CON */
+       ldr     r1, =0x829B0C01                 @ 667MHz
+       str     r1, [r0, #0x108]
+       /* S5PC110_EPLL_CON */
+       ldr     r1, =0x80600602                 @  96MHz VSEL 0 P 6 M 96 S 2
+       str     r1, [r0, #0x110]
+       /* S5PC110_VPLL_CON */
+       ldr     r1, =0x806C0603                 @  54MHz
+       str     r1, [r0, #0x120]
+
+       /* Set Source Clock */
+       ldr     r1, =0x10001111                 @ A, M, E, VPLL Muxing
+       str     r1, [r0, #0x200]                @ S5PC1XX_CLK_SRC0
+
+       ldr     r1, =0x66667777                 @ S5PC110_CLK_SRC4 (UART/MMC)
+       str     r1, [r0, #0x210]
+
+       /* OneDRAM(DMC0) clock setting */
+       ldr     r1, =0x01000000                 @ ONEDRAM_SEL[25:24] 1 SCLKMPLL
+       str     r1, [r0, #0x218]                @ S5PC110_CLK_SRC6
+       ldr     r1, =0x30000000                 @ ONEDRAM_RATIO[31:28] 3 + 1
+       str     r1, [r0, #0x318]                @ S5PC110_CLK_DIV6
+
+       /* XCLKOUT = XUSBXTI 24MHz */
+       add     r2, r0, #0xE000                 @ S5PC110_OTHERS
+       ldr     r1, [r2]
+       orr     r1, r1, #(0x3 << 8)             @ CLKOUT[9:8] 3 XUSBXTI
+       str     r1, [r2]
+
+       /* CLK_IP0 */
+       ldr     r1, =0x8fefeeb                  @ DMC[1:0] PDMA0[3] IMEM[5]
+       str     r1, [r0, #0x460]                @ S5PC110_CLK_IP0
+
+       /* CLK_IP1 */
+       ldr     r1, =0xe9fdf0fd                 @ FIMD[0] DSIM[2] USBOTG[16]
+                                               @ NANDXL[24]
+       str     r1, [r0, #0x464]                @ S5PC110_CLK_IP1
+
+       /* CLK_IP2 */
+       ldr     r1, =0xf75f7fc                  @ CORESIGHT[8] MODEM[9]
+                                               @ HOSTIF[10] HSMMC0[16]
+                                               @ HSMMC2[18] VIC[27:24]
+       str     r1, [r0, #0x468]                @ S5PC110_CLK_IP2
+
+       /* CLK_IP3 */
+       ldr     r1, =0x8eff038c                 @ I2C[8:6]
+                                               @ SYSTIMER[16] UART0[17]
+                                               @ UART1[18] UART2[19]
+                                               @ UART3[20] WDT[22]
+                                               @ PWM[23] GPIO[26] SYSCON[27]
+       str     r1, [r0, #0x46c]                @ S5PC110_CLK_IP3
+
+       /* CLK_IP4 */
+       ldr     r1, =0xfffffff1                 @ CHIP_ID[0] TZPC[8:5]
+       str     r1, [r0, #0x470]                @ S5PC110_CLK_IP3
+
+200:
+       /* wait at least 200us to stablize all clock */
+       mov     r2, #0x10000
+1:     subs    r2, r2, #1
+       bne     1b
+
+       mov     pc, lr
+
+#ifndef CONFIG_PRELOADER
+internal_ram_init:
+       ldreq   r0, =0xE3800000
+       ldrne   r0, =0xF1500000
+       ldr     r1, =0x0
+       str     r1, [r0]
+
+       mov     pc, lr
+#endif
+
+#ifndef CONFIG_PRELOADER
+/*
+ * uart_asm_init: Initialize UART's pins
+ */
+uart_asm_init:
+       /* set GPIO to enable UART0-UART4 */
+       mov     r0, r6
+       ldr     r1, =0x22222222
+       str     r1, [r0, #0x0]                  @S5PC100_GPIO_A0_OFFSET
+       ldr     r1, =0x00002222
+       str     r1, [r0, #0x20]                 @S5PC100_GPIO_A1_OFFSET
+
+       /* Check S5PC100 */
+       cmp     r7, r6
+       bne     110f
+
+#ifndef DEBUG_PM_C110
+       /* UART_SEL GPK0[5] at S5PC100 */
+       add     r0, r6, #0x2A0                  @S5PC100_GPIO_K0_OFFSET
+       ldr     r1, [r0, #0x0]                  @S5PC1XX_GPIO_CON_OFFSET
+       bic     r1, r1, #(0xf << 20)            @ 20 = 5 * 4-bit
+       orr     r1, r1, #(0x1 << 20)            @ Output
+       str     r1, [r0, #0x0]                  @S5PC1XX_GPIO_CON_OFFSET
+
+       ldr     r1, [r0, #0x8]                  @S5PC1XX_GPIO_PULL_OFFSET
+       bic     r1, r1, #(0x3 << 10)            @ 10 = 5 * 2-bit
+       orr     r1, r1, #(0x2 << 10)            @ Pull-up enabled
+       str     r1, [r0, #0x8]                  @S5PC1XX_GPIO_PULL_OFFSET
+
+       ldr     r1, [r0, #0x4]                  @S5PC1XX_GPIO_DAT_OFFSET
+       orr     r1, r1, #(1 << 5)               @ 5 = 5 * 1-bit
+       str     r1, [r0, #0x4]                  @S5PC1XX_GPIO_DAT_OFFSET
+#endif
+       b       200f
+110:
+       /*
+        * Note that the following address
+        * 0xE020'0360 is reserved address at S5PC100
+        */
+       /* UART_SEL MP0_5[7] at S5PC110 */
+       add     r0, r6, #0x360                  @S5PC110_GPIO_MP0_5_OFFSET
+       ldr     r1, [r0, #0x0]                  @S5PC1XX_GPIO_CON_OFFSET
+       bic     r1, r1, #(0xf << 28)            @ 28 = 7 * 4-bit
+       orr     r1, r1, #(0x1 << 28)            @ Output
+       str     r1, [r0, #0x0]                  @S5PC1XX_GPIO_CON_OFFSET
+
+       ldr     r1, [r0, #0x8]                  @S5PC1XX_GPIO_PULL_OFFSET
+       bic     r1, r1, #(0x3 << 14)            @ 14 = 7 * 2-bit
+       orr     r1, r1, #(0x2 << 14)            @ Pull-up enabled
+       str     r1, [r0, #0x8]                  @S5PC1XX_GPIO_PULL_OFFSET
+
+       ldr     r1, [r0, #0x4]                  @S5PC1XX_GPIO_DAT_OFFSET
+       orr     r1, r1, #(1 << 7)               @ 7 = 7 * 1-bit
+       str     r1, [r0, #0x4]                  @S5PC1XX_GPIO_DAT_OFFSET
+200:
+       mov     pc, lr
+#endif
diff --git a/board/samsung/f1_c110/onenand.c b/board/samsung/f1_c110/onenand.c
new file mode 100644 (file)
index 0000000..f43324b
--- /dev/null
@@ -0,0 +1,39 @@
+/*
+ * Copyright (C) 2008-2009 Samsung Electronics
+ * Kyungmin Park <kyungmin.park@samsung.com>
+ *
+ * See file CREDITS for list of people who contributed to this
+ * project.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ */
+
+#include <common.h>
+#include <linux/mtd/compat.h>
+#include <linux/mtd/mtd.h>
+#include <linux/mtd/onenand.h>
+#include <linux/mtd/samsung_onenand.h>
+
+#include <onenand_uboot.h>
+
+void onenand_board_init(struct mtd_info *mtd)
+{
+       struct onenand_chip *this = mtd->priv;
+
+       this->base = (void *) 0xB0000000;
+       this->options |= ONENAND_RUNTIME_BADBLOCK_CHECK;
+       this->chip_probe = s5pc110_chip_probe;
+}
diff --git a/board/samsung/f1_c110/psi_ram.h b/board/samsung/f1_c110/psi_ram.h
new file mode 100644 (file)
index 0000000..a9da4a0
--- /dev/null
@@ -0,0 +1,941 @@
+unsigned char g_tblBin[] =
+{
+       0x87, 0x0d, 0x00, 0xea, 0x6c, 0x69, 0x48, 0x55, 0x01, 0xa0, 0xfe, 0xfd, 0x07, 0x00, 0x01, 0x00,
+       0x00, 0xff, 0x00, 0x00, 0x10, 0x02, 0x00, 0x00, 0x00, 0x02, 0x00, 0x00, 0x04, 0x02, 0x00, 0x00,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0x00, 0xfe, 0x00, 0x00, 0xff, 0xff, 0xff, 0xff,
+       0x00, 0x00, 0x08, 0x00, 0xff, 0xff, 0xf7, 0xff, 0x00, 0x02, 0x08, 0x00, 0x43, 0x4a, 0x4b, 0x54,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xbe, 0xda, 0xfe, 0x02,
+       0x0b, 0x04, 0x00, 0xea, 0x06, 0x0d, 0x00, 0xea, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0xea, 0x65, 0x06, 0x00, 0xea, 0x28, 0x00, 0x8f, 0xe2, 0x00, 0x0c, 0x90, 0xe8,
+       0x00, 0xa0, 0x8a, 0xe0, 0x01, 0x70, 0x4a, 0xe2, 0x00, 0xb0, 0x8b, 0xe0, 0x0b, 0x00, 0x5a, 0xe1,
+       0x5e, 0x06, 0x00, 0x0a, 0x0f, 0x00, 0xba, 0xe8, 0x14, 0xe0, 0x4f, 0xe2, 0x01, 0x00, 0x13, 0xe3,
+       0x03, 0xf0, 0x47, 0x10, 0x03, 0xf0, 0xa0, 0xe1, 0xac, 0x00, 0x00, 0x00, 0xfc, 0x00, 0x00, 0x00,
+       0x01, 0x20, 0x82, 0xe0, 0x01, 0x40, 0xd0, 0xe4, 0x0f, 0x50, 0x14, 0xe2, 0x01, 0x50, 0xd0, 0x04,
+       0x24, 0x42, 0xb0, 0xe1, 0x01, 0x40, 0xd0, 0x04, 0x01, 0x50, 0x55, 0xe2, 0x03, 0x00, 0x00, 0x0a,
+       0x01, 0x30, 0xd0, 0xe4, 0x01, 0x50, 0x55, 0xe2, 0x01, 0x30, 0xc1, 0xe4, 0xfb, 0xff, 0xff, 0x1a,
+       0x01, 0x40, 0x54, 0xe2, 0x02, 0x00, 0x00, 0x0a, 0x01, 0x40, 0x54, 0xe2, 0x01, 0x50, 0xc1, 0xe4,
+       0xfc, 0xff, 0xff, 0x1a, 0x02, 0x00, 0x51, 0xe1, 0xed, 0xff, 0xff, 0x3a, 0x0e, 0xf0, 0xa0, 0xe1,
+       0x10, 0x20, 0x52, 0xe2, 0x78, 0x00, 0xb0, 0x28, 0x78, 0x00, 0xa1, 0x28, 0xfb, 0xff, 0xff, 0x8a,
+       0x82, 0x2e, 0xb0, 0xe1, 0x30, 0x00, 0xb0, 0x28, 0x30, 0x00, 0xa1, 0x28, 0x00, 0x40, 0x90, 0x45,
+       0x00, 0x40, 0x81, 0x45, 0x0e, 0xf0, 0xa0, 0xe1, 0x00, 0x30, 0xa0, 0xe3, 0x00, 0x40, 0xa0, 0xe3,
+       0x00, 0x50, 0xa0, 0xe3, 0x00, 0x60, 0xa0, 0xe3, 0x10, 0x20, 0x52, 0xe2, 0x78, 0x00, 0xa1, 0x28,
+       0xfc, 0xff, 0xff, 0x8a, 0x82, 0x2e, 0xb0, 0xe1, 0x30, 0x00, 0xa1, 0x28, 0x00, 0x30, 0x81, 0x45,
+       0x0e, 0xf0, 0xa0, 0xe1, 0x3c, 0x39, 0x08, 0x00, 0x00, 0x00, 0x00, 0x00, 0x74, 0x00, 0x00, 0x00,
+       0x90, 0x11, 0x08, 0x00, 0xb0, 0x39, 0x08, 0x00, 0x00, 0x00, 0xff, 0xff, 0xd0, 0x00, 0x00, 0x00,
+       0x90, 0x11, 0x08, 0x00, 0x80, 0x3a, 0x08, 0x00, 0x00, 0x30, 0xff, 0xff, 0x38, 0x06, 0x00, 0x00,
+       0x40, 0x11, 0x08, 0x00, 0x3c, 0x39, 0x08, 0x00, 0x3c, 0x39, 0x08, 0x00, 0x40, 0x01, 0x00, 0x00,
+       0xb8, 0x11, 0x08, 0x00, 0x9c, 0x3a, 0x08, 0x00, 0x38, 0x36, 0xff, 0xff, 0x04, 0x00, 0x00, 0x00,
+       0xb8, 0x11, 0x08, 0x00, 0x10, 0x40, 0x2d, 0xe9, 0x00, 0xf0, 0x20, 0xe3, 0x1c, 0x10, 0x9f, 0xe5,
+       0x78, 0x10, 0x91, 0xe5, 0x02, 0x11, 0x01, 0xe2, 0x02, 0x21, 0xa0, 0xe3, 0xa1, 0x0f, 0x82, 0xe1,
+       0x08, 0x10, 0x9f, 0xe5, 0x78, 0x00, 0x81, 0xe5, 0x1d, 0x00, 0x00, 0xeb, 0x10, 0x80, 0xbd, 0xe8,
+       0x00, 0x00, 0x90, 0xe4, 0x00, 0x00, 0x00, 0x00, 0x2c, 0x00, 0x9f, 0xe5, 0x00, 0x10, 0xa0, 0xe3,
+       0x00, 0x10, 0x80, 0xe5, 0x1a, 0x00, 0x00, 0xeb, 0x08, 0x00, 0x00, 0xeb, 0x10, 0x0f, 0x11, 0xee,
+       0x01, 0x0a, 0xc0, 0xe3, 0x10, 0x0f, 0x01, 0xee, 0x00, 0x00, 0x0f, 0xe1, 0x80, 0x00, 0xc0, 0xe3,
+       0x00, 0xf0, 0x21, 0xe1, 0x99, 0xff, 0xff, 0xea, 0xfe, 0xff, 0xff, 0xea, 0x7c, 0x00, 0x90, 0xe4,
+       0x11, 0x0f, 0x19, 0xee, 0x20, 0x10, 0x9f, 0xe5, 0x01, 0x00, 0x80, 0xe1, 0x01, 0x00, 0x80, 0xe3,
+       0x11, 0x0f, 0x09, 0xee, 0x31, 0x0f, 0x19, 0xee, 0x10, 0x10, 0x9f, 0xe5, 0x01, 0x00, 0x80, 0xe1,
+       0x01, 0x00, 0x80, 0xe3, 0x31, 0x0f, 0x09, 0xee, 0x1e, 0xff, 0x2f, 0xe1, 0x00, 0x30, 0xff, 0xff,
+       0x00, 0x00, 0xff, 0xff, 0x10, 0x40, 0x2d, 0xe9, 0xb7, 0x01, 0x00, 0xeb, 0xe1, 0xff, 0xff, 0xeb,
+       0x10, 0x80, 0xbd, 0xe8, 0x00, 0x10, 0x0f, 0xe1, 0xa4, 0x00, 0x9f, 0xe5, 0x07, 0x00, 0xc0, 0xe3,
+       0x00, 0x20, 0x0f, 0xe1, 0x1f, 0x20, 0xc2, 0xe3, 0x12, 0x20, 0x82, 0xe3, 0x02, 0xf0, 0x2f, 0xe1,
+       0x00, 0xd0, 0xa0, 0xe1, 0x88, 0x00, 0x9f, 0xe5, 0x07, 0x00, 0xc0, 0xe3, 0x00, 0x20, 0x0f, 0xe1,
+       0x1f, 0x20, 0xc2, 0xe3, 0x11, 0x20, 0x82, 0xe3, 0x02, 0xf0, 0x2f, 0xe1, 0x00, 0xd0, 0xa0, 0xe1,
+       0x70, 0x00, 0x9f, 0xe5, 0x07, 0x00, 0xc0, 0xe3, 0x00, 0x20, 0x0f, 0xe1, 0x1f, 0x20, 0xc2, 0xe3,
+       0x1b, 0x20, 0x82, 0xe3, 0x02, 0xf0, 0x2f, 0xe1, 0x00, 0xd0, 0xa0, 0xe1, 0x58, 0x00, 0x9f, 0xe5,
+       0x00, 0x20, 0x0f, 0xe1, 0x1f, 0x20, 0xc2, 0xe3, 0x17, 0x20, 0x82, 0xe3, 0x02, 0xf0, 0x2f, 0xe1,
+       0x00, 0xd0, 0xa0, 0xe1, 0x44, 0x00, 0x9f, 0xe5, 0x07, 0x00, 0xc0, 0xe3, 0x00, 0x20, 0x0f, 0xe1,
+       0x1f, 0x20, 0xc2, 0xe3, 0x13, 0x20, 0x82, 0xe3, 0x02, 0xf0, 0x2f, 0xe1, 0x00, 0xd0, 0xa0, 0xe1,
+       0x2c, 0x00, 0x9f, 0xe5, 0x07, 0x00, 0xc0, 0xe3, 0x00, 0x20, 0x0f, 0xe1, 0x1f, 0x20, 0xc2, 0xe3,
+       0x1f, 0x20, 0x82, 0xe3, 0x02, 0xf0, 0x2f, 0xe1, 0x00, 0xd0, 0xa0, 0xe1, 0x01, 0xf0, 0x2f, 0xe1,
+       0x1e, 0xff, 0x2f, 0xe1, 0x80, 0xd2, 0x09, 0x00, 0x00, 0xe4, 0x09, 0x00, 0x00, 0xd1, 0x09, 0x00,
+       0x80, 0xe2, 0x09, 0x00, 0x00, 0xe3, 0x09, 0x00, 0x04, 0x30, 0x9f, 0xe5, 0x03, 0x30, 0x8f, 0xe0,
+       0x03, 0xf0, 0xa0, 0xe1, 0x7c, 0x22, 0x00, 0x00, 0x10, 0x40, 0x2d, 0xe9, 0x77, 0x02, 0x00, 0xeb,
+       0xb0, 0x04, 0x00, 0xeb, 0x10, 0x80, 0xbd, 0xe8, 0x77, 0x40, 0x2d, 0xe9, 0x04, 0xd0, 0x4d, 0xe2,
+       0x01, 0x50, 0xa0, 0xe1, 0x02, 0x40, 0xa0, 0xe1, 0x04, 0x00, 0xdd, 0xe5, 0x04, 0x00, 0x50, 0xe3,
+       0x04, 0x00, 0x00, 0xba, 0x04, 0x00, 0xdd, 0xe5, 0xc6, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x00, 0xca,
+       0x01, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x00, 0xea, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x50, 0xe3,
+       0x09, 0x00, 0x00, 0x1a, 0x97, 0x3f, 0x8f, 0xe2, 0x00, 0x30, 0x8d, 0xe5, 0x81, 0x30, 0xa0, 0xe3,
+       0x04, 0x20, 0x8d, 0xe2, 0x01, 0x10, 0xa0, 0xe3, 0x74, 0x02, 0x9f, 0xe5, 0xad, 0x03, 0x00, 0xeb,
+       0x01, 0x00, 0xe0, 0xe3, 0x10, 0xd0, 0x8d, 0xe2, 0x70, 0x80, 0xbd, 0xe8, 0x00, 0x00, 0x54, 0xe3,
+       0x03, 0x00, 0x00, 0x0a, 0x5c, 0x02, 0x9f, 0xe5, 0x04, 0x10, 0xdd, 0xe5, 0x81, 0x01, 0x90, 0xe7,
+       0x00, 0x00, 0x84, 0xe5, 0x4c, 0x02, 0x9f, 0xe5, 0x04, 0x10, 0xdd, 0xe5, 0x81, 0x51, 0x80, 0xe7,
+       0x00, 0x00, 0xa0, 0xe3, 0xf2, 0xff, 0xff, 0xea, 0x11, 0x40, 0x2d, 0xe9, 0x04, 0xd0, 0x4d, 0xe2,
+       0x04, 0x00, 0xdd, 0xe5, 0x04, 0x00, 0x50, 0xe3, 0x04, 0x00, 0x00, 0xba, 0x04, 0x00, 0xdd, 0xe5,
+       0xc6, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x00, 0xca, 0x01, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x00, 0xea,
+       0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x50, 0xe3, 0x08, 0x00, 0x00, 0x1a, 0x75, 0x3f, 0x8f, 0xe2,
+       0x00, 0x30, 0x8d, 0xe5, 0xc4, 0x30, 0xa0, 0xe3, 0x04, 0x20, 0x8d, 0xe2, 0x01, 0x10, 0xa0, 0xe3,
+       0xec, 0x01, 0x9f, 0xe5, 0x8b, 0x03, 0x00, 0xeb, 0x01, 0x00, 0xe0, 0xe3, 0x1c, 0x80, 0xbd, 0xe8,
+       0x01, 0x00, 0xa0, 0xe3, 0xdc, 0x11, 0x9f, 0xe5, 0x04, 0x20, 0xdd, 0xe5, 0x82, 0x11, 0x81, 0xe0,
+       0x04, 0x00, 0xc1, 0xe5, 0x04, 0x00, 0xdd, 0xe5, 0xc8, 0x21, 0x9f, 0xe5, 0x80, 0x21, 0x82, 0xe0,
+       0x05, 0x10, 0xd2, 0xe5, 0xc0, 0x21, 0x9f, 0xe5, 0x00, 0x11, 0x82, 0xe7, 0x00, 0xf0, 0x20, 0xe3,
+       0xb8, 0x01, 0x9f, 0xe5, 0x00, 0x00, 0x90, 0xe5, 0x00, 0x00, 0x50, 0xe3, 0x08, 0x00, 0x00, 0x1a,
+       0x00, 0xf0, 0x20, 0xe3, 0x50, 0x00, 0x42, 0xe2, 0x80, 0x04, 0x90, 0xe5, 0x01, 0x00, 0x80, 0xe3,
+       0x50, 0x10, 0x42, 0xe2, 0x80, 0x04, 0x81, 0xe5, 0x01, 0x00, 0xa0, 0xe3, 0x8c, 0x11, 0x9f, 0xe5,
+       0x00, 0x00, 0x81, 0xe5, 0x00, 0x00, 0xa0, 0xe3, 0xe3, 0xff, 0xff, 0xea, 0x11, 0x40, 0x2d, 0xe9,
+       0x04, 0xd0, 0x4d, 0xe2, 0x04, 0x00, 0xdd, 0xe5, 0x04, 0x00, 0x50, 0xe3, 0x04, 0x00, 0x00, 0xba,
+       0x04, 0x00, 0xdd, 0xe5, 0xc6, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x00, 0xca, 0x01, 0x00, 0xa0, 0xe3,
+       0x00, 0x00, 0x00, 0xea, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x50, 0xe3, 0x08, 0x00, 0x00, 0x1a,
+       0x11, 0x3e, 0x8f, 0xe2, 0x00, 0x30, 0x8d, 0xe5, 0xde, 0x30, 0xa0, 0xe3, 0x04, 0x20, 0x8d, 0xe2,
+       0x01, 0x10, 0xa0, 0xe3, 0x28, 0x01, 0x9f, 0xe5, 0x5a, 0x03, 0x00, 0xeb, 0x01, 0x00, 0xe0, 0xe3,
+       0x1c, 0x80, 0xbd, 0xe8, 0x00, 0x00, 0xa0, 0xe3, 0x18, 0x11, 0x9f, 0xe5, 0x04, 0x20, 0xdd, 0xe5,
+       0x82, 0x11, 0x81, 0xe0, 0x04, 0x00, 0xc1, 0xe5, 0x04, 0x00, 0xdd, 0xe5, 0x08, 0x11, 0x9f, 0xe5,
+       0x00, 0x20, 0xa0, 0xe3, 0x00, 0x21, 0x81, 0xe7, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0xa0, 0xe3,
+       0xf2, 0xff, 0xff, 0xea, 0x77, 0x40, 0x2d, 0xe9, 0x04, 0xd0, 0x4d, 0xe2, 0x01, 0x40, 0xa0, 0xe1,
+       0x02, 0x50, 0xa0, 0xe1, 0x04, 0x00, 0xdd, 0xe5, 0x04, 0x00, 0x50, 0xe3, 0x04, 0x00, 0x00, 0xba,
+       0x04, 0x00, 0xdd, 0xe5, 0xc6, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x00, 0xca, 0x01, 0x00, 0xa0, 0xe3,
+       0x00, 0x00, 0x00, 0xea, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x50, 0xe3, 0x08, 0x00, 0x00, 0x0a,
+       0x00, 0x00, 0x54, 0xe3, 0x03, 0x00, 0x00, 0xba, 0x0f, 0x00, 0x54, 0xe3, 0x01, 0x00, 0x00, 0xca,
+       0x01, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x00, 0xea, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x50, 0xe3,
+       0x09, 0x00, 0x00, 0x1a, 0x5c, 0x30, 0x8f, 0xe2, 0x00, 0x30, 0x8d, 0xe5, 0xa7, 0x30, 0xa0, 0xe3,
+       0x04, 0x20, 0x8d, 0xe2, 0x01, 0x10, 0xa0, 0xe3, 0x74, 0x00, 0x9f, 0xe5, 0x2d, 0x03, 0x00, 0xeb,
+       0x01, 0x00, 0xe0, 0xe3, 0x10, 0xd0, 0x8d, 0xe2, 0x70, 0x80, 0xbd, 0xe8, 0x00, 0x00, 0x55, 0xe3,
+       0x04, 0x00, 0x00, 0x0a, 0x5c, 0x00, 0x9f, 0xe5, 0x04, 0x10, 0xdd, 0xe5, 0x81, 0x01, 0x80, 0xe0,
+       0x05, 0x00, 0xd0, 0xe5, 0x00, 0x00, 0x85, 0xe5, 0x00, 0x00, 0x54, 0xe3, 0x02, 0x00, 0x00, 0x1a,
+       0x04, 0x00, 0xdd, 0xe5, 0xb0, 0xff, 0xff, 0xeb, 0xf1, 0xff, 0xff, 0xea, 0x04, 0x00, 0xdd, 0xe5,
+       0x7c, 0xff, 0xff, 0xeb, 0xee, 0xff, 0xff, 0xea, 0x64, 0x77, 0x64, 0x74, 0x6f, 0x6f, 0x6c, 0x73,
+       0x72, 0x63, 0x5c, 0x62, 0x6f, 0x6f, 0x74, 0x63, 0x6f, 0x72, 0x65, 0x5c, 0x64, 0x72, 0x69, 0x76,
+       0x65, 0x72, 0x73, 0x5c, 0x49, 0x63, 0x75, 0x5c, 0x73, 0x72, 0x63, 0x5c, 0x69, 0x63, 0x75, 0x2e,
+       0x63, 0x00, 0x00, 0x00, 0xfc, 0x08, 0x00, 0x00, 0x00, 0x30, 0xff, 0xff, 0x50, 0x00, 0x00, 0xe0,
+       0x38, 0x36, 0xff, 0xff, 0x00, 0xf0, 0x20, 0xe3, 0x28, 0x02, 0x9f, 0xe5, 0x88, 0x04, 0x90, 0xe5,
+       0x0f, 0x00, 0x00, 0xe2, 0x00, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x00, 0x0a, 0x01, 0x00, 0xa0, 0xe3,
+       0x00, 0x00, 0x00, 0xea, 0x00, 0x00, 0xa0, 0xe3, 0x1e, 0xff, 0x2f, 0xe1, 0x00, 0x00, 0xa0, 0xe3,
+       0x1e, 0xff, 0x2f, 0xe1, 0xf3, 0x40, 0x2d, 0xe9, 0x04, 0xd0, 0x4d, 0xe2, 0x01, 0x40, 0xa0, 0xe1,
+       0x04, 0x00, 0xdd, 0xe5, 0x04, 0x00, 0x50, 0xe3, 0x04, 0x00, 0x00, 0xba, 0x04, 0x00, 0xdd, 0xe5,
+       0xc6, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x00, 0xca, 0x01, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x00, 0xea,
+       0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x50, 0xe3, 0x08, 0x00, 0x00, 0x1a, 0xac, 0x30, 0x4f, 0xe2,
+       0x00, 0x30, 0x8d, 0xe5, 0x45, 0x3f, 0xa0, 0xe3, 0x04, 0x20, 0x8d, 0xe2, 0x01, 0x10, 0xa0, 0xe3,
+       0x94, 0x00, 0x1f, 0xe5, 0xeb, 0x02, 0x00, 0xeb, 0x01, 0x00, 0xe0, 0xe3, 0xfe, 0x80, 0xbd, 0xe8,
+       0x04, 0x20, 0xdd, 0xe5, 0x00, 0x00, 0x54, 0xe3, 0x01, 0x00, 0x00, 0x0a, 0x01, 0x00, 0xa0, 0xe3,
+       0x00, 0x00, 0x00, 0xea, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0xc0, 0xa0, 0xe1, 0x84, 0x01, 0x9f, 0xe5,
+       0xa2, 0x12, 0xa0, 0xe1, 0x1f, 0x30, 0x02, 0xe2, 0x00, 0x00, 0x5c, 0xe3, 0x04, 0x00, 0x00, 0x0a,
+       0x01, 0xe1, 0x90, 0xe7, 0x01, 0x50, 0xa0, 0xe3, 0x15, 0xe3, 0x8e, 0xe1, 0x01, 0xe1, 0x80, 0xe7,
+       0x04, 0x00, 0x00, 0xea, 0x01, 0xe1, 0x90, 0xe7, 0x01, 0x50, 0xa0, 0xe3, 0x15, 0x53, 0xe0, 0xe1,
+       0x05, 0xe0, 0x0e, 0xe0, 0x01, 0xe1, 0x80, 0xe7, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0xa0, 0xe3, 0xe4, 0xff, 0xff, 0xea, 0x00, 0x00, 0xa0, 0xe3, 0x1e, 0xff, 0x2f, 0xe1,
+       0x10, 0x40, 0x2d, 0xe9, 0x04, 0x40, 0xa0, 0xe3, 0x08, 0x00, 0x00, 0xea, 0x1c, 0x01, 0x1f, 0xe5,
+       0x84, 0x01, 0x80, 0xe0, 0x04, 0x00, 0xd0, 0xe5, 0x00, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x00, 0x0a,
+       0x04, 0x00, 0xa0, 0xe1, 0x23, 0xff, 0xff, 0xeb, 0x01, 0x00, 0x84, 0xe2, 0xff, 0x40, 0x00, 0xe2,
+       0xc6, 0x00, 0x54, 0xe3, 0xf4, 0xff, 0xff, 0xda, 0x40, 0x01, 0x1f, 0xe5, 0x00, 0x00, 0x90, 0xe5,
+       0x00, 0x00, 0x50, 0xe3, 0x06, 0x00, 0x00, 0x0a, 0x00, 0xf0, 0x20, 0xe3, 0xe4, 0x00, 0x9f, 0xe5,
+       0x80, 0x04, 0x90, 0xe5, 0x01, 0x00, 0x80, 0xe3, 0xd8, 0x10, 0x9f, 0xe5, 0x80, 0x04, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0xa0, 0xe3, 0x10, 0x80, 0xbd, 0xe8, 0x00, 0x10, 0xa0, 0xe1,
+       0x00, 0x00, 0xa0, 0xe3, 0x1e, 0xff, 0x2f, 0xe1, 0x71, 0x40, 0x2d, 0xe9, 0x04, 0xd0, 0x4d, 0xe2,
+       0x00, 0x40, 0xa0, 0xe3, 0x04, 0x00, 0xdd, 0xe5, 0x0f, 0x00, 0x50, 0xe3, 0x07, 0x00, 0x00, 0xda,
+       0x1d, 0x3e, 0x4f, 0xe2, 0x00, 0x30, 0x8d, 0xe5, 0x9c, 0x30, 0x9f, 0xe5, 0x04, 0x20, 0x8d, 0xe2,
+       0x01, 0x10, 0xa0, 0xe3, 0xb8, 0x01, 0x1f, 0xe5, 0xa2, 0x02, 0x00, 0xeb, 0x10, 0x00, 0x00, 0xea,
+       0x34, 0x03, 0x00, 0xeb, 0x00, 0x50, 0xa0, 0xe1, 0x00, 0xf0, 0x20, 0xe3, 0x74, 0x00, 0x9f, 0xe5,
+       0x70, 0x04, 0x90, 0xe5, 0x0f, 0x00, 0x00, 0xe2, 0x00, 0x40, 0xa0, 0xe1, 0x04, 0x00, 0xdd, 0xe5,
+       0x60, 0x10, 0x9f, 0xe5, 0x70, 0x14, 0x91, 0xe5, 0x0f, 0x10, 0xc1, 0xe3, 0x0f, 0x20, 0x00, 0xe2,
+       0x02, 0x10, 0x81, 0xe1, 0x4c, 0x20, 0x9f, 0xe5, 0x70, 0x14, 0x82, 0xe5, 0x05, 0x00, 0xa0, 0xe1,
+       0x30, 0x03, 0x00, 0xeb, 0x04, 0x00, 0xa0, 0xe1, 0x7c, 0x80, 0xbd, 0xe8, 0x00, 0x00, 0xa0, 0xe3,
+       0x00, 0x00, 0x0f, 0xe1, 0xa0, 0x03, 0xe0, 0xe1, 0x01, 0x00, 0x00, 0xe2, 0x1e, 0xff, 0x2f, 0xe1,
+       0x00, 0x00, 0xa0, 0xe3, 0x00, 0x10, 0xa0, 0xe3, 0x00, 0x00, 0x0f, 0xe1, 0x80, 0x10, 0x80, 0xe3,
+       0x01, 0xf0, 0x21, 0xe1, 0xa0, 0x03, 0xe0, 0xe1, 0x01, 0x00, 0x00, 0xe2, 0x00, 0x10, 0xa0, 0xe1,
+       0x01, 0x00, 0xa0, 0xe1, 0x1e, 0xff, 0x2f, 0xe1, 0x00, 0x00, 0x00, 0xe0, 0x7b, 0x01, 0x00, 0x00,
+       0x00, 0xf0, 0x20, 0xe3, 0x80, 0x32, 0x9f, 0xe5, 0x24, 0x30, 0x93, 0xe5, 0x03, 0x10, 0xa0, 0xe1,
+       0x00, 0xf0, 0x20, 0xe3, 0x70, 0x32, 0x9f, 0xe5, 0x24, 0x30, 0x93, 0xe5, 0x01, 0x30, 0x03, 0xe2,
+       0x00, 0x00, 0x53, 0xe3, 0x03, 0x00, 0x00, 0x0a, 0x00, 0xf0, 0x20, 0xe3, 0x58, 0x32, 0x9f, 0xe5,
+       0x2c, 0x00, 0x93, 0xe5, 0x03, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x48, 0x32, 0x9f, 0xe5,
+       0x28, 0x00, 0x93, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0xff, 0x3c, 0xc1, 0xe3, 0xfe, 0x30, 0xc3, 0xe3,
+       0x0c, 0xc0, 0x00, 0xe2, 0x0c, 0x30, 0x83, 0xe1, 0xf0, 0x20, 0x83, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0x24, 0x32, 0x9f, 0xe5, 0x24, 0x20, 0x83, 0xe5, 0x1e, 0xff, 0x2f, 0xe1, 0x10, 0x40, 0x2d, 0xe9,
+       0xe2, 0xff, 0xff, 0xeb, 0x00, 0xf0, 0x20, 0xe3, 0x0c, 0x02, 0x9f, 0xe5, 0x24, 0x00, 0x90, 0xe5,
+       0x00, 0x40, 0xa0, 0xe1, 0x74, 0x40, 0xff, 0xe6, 0xf0, 0x40, 0x84, 0xe3, 0x02, 0x40, 0x84, 0xe3,
+       0x01, 0x40, 0xc4, 0xe3, 0x00, 0xf0, 0x20, 0xe3, 0xec, 0x01, 0x9f, 0xe5, 0x24, 0x40, 0x80, 0xe5,
+       0x10, 0x80, 0xbd, 0xe8, 0x10, 0x40, 0x2d, 0xe9, 0xd4, 0xff, 0xff, 0xeb, 0x00, 0xf0, 0x20, 0xe3,
+       0xd4, 0x01, 0x9f, 0xe5, 0x24, 0x00, 0x90, 0xe5, 0x00, 0x40, 0xa0, 0xe1, 0x74, 0x40, 0xff, 0xe6,
+       0xf0, 0x40, 0x84, 0xe3, 0x02, 0x40, 0x84, 0xe3, 0x01, 0x40, 0x84, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0xb4, 0x01, 0x9f, 0xe5, 0x24, 0x40, 0x80, 0xe5, 0x10, 0x80, 0xbd, 0xe8, 0x04, 0xe0, 0x2d, 0xe5,
+       0xe1, 0xff, 0xff, 0xeb, 0x00, 0xf0, 0x20, 0xe3, 0x9c, 0x01, 0x9f, 0xe5, 0x28, 0x00, 0x90, 0xe5,
+       0x04, 0x00, 0xc0, 0xe3, 0x00, 0x10, 0xa0, 0xe3, 0x04, 0x20, 0xa0, 0xe3, 0x01, 0x11, 0x02, 0xe0,
+       0x01, 0x00, 0x80, 0xe1, 0x80, 0x11, 0x9f, 0xe5, 0x28, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x81, 0xe2, 0x28, 0x00, 0x90, 0xe5, 0x08, 0x00, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3,
+       0x08, 0x20, 0xa0, 0xe3, 0x81, 0x11, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x58, 0x11, 0x9f, 0xe5,
+       0x28, 0x00, 0x81, 0xe5, 0xda, 0xff, 0xff, 0xeb, 0x04, 0xf0, 0x9d, 0xe4, 0x00, 0xf0, 0x20, 0xe3,
+       0x44, 0x01, 0x9f, 0xe5, 0x60, 0x00, 0x90, 0xe5, 0x00, 0x08, 0xa0, 0xe1, 0x20, 0x0c, 0xa0, 0xe1,
+       0x1e, 0xff, 0x2f, 0xe1, 0x70, 0x40, 0x2d, 0xe9, 0x00, 0x50, 0xa0, 0xe1, 0x01, 0x40, 0xa0, 0xe1,
+       0x00, 0x60, 0xa0, 0xe3, 0x01, 0x00, 0x55, 0xe3, 0x04, 0x00, 0x00, 0x0a, 0x02, 0x00, 0x55, 0xe3,
+       0x05, 0x00, 0x00, 0x0a, 0x03, 0x00, 0x55, 0xe3, 0x09, 0x00, 0x00, 0x1a, 0x05, 0x00, 0x00, 0xea,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3, 0x07, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3,
+       0x02, 0x62, 0x86, 0xe3, 0x04, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x03, 0x62, 0x86, 0xe3,
+       0x01, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0x01, 0x00, 0x14, 0xe3, 0x00, 0x00, 0x00, 0x0a, 0x10, 0x60, 0x86, 0xe3, 0x02, 0x00, 0x14, 0xe3,
+       0x00, 0x00, 0x00, 0x0a, 0x08, 0x60, 0x86, 0xe3, 0x04, 0x00, 0x14, 0xe3, 0x00, 0x00, 0x00, 0x0a,
+       0x04, 0x60, 0x86, 0xe3, 0x08, 0x00, 0x14, 0xe3, 0x00, 0x00, 0x00, 0x0a, 0x01, 0x60, 0x86, 0xe3,
+       0x98, 0x02, 0x00, 0xeb, 0xa0, 0xff, 0xff, 0xeb, 0x00, 0xf0, 0x20, 0xe3, 0x98, 0x00, 0x9f, 0xe5,
+       0x14, 0x60, 0x80, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0xfe, 0xff, 0xff, 0xea, 0x10, 0x40, 0x2d, 0xe9,
+       0x0f, 0x40, 0xa0, 0xe3, 0x04, 0x10, 0xa0, 0xe1, 0x01, 0x00, 0xa0, 0xe3, 0xd0, 0xff, 0xff, 0xeb,
+       0x10, 0x80, 0xbd, 0xe8, 0x00, 0xf0, 0x20, 0xe3, 0x6c, 0x00, 0x9f, 0xe5, 0x18, 0x07, 0x90, 0xe5,
+       0x03, 0x00, 0x00, 0xe2, 0x01, 0x00, 0x50, 0xe3, 0x05, 0x00, 0x00, 0x0a, 0x00, 0xf0, 0x20, 0xe3,
+       0x54, 0x00, 0x9f, 0xe5, 0x18, 0x07, 0x90, 0xe5, 0x03, 0x00, 0x00, 0xe2, 0x03, 0x00, 0x50, 0xe3,
+       0x01, 0x00, 0x00, 0x1a, 0x01, 0x00, 0xa0, 0xe3, 0x1e, 0xff, 0x2f, 0xe1, 0x00, 0x00, 0xa0, 0xe3,
+       0xfc, 0xff, 0xff, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x2c, 0x00, 0x9f, 0xe5, 0x78, 0x00, 0x90, 0xe5,
+       0x1e, 0xff, 0x2f, 0xe1, 0x00, 0xf0, 0x20, 0xe3, 0x1c, 0x10, 0x9f, 0xe5, 0x78, 0x00, 0x81, 0xe5,
+       0x1e, 0xff, 0x2f, 0xe1, 0x00, 0xf0, 0x20, 0xe3, 0x0c, 0x00, 0x9f, 0xe5, 0x10, 0x00, 0x90, 0xe5,
+       0x00, 0x06, 0xa0, 0xe1, 0x20, 0x0e, 0xa0, 0xe1, 0x1e, 0xff, 0x2f, 0xe1, 0x00, 0x00, 0x90, 0xe4,
+       0x00, 0xf0, 0x20, 0xe3, 0x48, 0x01, 0x9f, 0xe5, 0x00, 0x00, 0x90, 0xe5, 0x01, 0x0c, 0x50, 0xe3,
+       0x04, 0x00, 0x00, 0x0a, 0x00, 0xf0, 0x20, 0xe3, 0x01, 0x0c, 0xa0, 0xe3, 0x30, 0x11, 0x9f, 0xe5,
+       0x00, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x1e, 0xff, 0x2f, 0xe1, 0x00, 0xf0, 0x20, 0xe3,
+       0x03, 0x00, 0xa0, 0xe3, 0x18, 0x11, 0x9f, 0xe5, 0x00, 0x00, 0x81, 0xe5, 0x1e, 0xff, 0x2f, 0xe1,
+       0x70, 0x40, 0x2d, 0xe9, 0x00, 0xf0, 0x20, 0xe3, 0x04, 0x01, 0x9f, 0xe5, 0x24, 0x00, 0x90, 0xe5,
+       0x00, 0x50, 0xa0, 0xe1, 0xfc, 0x10, 0x9f, 0xe5, 0xf3, 0x04, 0x00, 0xeb, 0x00, 0x40, 0xa0, 0xe1,
+       0xf4, 0x00, 0x9f, 0xe5, 0x08, 0x00, 0x90, 0xe5, 0x00, 0x00, 0x50, 0xe3, 0x0b, 0x00, 0x00, 0x0a,
+       0xe4, 0x00, 0x9f, 0xe5, 0x04, 0x00, 0x90, 0xe5, 0x04, 0x00, 0x50, 0xe1, 0x03, 0x00, 0x00, 0x8a,
+       0xd4, 0x00, 0x9f, 0xe5, 0x00, 0x00, 0x90, 0xe5, 0x04, 0x00, 0x50, 0xe1, 0x01, 0x00, 0x00, 0x8a,
+       0x00, 0x00, 0xa0, 0xe3, 0x70, 0x80, 0xbd, 0xe8, 0x01, 0x00, 0xa0, 0xe3, 0xfc, 0xff, 0xff, 0xea,
+       0xb4, 0x00, 0x9f, 0xe5, 0x04, 0x00, 0x90, 0xe5, 0x04, 0x00, 0x50, 0xe1, 0x01, 0x00, 0x00, 0x9a,
+       0x00, 0x00, 0xa0, 0xe3, 0xf6, 0xff, 0xff, 0xea, 0x01, 0x00, 0xa0, 0xe3, 0xf4, 0xff, 0xff, 0xea,
+       0x70, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0xcc, 0xff, 0xff, 0xeb, 0x00, 0xf0, 0x20, 0xe3,
+       0x7c, 0x00, 0x9f, 0xe5, 0x24, 0x00, 0x90, 0xe5, 0x00, 0x50, 0xa0, 0xe1, 0x74, 0x10, 0x9f, 0xe5,
+       0xd1, 0x04, 0x00, 0xeb, 0x70, 0x10, 0x9f, 0xe5, 0x00, 0x00, 0x81, 0xe5, 0x00, 0x00, 0x81, 0xe2,
+       0x00, 0x00, 0x90, 0xe5, 0x04, 0x00, 0x80, 0xe0, 0x04, 0x00, 0x81, 0xe5, 0x00, 0x00, 0x81, 0xe2,
+       0x04, 0x00, 0x90, 0xe5, 0x00, 0x10, 0x91, 0xe5, 0x01, 0x00, 0x50, 0xe1, 0x03, 0x00, 0x00, 0x9a,
+       0x00, 0x00, 0xa0, 0xe3, 0x40, 0x10, 0x9f, 0xe5, 0x08, 0x00, 0x81, 0xe5, 0x02, 0x00, 0x00, 0xea,
+       0x01, 0x00, 0xa0, 0xe3, 0x30, 0x10, 0x9f, 0xe5, 0x08, 0x00, 0x81, 0xe5, 0x70, 0x80, 0xbd, 0xe8,
+       0x10, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x04, 0x00, 0xa0, 0xe1, 0xdf, 0xff, 0xff, 0xeb,
+       0x00, 0xf0, 0x20, 0xe3, 0xbd, 0xff, 0xff, 0xeb, 0x00, 0x00, 0x50, 0xe3, 0xfc, 0xff, 0xff, 0x0a,
+       0x10, 0x80, 0xbd, 0xe8, 0x00, 0x00, 0x50, 0xe4, 0x59, 0x06, 0x00, 0x00, 0x3c, 0x39, 0x08, 0x00,
+       0x10, 0x40, 0x2d, 0xe9, 0x86, 0xff, 0xff, 0xeb, 0x10, 0x80, 0xbd, 0xe8, 0x10, 0x40, 0x2d, 0xe9,
+       0x93, 0xff, 0xff, 0xeb, 0x00, 0x40, 0xa0, 0xe1, 0x07, 0x00, 0xa0, 0xe3, 0x24, 0x0e, 0x00, 0xe0,
+       0x10, 0x80, 0xbd, 0xe8, 0x10, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe3, 0x8c, 0xff, 0xff, 0xeb,
+       0x00, 0x40, 0xa0, 0xe1, 0x0e, 0x46, 0xc4, 0xe3, 0x04, 0x00, 0xa0, 0xe1, 0x8c, 0xff, 0xff, 0xeb,
+       0x10, 0x80, 0xbd, 0xe8, 0xf0, 0x41, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x00, 0x50, 0xa0, 0xe3,
+       0x00, 0x70, 0xa0, 0xe3, 0x04, 0x60, 0xa0, 0xe1, 0x06, 0x40, 0xa0, 0xe1, 0x07, 0x60, 0x06, 0xe2,
+       0x7f, 0xff, 0xff, 0xeb, 0x00, 0x50, 0xa0, 0xe1, 0x0e, 0x56, 0xc5, 0xe3, 0x86, 0x7a, 0x85, 0xe1,
+       0x07, 0x00, 0xa0, 0xe1, 0x7e, 0xff, 0xff, 0xeb, 0xf0, 0x81, 0xbd, 0xe8, 0x70, 0x40, 0x2d, 0xe9,
+       0x00, 0x50, 0xa0, 0xe3, 0x00, 0x40, 0xa0, 0xe3, 0x75, 0xff, 0xff, 0xeb, 0x00, 0x50, 0xa0, 0xe1,
+       0x07, 0x00, 0xa0, 0xe3, 0xa5, 0x4a, 0x00, 0xe0, 0x04, 0x00, 0xa0, 0xe1, 0x70, 0x80, 0xbd, 0xe8,
+       0x70, 0x40, 0x2d, 0xe9, 0x00, 0x50, 0xa0, 0xe3, 0x00, 0x40, 0xa0, 0xe3, 0x6c, 0xff, 0xff, 0xeb,
+       0x00, 0x50, 0xa0, 0xe1, 0x07, 0x00, 0xa0, 0xe3, 0x25, 0x4e, 0x00, 0xe0, 0x04, 0x00, 0xa0, 0xe1,
+       0x70, 0x80, 0xbd, 0xe8, 0x10, 0x40, 0x2d, 0xe9, 0x4f, 0xff, 0xff, 0xeb, 0x10, 0x80, 0xbd, 0xe8,
+       0x10, 0x40, 0x2d, 0xe9, 0x25, 0x05, 0x00, 0xeb, 0xa1, 0x04, 0x00, 0xeb, 0x10, 0x80, 0xbd, 0xe8,
+       0x10, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe3, 0x65, 0xff, 0xff, 0xeb, 0x01, 0x00, 0x50, 0xe3,
+       0x04, 0x00, 0x00, 0x0a, 0x02, 0x00, 0x50, 0xe3, 0x0c, 0x00, 0x00, 0x0a, 0x03, 0x00, 0x50, 0xe3,
+       0x1e, 0x00, 0x00, 0x1a, 0x13, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0x8c, 0x00, 0x9f, 0xe5, 0x10, 0x00, 0x90, 0xe5, 0x00, 0x09, 0xa0, 0xe1, 0xa0, 0x0f, 0xa0, 0xe1,
+       0x00, 0x00, 0x50, 0xe3, 0x00, 0x00, 0x00, 0x1a, 0x01, 0x40, 0xa0, 0xe3, 0x16, 0x00, 0x00, 0xea,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3, 0x64, 0x00, 0x9f, 0xe5, 0x10, 0x00, 0x90, 0xe5,
+       0x00, 0x09, 0xa0, 0xe1, 0xa0, 0x0f, 0xa0, 0xe1, 0x01, 0x00, 0x50, 0xe3, 0x00, 0x00, 0x00, 0x1a,
+       0x02, 0x40, 0xa0, 0xe3, 0x0c, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0x3c, 0x00, 0x9f, 0xe5, 0x10, 0x00, 0x90, 0xe5, 0x00, 0x09, 0xa0, 0xe1, 0xa0, 0x0f, 0xa0, 0xe1,
+       0x00, 0x00, 0x50, 0xe3, 0x00, 0x00, 0x00, 0x1a, 0x03, 0x40, 0xa0, 0xe3, 0x02, 0x00, 0x00, 0xea,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x40, 0xa0, 0xe3, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0x04, 0x00, 0xa0, 0xe1, 0x10, 0x80, 0xbd, 0xe8, 0x00, 0x20, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe3,
+       0x1e, 0xff, 0x2f, 0xe1, 0x00, 0x00, 0x00, 0xe8, 0x00, 0xf0, 0x20, 0xe3, 0xfe, 0xff, 0xff, 0xea,
+       0x00, 0xf0, 0x20, 0xe3, 0xfe, 0xff, 0xff, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0xfe, 0xff, 0xff, 0xea,
+       0x00, 0xf0, 0x20, 0xe3, 0xfe, 0xff, 0xff, 0xea, 0xff, 0x20, 0xa0, 0xe3, 0x00, 0x10, 0xa0, 0xe3,
+       0x00, 0x00, 0x50, 0xe3, 0x03, 0x00, 0x00, 0x1a, 0x00, 0x00, 0x00, 0xea, 0x01, 0x10, 0x81, 0xe2,
+       0x00, 0x00, 0x52, 0xe3, 0xfc, 0xff, 0xff, 0xca, 0x01, 0x20, 0xa0, 0xe1, 0x1e, 0xff, 0x2f, 0xe1,
+       0x10, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x04, 0x00, 0xa0, 0xe1, 0xf1, 0xff, 0xff, 0xeb,
+       0x10, 0x80, 0xbd, 0xe8, 0x3f, 0x40, 0x2d, 0xe9, 0x00, 0xe0, 0xa0, 0xe1, 0x01, 0xc0, 0xa0, 0xe1,
+       0x02, 0x40, 0xa0, 0xe1, 0x03, 0x50, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x8d, 0xe5,
+       0x04, 0xc0, 0x8d, 0xe5, 0x08, 0x00, 0x8d, 0xe5, 0x0c, 0x00, 0x8d, 0xe5, 0x0f, 0x00, 0x9d, 0xe8,
+       0x10, 0xd0, 0x8d, 0xe2, 0x30, 0x80, 0xbd, 0xe8, 0x04, 0xe0, 0x2d, 0xe5, 0x00, 0xc0, 0xa0, 0xe1,
+       0x00, 0x00, 0xe0, 0xe3, 0x05, 0x00, 0x51, 0xe3, 0x01, 0xf1, 0x8f, 0x90, 0x17, 0x00, 0x00, 0xea,
+       0x04, 0x00, 0x00, 0xea, 0x06, 0x00, 0x00, 0xea, 0x08, 0x00, 0x00, 0xea, 0x0d, 0x00, 0x00, 0xea,
+       0x0f, 0x00, 0x00, 0xea, 0x08, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0xe0, 0xe3,
+       0x10, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0xe0, 0xe3, 0x0d, 0x00, 0x00, 0xea,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0xe0, 0xe3, 0x0a, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0xe0, 0xe3, 0x07, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0xe0, 0xe3,
+       0x04, 0x00, 0x00, 0xea, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0xe0, 0xe3, 0x01, 0x00, 0x00, 0xea,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3, 0x04, 0xf0, 0x9d, 0xe4,
+       0x70, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x00, 0x50, 0xe0, 0xe3, 0x0c, 0x00, 0x94, 0xe5,
+       0x00, 0x00, 0x50, 0xe3, 0x02, 0x00, 0x00, 0x0a, 0x0c, 0x00, 0x94, 0xe5, 0x30, 0xff, 0x2f, 0xe1,
+       0x00, 0x50, 0xa0, 0xe1, 0x05, 0x00, 0xa0, 0xe1, 0x70, 0x80, 0xbd, 0xe8, 0xf0, 0x41, 0x2d, 0xe9,
+       0x00, 0x40, 0xa0, 0xe1, 0x01, 0x60, 0xa0, 0xe1, 0x02, 0x70, 0xa0, 0xe1, 0x03, 0x80, 0xa0, 0xe1,
+       0x00, 0x50, 0xe0, 0xe3, 0x10, 0x00, 0x94, 0xe5, 0x00, 0x00, 0x50, 0xe3, 0x05, 0x00, 0x00, 0x0a,
+       0x08, 0x20, 0xa0, 0xe1, 0x07, 0x10, 0xa0, 0xe1, 0x06, 0x00, 0xa0, 0xe1, 0x10, 0x30, 0x94, 0xe5,
+       0x33, 0xff, 0x2f, 0xe1, 0x00, 0x50, 0xa0, 0xe1, 0x05, 0x00, 0xa0, 0xe1, 0xf0, 0x81, 0xbd, 0xe8,
+       0xf0, 0x41, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x01, 0x60, 0xa0, 0xe1, 0x02, 0x70, 0xa0, 0xe1,
+       0x03, 0x80, 0xa0, 0xe1, 0x00, 0x50, 0xe0, 0xe3, 0x14, 0x00, 0x94, 0xe5, 0x00, 0x00, 0x50, 0xe3,
+       0x05, 0x00, 0x00, 0x0a, 0x08, 0x20, 0xa0, 0xe1, 0x07, 0x10, 0xa0, 0xe1, 0x06, 0x00, 0xa0, 0xe1,
+       0x14, 0x30, 0x94, 0xe5, 0x33, 0xff, 0x2f, 0xe1, 0x00, 0x50, 0xa0, 0xe1, 0x05, 0x00, 0xa0, 0xe1,
+       0xf0, 0x81, 0xbd, 0xe8, 0xf0, 0x41, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x01, 0x60, 0xa0, 0xe1,
+       0x02, 0x70, 0xa0, 0xe1, 0x00, 0x50, 0xe0, 0xe3, 0x18, 0x00, 0x94, 0xe5, 0x00, 0x00, 0x50, 0xe3,
+       0x04, 0x00, 0x00, 0x0a, 0x07, 0x10, 0xa0, 0xe1, 0x06, 0x00, 0xa0, 0xe1, 0x18, 0x20, 0x94, 0xe5,
+       0x32, 0xff, 0x2f, 0xe1, 0x00, 0x50, 0xa0, 0xe1, 0x05, 0x00, 0xa0, 0xe1, 0xf0, 0x81, 0xbd, 0xe8,
+       0x10, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x1c, 0x00, 0x94, 0xe5, 0x00, 0x00, 0x50, 0xe3,
+       0x01, 0x00, 0x00, 0x0a, 0x1c, 0x00, 0x94, 0xe5, 0x30, 0xff, 0x2f, 0xe1, 0x10, 0x80, 0xbd, 0xe8,
+       0x10, 0x40, 0x2d, 0xe9, 0x70, 0x10, 0xa0, 0xe3, 0xc8, 0x01, 0x9f, 0xe5, 0xa4, 0x01, 0x00, 0xeb,
+       0x00, 0x00, 0xa0, 0xe3, 0x10, 0x80, 0xbd, 0xe8, 0x70, 0x40, 0x2d, 0xe9, 0x00, 0x20, 0xa0, 0xe1,
+       0x00, 0xc0, 0xa0, 0xe3, 0x00, 0x30, 0xa0, 0xe3, 0x00, 0xe0, 0xa0, 0xe3, 0x00, 0x00, 0xe0, 0xe3,
+       0xff, 0x40, 0xa0, 0xe3, 0x04, 0x50, 0x92, 0xe5, 0x01, 0x00, 0x55, 0xe1, 0x20, 0x00, 0x00, 0x3a,
+       0x04, 0xe0, 0x92, 0xe5, 0x8c, 0x51, 0x9f, 0xe5, 0x00, 0x20, 0x85, 0xe5, 0x00, 0xc0, 0x92, 0xe5,
+       0x01, 0x30, 0xa0, 0xe3, 0x12, 0x00, 0x00, 0xea, 0x01, 0x00, 0x5e, 0xe1, 0x0f, 0x00, 0x00, 0x3a,
+       0x74, 0x51, 0x9f, 0xe5, 0x03, 0x52, 0x85, 0xe0, 0x0c, 0xc0, 0x85, 0xe5, 0x68, 0x51, 0x9f, 0xe5,
+       0x03, 0x52, 0x85, 0xe0, 0x04, 0x10, 0x85, 0xe5, 0x00, 0x50, 0xa0, 0xe3, 0x58, 0x61, 0x9f, 0xe5,
+       0x03, 0x62, 0x86, 0xe0, 0x08, 0x50, 0x86, 0xe5, 0x4c, 0x61, 0x9f, 0xe5, 0x03, 0x52, 0x86, 0xe7,
+       0x01, 0xc0, 0x8c, 0xe0, 0x01, 0xe0, 0x4e, 0xe0, 0x01, 0x30, 0x83, 0xe2, 0x00, 0x00, 0x00, 0xea,
+       0x00, 0x40, 0xa0, 0xe3, 0x00, 0x00, 0x54, 0xe3, 0x01, 0x00, 0x00, 0x0a, 0x04, 0x00, 0x53, 0xe3,
+       0xe8, 0xff, 0xff, 0x3a, 0x01, 0x50, 0x43, 0xe2, 0x18, 0x61, 0x9f, 0xe5, 0x44, 0x50, 0x86, 0xe5,
+       0x00, 0x00, 0xa0, 0xe3, 0x00, 0x50, 0xa0, 0xe3, 0x08, 0x61, 0x9f, 0xe5, 0x48, 0x50, 0x86, 0xe5,
+       0xff, 0x50, 0xa0, 0xe3, 0x4c, 0x50, 0x86, 0xe5, 0x70, 0x80, 0xbd, 0xe8, 0x00, 0x00, 0xa0, 0xe3,
+       0x00, 0x10, 0xa0, 0xe3, 0xec, 0x20, 0x9f, 0xe5, 0x4c, 0x20, 0x92, 0xe5, 0xff, 0x00, 0x52, 0xe3,
+       0x19, 0x00, 0x00, 0x1a, 0x01, 0x10, 0xa0, 0xe3, 0x00, 0x00, 0x00, 0xea, 0x01, 0x10, 0x81, 0xe2,
+       0xd4, 0x20, 0x9f, 0xe5, 0x01, 0x22, 0x82, 0xe0, 0x08, 0x20, 0x92, 0xe5, 0xff, 0x00, 0x52, 0xe3,
+       0xf9, 0xff, 0xff, 0x0a, 0xbc, 0x20, 0x9f, 0xe5, 0x44, 0x20, 0x92, 0xe5, 0x01, 0x00, 0x52, 0xe1,
+       0x0a, 0x00, 0x00, 0x3a, 0xb0, 0x20, 0x9f, 0xe5, 0x01, 0x02, 0x82, 0xe0, 0x00, 0x10, 0x80, 0xe5,
+       0xff, 0x20, 0xa0, 0xe3, 0x08, 0x20, 0x80, 0xe5, 0xa0, 0x20, 0x9f, 0xe5, 0x01, 0x21, 0x92, 0xe7,
+       0x01, 0x20, 0x82, 0xe2, 0x94, 0x30, 0x9f, 0xe5, 0x01, 0x21, 0x83, 0xe7, 0x02, 0x00, 0x00, 0xea,
+       0xff, 0x20, 0xa0, 0xe3, 0x7c, 0x30, 0x9f, 0xe5, 0x48, 0x20, 0x83, 0xe5, 0x1e, 0xff, 0x2f, 0xe1,
+       0x00, 0x10, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe3, 0x68, 0x20, 0x9f, 0xe5, 0x4c, 0x20, 0x92, 0xe5,
+       0xff, 0x00, 0x52, 0xe3, 0x15, 0x00, 0x00, 0x1a, 0x58, 0x30, 0x9f, 0xe5, 0x00, 0x20, 0x91, 0xe5,
+       0x44, 0x30, 0x93, 0xe5, 0x03, 0x00, 0x52, 0xe1, 0x01, 0x00, 0x00, 0x9a, 0x00, 0x00, 0xe0, 0xe3,
+       0x0f, 0x00, 0x00, 0xea, 0x48, 0x30, 0x9f, 0xe5, 0x00, 0x20, 0x91, 0xe5, 0x02, 0x21, 0x93, 0xe7,
+       0x01, 0x20, 0x82, 0xe2, 0x00, 0xc0, 0x83, 0xe2, 0x00, 0x30, 0x91, 0xe5, 0x03, 0x21, 0x8c, 0xe7,
+       0x00, 0x20, 0xa0, 0xe3, 0x5c, 0xc0, 0x4c, 0xe2, 0x00, 0x30, 0x91, 0xe5, 0x03, 0x32, 0x8c, 0xe0,
+       0x08, 0x20, 0x83, 0xe5, 0x00, 0x30, 0x91, 0xe5, 0x03, 0x22, 0x8c, 0xe7, 0x00, 0x00, 0x00, 0xea,
+       0x00, 0x00, 0xe0, 0xe3, 0x1e, 0xff, 0x2f, 0xe1, 0x48, 0x39, 0x08, 0x00, 0x4c, 0x39, 0x08, 0x00,
+       0x98, 0x39, 0x08, 0x00, 0xa8, 0x39, 0x08, 0x00, 0xff, 0x30, 0xa0, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x53, 0xe3, 0xfd, 0xff, 0xff, 0xca, 0x1e, 0xff, 0x2f, 0xe1, 0xff, 0x20, 0xa0, 0xe3,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x52, 0xe3, 0xfd, 0xff, 0xff, 0xca, 0x1e, 0xff, 0x2f, 0xe1,
+       0x1e, 0xff, 0x2f, 0xe1, 0x70, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x01, 0x50, 0xa0, 0xe1,
+       0x02, 0x60, 0xa0, 0xe1, 0x06, 0x20, 0xa0, 0xe1, 0x05, 0x10, 0xa0, 0xe1, 0x04, 0x00, 0xa0, 0xe1,
+       0xec, 0xff, 0xff, 0xeb, 0x70, 0x80, 0xbd, 0xe8, 0xf0, 0x47, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1,
+       0x01, 0x50, 0xa0, 0xe1, 0x02, 0x60, 0xa0, 0xe1, 0x03, 0x90, 0xa0, 0xe1, 0x20, 0x70, 0x9d, 0xe5,
+       0xff, 0x80, 0xa0, 0xe3, 0x00, 0x00, 0x57, 0xe3, 0x03, 0x00, 0x00, 0x1a, 0x78, 0x10, 0x8f, 0xe2,
+       0x7c, 0x00, 0x9f, 0xe5, 0x3e, 0x01, 0x00, 0xeb, 0x0b, 0x00, 0x00, 0xea, 0x07, 0x00, 0xa0, 0xe1,
+       0x70, 0x01, 0x00, 0xeb, 0x16, 0x00, 0x50, 0xe3, 0x03, 0x00, 0x00, 0x9a, 0x07, 0x00, 0xa0, 0xe1,
+       0x6c, 0x01, 0x00, 0xeb, 0x15, 0x00, 0x40, 0xe2, 0x07, 0x70, 0x80, 0xe0, 0x16, 0x20, 0xa0, 0xe3,
+       0x07, 0x10, 0xa0, 0xe1, 0x48, 0x00, 0x9f, 0xe5, 0x4b, 0x01, 0x00, 0xeb, 0x06, 0x10, 0xa0, 0xe1,
+       0x05, 0x00, 0xa0, 0xe1, 0xd4, 0xff, 0xff, 0xeb, 0x38, 0x00, 0x9f, 0xe5, 0xb0, 0x40, 0xc0, 0xe1,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x58, 0xe3, 0xfd, 0xff, 0xff, 0xca, 0xf0, 0x87, 0xbd, 0xe8,
+       0x10, 0x40, 0x2d, 0xe9, 0x08, 0xc0, 0x9d, 0xe5, 0xff, 0xe0, 0xa0, 0xe3, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x5e, 0xe3, 0xfd, 0xff, 0xff, 0xca, 0x10, 0x80, 0xbd, 0xe8, 0x4e, 0x55, 0x4c, 0x4c,
+       0x00, 0x00, 0x00, 0x00, 0xb8, 0x39, 0x08, 0x00, 0x38, 0x39, 0x08, 0x00, 0x00, 0x00, 0xa0, 0xe3,
+       0x1e, 0xff, 0x2f, 0xe1, 0x1e, 0xff, 0x2f, 0xe1, 0x1e, 0xff, 0x2f, 0xe1, 0x00, 0x10, 0xa0, 0xe1,
+       0x04, 0x00, 0x51, 0xe3, 0x03, 0x00, 0x00, 0xba, 0xc6, 0x00, 0x51, 0xe3, 0x01, 0x00, 0x00, 0xca,
+       0x01, 0x20, 0xa0, 0xe3, 0x00, 0x00, 0x00, 0xea, 0x00, 0x20, 0xa0, 0xe3, 0x02, 0x00, 0xa0, 0xe1,
+       0x1e, 0xff, 0x2f, 0xe1, 0xf0, 0x47, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x01, 0x50, 0xa0, 0xe1,
+       0x02, 0x60, 0xa0, 0xe1, 0x03, 0x70, 0xa0, 0xe1, 0x20, 0xa0, 0x9d, 0xe5, 0x04, 0x80, 0xa0, 0xe1,
+       0x00, 0x90, 0xa0, 0xe3, 0x08, 0x40, 0xb0, 0xe1, 0x01, 0x00, 0x00, 0x1a, 0x64, 0x00, 0xe0, 0xe3,
+       0xf0, 0x87, 0xbd, 0xe8, 0x00, 0x00, 0x55, 0xe3, 0x05, 0x00, 0x00, 0x0a, 0x00, 0x00, 0x57, 0xe3,
+       0x03, 0x00, 0x00, 0x0a, 0x06, 0x00, 0xa0, 0xe1, 0xe3, 0xff, 0xff, 0xeb, 0x00, 0x00, 0x50, 0xe3,
+       0x01, 0x00, 0x00, 0x1a, 0x04, 0x00, 0xe0, 0xe3, 0xf4, 0xff, 0xff, 0xea, 0x08, 0x20, 0x88, 0xe2,
+       0x07, 0x10, 0xa0, 0xe1, 0x06, 0x00, 0xa0, 0xe1, 0xe6, 0xfb, 0xff, 0xeb, 0x00, 0x00, 0x50, 0xe3,
+       0x05, 0x00, 0x00, 0x1a, 0x08, 0x20, 0xa0, 0xe3, 0x05, 0x10, 0xa0, 0xe1, 0x08, 0x00, 0xa0, 0xe1,
+       0xd5, 0x00, 0x00, 0xeb, 0x0c, 0x60, 0xc8, 0xe5, 0x00, 0x00, 0x00, 0xea, 0x00, 0x90, 0xe0, 0xe3,
+       0x09, 0x00, 0xa0, 0xe1, 0xe5, 0xff, 0xff, 0xea, 0xf8, 0x43, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1,
+       0x04, 0x50, 0xa0, 0xe1, 0x00, 0x60, 0xa0, 0xe3, 0x05, 0x40, 0xb0, 0xe1, 0x01, 0x00, 0x00, 0x1a,
+       0x64, 0x00, 0xe0, 0xe3, 0xf8, 0x83, 0xbd, 0xe8, 0x0c, 0x80, 0xd5, 0xe5, 0x08, 0x70, 0x95, 0xe5,
+       0x0d, 0x20, 0xa0, 0xe1, 0x07, 0x10, 0xa0, 0xe1, 0x08, 0x00, 0xa0, 0xe1, 0xcd, 0xfb, 0xff, 0xeb,
+       0x00, 0x00, 0x50, 0xe3, 0x02, 0x00, 0x00, 0x1a, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0x85, 0xe5,
+       0x00, 0x00, 0x00, 0xea, 0x00, 0x60, 0xe0, 0xe3, 0x06, 0x00, 0xa0, 0xe1, 0xf0, 0xff, 0xff, 0xea,
+       0x70, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1, 0x04, 0x50, 0xa0, 0xe1, 0x00, 0x60, 0xa0, 0xe3,
+       0x05, 0x40, 0xb0, 0xe1, 0x01, 0x00, 0x00, 0x1a, 0x64, 0x00, 0xe0, 0xe3, 0x70, 0x80, 0xbd, 0xe8,
+       0x0c, 0x00, 0xd5, 0xe5, 0x10, 0xfc, 0xff, 0xeb, 0x00, 0x00, 0x50, 0xe3, 0x00, 0x00, 0x00, 0x0a,
+       0x00, 0x60, 0xe0, 0xe3, 0x06, 0x00, 0xa0, 0xe1, 0xf7, 0xff, 0xff, 0xea, 0x70, 0x40, 0x2d, 0xe9,
+       0x00, 0x40, 0xa0, 0xe1, 0x04, 0x50, 0xa0, 0xe1, 0x00, 0x60, 0xa0, 0xe3, 0x05, 0x40, 0xb0, 0xe1,
+       0x01, 0x00, 0x00, 0x1a, 0x64, 0x00, 0xe0, 0xe3, 0x70, 0x80, 0xbd, 0xe8, 0x0c, 0x00, 0xd5, 0xe5,
+       0xd0, 0xfb, 0xff, 0xeb, 0x00, 0x00, 0x50, 0xe3, 0x00, 0x00, 0x00, 0x0a, 0x00, 0x60, 0xe0, 0xe3,
+       0x06, 0x00, 0xa0, 0xe1, 0xf7, 0xff, 0xff, 0xea, 0x70, 0x40, 0x2d, 0xe9, 0x00, 0x50, 0xa0, 0xe3,
+       0x00, 0x40, 0xa0, 0xe3, 0xdd, 0xfc, 0xff, 0xeb, 0x00, 0x40, 0xa0, 0xe1, 0x00, 0x00, 0x54, 0xe3,
+       0x01, 0x00, 0x00, 0x0a, 0x01, 0x50, 0xa0, 0xe3, 0x00, 0x00, 0x00, 0xea, 0x00, 0x50, 0xa0, 0xe3,
+       0x05, 0x00, 0xa0, 0xe1, 0x70, 0x80, 0xbd, 0xe8, 0x10, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1,
+       0x00, 0x00, 0x54, 0xe3, 0x00, 0x00, 0x00, 0x0a, 0xcb, 0xfc, 0xff, 0xeb, 0x10, 0x80, 0xbd, 0xe8,
+       0x00, 0xf0, 0x20, 0xe3, 0x94, 0x01, 0x9f, 0xe5, 0x1c, 0x02, 0x90, 0xe5, 0x02, 0x09, 0xc0, 0xe3,
+       0x00, 0x10, 0xa0, 0xe3, 0x02, 0x29, 0xa0, 0xe3, 0x81, 0x17, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0x78, 0x11, 0x9f, 0xe5, 0x1c, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x1c, 0x02, 0x90, 0xe5, 0x01, 0x0b, 0xc0, 0xe3, 0x00, 0x10, 0xa0, 0xe3, 0x01, 0x2b, 0xa0, 0xe3,
+       0x01, 0x15, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x50, 0x11, 0x9f, 0xe5, 0x1c, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x1c, 0x02, 0x90, 0xe5, 0x06, 0x0a, 0xc0, 0xe3,
+       0x00, 0x10, 0xa0, 0xe3, 0x06, 0x2a, 0xa0, 0xe3, 0x81, 0x16, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0x28, 0x11, 0x9f, 0xe5, 0x1c, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x1c, 0x02, 0x90, 0xe5, 0x01, 0x0c, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3, 0x01, 0x2c, 0xa0, 0xe3,
+       0x01, 0x14, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x00, 0x11, 0x9f, 0xe5, 0x1c, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x1c, 0x02, 0x90, 0xe5, 0x08, 0x00, 0xc0, 0xe3,
+       0x00, 0x10, 0xa0, 0xe3, 0x08, 0x20, 0xa0, 0xe3, 0x81, 0x11, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0xd8, 0x10, 0x9f, 0xe5, 0x1c, 0x02, 0x81, 0xe5, 0x1e, 0xff, 0x2f, 0xe1, 0x00, 0xf0, 0x20, 0xe3,
+       0xc8, 0x00, 0x9f, 0xe5, 0x1c, 0x06, 0x90, 0xe5, 0x00, 0x0b, 0xa0, 0xe1, 0xa0, 0x0f, 0xa0, 0xe1,
+       0x1e, 0xff, 0x2f, 0xe1, 0x04, 0xe0, 0x2d, 0xe5, 0x00, 0x10, 0xa0, 0xe1, 0x00, 0xf0, 0x20, 0xe3,
+       0xf5, 0xff, 0xff, 0xeb, 0x00, 0x00, 0x50, 0xe3, 0xfc, 0xff, 0xff, 0x1a, 0xa0, 0x00, 0x9f, 0xe5,
+       0x40, 0x08, 0x90, 0xe5, 0x00, 0x00, 0x81, 0xe5, 0x04, 0xf0, 0x9d, 0xe4, 0x90, 0x10, 0x9f, 0xe5,
+       0x20, 0x08, 0x81, 0xe5, 0x1e, 0xff, 0x2f, 0xe1, 0xf8, 0x40, 0x2d, 0xe9, 0xb7, 0xff, 0xff, 0xeb,
+       0x80, 0x00, 0x9f, 0xe5, 0xf8, 0xff, 0xff, 0xeb, 0x0d, 0x00, 0xa0, 0xe1, 0xec, 0xff, 0xff, 0xeb,
+       0x00, 0x00, 0x9d, 0xe5, 0x70, 0x10, 0x9f, 0xe5, 0x01, 0x00, 0x50, 0xe1, 0x01, 0x00, 0x00, 0x0a,
+       0x01, 0x00, 0xa0, 0xe3, 0x1c, 0x00, 0x00, 0xeb, 0x62, 0x54, 0xa0, 0xe3, 0x06, 0x42, 0xa0, 0xe3,
+       0x3f, 0x67, 0x84, 0xe2, 0xfe, 0x6b, 0x86, 0xe2, 0x01, 0x00, 0x00, 0xea, 0x04, 0x00, 0x95, 0xe4,
+       0x04, 0x00, 0x84, 0xe4, 0x06, 0x00, 0x54, 0xe1, 0xfb, 0xff, 0xff, 0x3a, 0x0d, 0x00, 0xa0, 0xe1,
+       0xdb, 0xff, 0xff, 0xeb, 0x00, 0x00, 0x9d, 0xe5, 0x30, 0x10, 0x9f, 0xe5, 0x01, 0x00, 0x50, 0xe1,
+       0x01, 0x00, 0x00, 0x0a, 0x01, 0x00, 0xa0, 0xe3, 0x0b, 0x00, 0x00, 0xeb, 0x6b, 0xfc, 0xff, 0xeb,
+       0x1c, 0x70, 0x9f, 0xe5, 0x37, 0xff, 0x2f, 0xe1, 0x00, 0xf0, 0x20, 0xe3, 0xfe, 0xff, 0xff, 0xea,
+       0x00, 0x00, 0x80, 0xe4, 0x00, 0xf0, 0xff, 0x62, 0x34, 0x12, 0x34, 0x12, 0x67, 0x45, 0x67, 0x45,
+       0xef, 0x89, 0xef, 0x89, 0x00, 0x00, 0x10, 0x60, 0x0e, 0xf0, 0xa0, 0xe1, 0x10, 0x40, 0x2d, 0xe9,
+       0x00, 0x40, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1, 0x04, 0x00, 0xa0, 0xe1, 0x10, 0x40, 0xbd, 0xe8,
+       0xe1, 0x00, 0x00, 0xea, 0x00, 0x20, 0xa0, 0xe3, 0x20, 0x10, 0x51, 0xe2, 0x00, 0x40, 0x2d, 0xe9,
+       0x02, 0xe0, 0xa0, 0xe1, 0x02, 0x30, 0xa0, 0xe1, 0x02, 0xc0, 0xa0, 0xe1, 0x0c, 0x50, 0xa0, 0x28,
+       0x0c, 0x50, 0xa0, 0x28, 0x20, 0x10, 0x51, 0x22, 0xfb, 0xff, 0xff, 0x2a, 0x01, 0x1e, 0xb0, 0xe1,
+       0x0c, 0x50, 0xa0, 0x28, 0x0c, 0x00, 0xa0, 0x48, 0x01, 0x11, 0xb0, 0xe1, 0x00, 0x40, 0xbd, 0xe8,
+       0x04, 0x20, 0x80, 0x24, 0x0e, 0xf0, 0xa0, 0x01, 0x01, 0x20, 0xc0, 0x44, 0x01, 0x20, 0xc0, 0x44,
+       0x01, 0x01, 0x11, 0xe3, 0x01, 0x20, 0xc0, 0x14, 0x0e, 0xf0, 0xa0, 0xe1, 0x03, 0x00, 0x52, 0xe3,
+       0xe4, 0x00, 0x00, 0x9a, 0x03, 0xc0, 0x10, 0xe2, 0x08, 0x00, 0x00, 0x0a, 0x01, 0x30, 0xd1, 0xe4,
+       0x02, 0x00, 0x5c, 0xe3, 0x0c, 0x20, 0x82, 0xe0, 0x01, 0xc0, 0xd1, 0x94, 0x01, 0x30, 0xc0, 0xe4,
+       0x01, 0x30, 0xd1, 0x34, 0x01, 0xc0, 0xc0, 0x94, 0x04, 0x20, 0x42, 0xe2, 0x01, 0x30, 0xc0, 0x34,
+       0x03, 0x30, 0x11, 0xe2, 0xc4, 0x00, 0x00, 0x0a, 0x08, 0x20, 0x52, 0xe2, 0x04, 0x00, 0x00, 0x3a,
+       0x04, 0x30, 0x91, 0xe4, 0x04, 0xc0, 0x91, 0xe4, 0x04, 0x30, 0x80, 0xe4, 0x04, 0xc0, 0x80, 0xe4,
+       0xf8, 0xff, 0xff, 0xea, 0x04, 0x20, 0x92, 0xe2, 0x04, 0x30, 0x91, 0x54, 0x04, 0x30, 0x80, 0x54,
+       0xcc, 0x00, 0x00, 0xea, 0x01, 0x30, 0x80, 0xe1, 0x03, 0x00, 0x13, 0xe3, 0x00, 0x20, 0xa0, 0xe1,
+       0x04, 0xe0, 0x2d, 0xe5, 0x0b, 0x00, 0x00, 0x1a, 0x48, 0xc0, 0x9f, 0xe5, 0x04, 0x30, 0x91, 0xe4,
+       0x0c, 0xe0, 0x43, 0xe0, 0x03, 0xe0, 0xce, 0xe1, 0x8c, 0x03, 0x1e, 0xe1, 0x04, 0x30, 0x82, 0x04,
+       0xf9, 0xff, 0xff, 0x0a, 0xff, 0x10, 0x13, 0xe2, 0x23, 0x34, 0xa0, 0x11, 0x01, 0x10, 0xc2, 0xe4,
+       0xfb, 0xff, 0xff, 0x1a, 0x04, 0xf0, 0x9d, 0xe4, 0x01, 0x30, 0xd1, 0xe4, 0x00, 0x00, 0x53, 0xe3,
+       0x01, 0x30, 0xc2, 0xe4, 0x01, 0x30, 0xd1, 0x14, 0x01, 0x30, 0xc2, 0x14, 0x00, 0x00, 0x53, 0x13,
+       0xf8, 0xff, 0xff, 0x1a, 0x04, 0xf0, 0x9d, 0xe4, 0x01, 0x01, 0x01, 0x01, 0x03, 0x00, 0x10, 0xe3,
+       0x10, 0x40, 0x2d, 0xe9, 0x03, 0x00, 0x11, 0x03, 0x00, 0x40, 0xa0, 0xe1, 0x0a, 0x00, 0x00, 0x1a,
+       0x4c, 0xe0, 0x9f, 0xe5, 0x04, 0x20, 0x52, 0xe2, 0x06, 0x00, 0x00, 0xba, 0x04, 0x30, 0x91, 0xe4,
+       0x0e, 0xc0, 0x43, 0xe0, 0x03, 0xc0, 0xcc, 0xe1, 0x8e, 0xc3, 0x1c, 0xe0, 0x04, 0x30, 0x80, 0x04,
+       0xf7, 0xff, 0xff, 0x0a, 0x04, 0x10, 0x41, 0xe2, 0x04, 0x20, 0x82, 0xe2, 0x01, 0x20, 0x52, 0xe2,
+       0x05, 0x00, 0x00, 0xba, 0x01, 0x30, 0xd1, 0xe4, 0x00, 0x00, 0x53, 0xe3, 0x01, 0x30, 0xc0, 0xe4,
+       0xf9, 0xff, 0xff, 0x1a, 0x02, 0x10, 0xa0, 0xe1, 0xa2, 0x00, 0x00, 0xeb, 0x04, 0x00, 0xa0, 0xe1,
+       0x10, 0x80, 0xbd, 0xe8, 0x01, 0x01, 0x01, 0x01, 0x01, 0xc0, 0x80, 0xe2, 0x03, 0x00, 0x00, 0xea,
+       0x01, 0x10, 0xd0, 0xe4, 0x00, 0x00, 0x51, 0xe3, 0x0c, 0x00, 0x40, 0x00, 0x0e, 0xf0, 0xa0, 0x01,
+       0x03, 0x00, 0x10, 0xe3, 0xf9, 0xff, 0xff, 0x1a, 0x38, 0x20, 0x9f, 0xe5, 0x04, 0x10, 0x90, 0xe4,
+       0x02, 0x30, 0x41, 0xe0, 0x01, 0x30, 0xc3, 0xe1, 0x82, 0x03, 0x13, 0xe1, 0xfa, 0xff, 0xff, 0x0a,
+       0xff, 0x00, 0x11, 0xe3, 0x0c, 0x00, 0x40, 0xe0, 0x03, 0x00, 0x40, 0x02, 0x0e, 0xf0, 0xa0, 0x01,
+       0xff, 0x0c, 0x11, 0xe3, 0x02, 0x00, 0x40, 0x02, 0x0e, 0xf0, 0xa0, 0x01, 0xff, 0x08, 0x11, 0xe3,
+       0x01, 0x00, 0x40, 0x02, 0x0e, 0xf0, 0xa0, 0xe1, 0x01, 0x01, 0x01, 0x01, 0x01, 0xc0, 0x90, 0xe1,
+       0x21, 0x00, 0x00, 0x4a, 0xa0, 0xc0, 0x71, 0xe0, 0x00, 0x20, 0xa0, 0xe3, 0x1a, 0x00, 0x00, 0x3a,
+       0x20, 0xc2, 0x71, 0xe0, 0x0f, 0x00, 0x00, 0x3a, 0x20, 0xc4, 0x71, 0xe0, 0x01, 0x00, 0x00, 0x3a,
+       0x00, 0x30, 0xa0, 0xe3, 0x20, 0x00, 0x00, 0xea, 0xa0, 0xc3, 0x71, 0xe0, 0x81, 0x03, 0x40, 0x20,
+       0x02, 0x20, 0xa2, 0xe0, 0x20, 0xc3, 0x71, 0xe0, 0x01, 0x03, 0x40, 0x20, 0x02, 0x20, 0xa2, 0xe0,
+       0xa0, 0xc2, 0x71, 0xe0, 0x81, 0x02, 0x40, 0x20, 0x02, 0x20, 0xa2, 0xe0, 0x20, 0xc2, 0x71, 0xe0,
+       0x01, 0x02, 0x40, 0x20, 0x02, 0x20, 0xa2, 0xe0, 0xa0, 0xc1, 0x71, 0xe0, 0x81, 0x01, 0x40, 0x20,
+       0x02, 0x20, 0xa2, 0xe0, 0x20, 0xc1, 0x71, 0xe0, 0x01, 0x01, 0x40, 0x20, 0x02, 0x20, 0xb2, 0xe0,
+       0xa0, 0xc0, 0x71, 0xe0, 0x81, 0x00, 0x40, 0x20, 0x02, 0x20, 0xa2, 0xe0, 0x01, 0x10, 0x50, 0xe0,
+       0x00, 0x10, 0xa0, 0x31, 0x02, 0x00, 0xa2, 0xe0, 0x0e, 0xf0, 0xa0, 0xe1, 0x02, 0x21, 0x11, 0xe2,
+       0x00, 0x10, 0x61, 0x42, 0x40, 0x30, 0x32, 0xe0, 0x00, 0x00, 0x60, 0x22, 0x20, 0xc2, 0x71, 0xe0,
+       0x1d, 0x00, 0x00, 0x3a, 0x20, 0xc4, 0x71, 0xe0, 0x0f, 0x00, 0x00, 0x3a, 0x01, 0x13, 0xa0, 0xe1,
+       0x20, 0xc4, 0x71, 0xe0, 0x3f, 0x23, 0x82, 0xe3, 0x0b, 0x00, 0x00, 0x3a, 0x01, 0x13, 0xa0, 0xe1,
+       0x20, 0xc4, 0x71, 0xe0, 0x3f, 0x26, 0x82, 0xe3, 0x07, 0x00, 0x00, 0x3a, 0x01, 0x13, 0xa0, 0xe1,
+       0x20, 0xc4, 0x71, 0xe0, 0x3f, 0x29, 0x82, 0xe3, 0x3f, 0x2c, 0x82, 0x23, 0x01, 0x13, 0xa0, 0x21,
+       0x00, 0xc0, 0x71, 0xe2, 0x2f, 0x00, 0x00, 0x2a, 0x21, 0x13, 0xa0, 0x21, 0xa0, 0xc3, 0x71, 0xe0,
+       0x81, 0x03, 0x40, 0x20, 0x02, 0x20, 0xa2, 0xe0, 0x20, 0xc3, 0x71, 0xe0, 0x01, 0x03, 0x40, 0x20,
+       0x02, 0x20, 0xa2, 0xe0, 0xa0, 0xc2, 0x71, 0xe0, 0x81, 0x02, 0x40, 0x20, 0x02, 0x20, 0xa2, 0xe0,
+       0x20, 0xc2, 0x71, 0xe0, 0x01, 0x02, 0x40, 0x20, 0x02, 0x20, 0xa2, 0xe0, 0xa0, 0xc1, 0x71, 0xe0,
+       0x81, 0x01, 0x40, 0x20, 0x02, 0x20, 0xa2, 0xe0, 0x20, 0xc1, 0x71, 0xe0, 0x01, 0x01, 0x40, 0x20,
+       0x02, 0x20, 0xb2, 0xe0, 0xeb, 0xff, 0xff, 0x2a, 0xa0, 0xc0, 0x71, 0xe0, 0x81, 0x00, 0x40, 0x20,
+       0x02, 0x20, 0xa2, 0xe0, 0x01, 0x10, 0x50, 0xe0, 0x00, 0x10, 0xa0, 0x31, 0x02, 0x00, 0xa2, 0xe0,
+       0xc3, 0x3f, 0xb0, 0xe1, 0x00, 0x00, 0x60, 0x42, 0x00, 0x10, 0x61, 0x22, 0x0e, 0xf0, 0xa0, 0xe1,
+       0xc1, 0x00, 0x00, 0xeb, 0x3f, 0x00, 0x00, 0xeb, 0x03, 0x00, 0x2d, 0xe9, 0xbf, 0x00, 0x00, 0xeb,
+       0x03, 0x00, 0xbd, 0xe8, 0x7a, 0x00, 0x00, 0xeb, 0x0f, 0x00, 0x2d, 0xe9, 0xbe, 0x00, 0x00, 0xeb,
+       0x0f, 0x00, 0xbd, 0xe8, 0x3b, 0xfa, 0xff, 0xeb, 0x17, 0xff, 0xff, 0xea, 0x01, 0x40, 0x2d, 0xe9,
+       0xbc, 0x00, 0x00, 0xeb, 0xae, 0x00, 0x00, 0xeb, 0x01, 0x40, 0xbd, 0xe8, 0x00, 0x00, 0x00, 0xea,
+       0x00, 0x00, 0xe0, 0xe3, 0xe7, 0xfc, 0xff, 0xea, 0x2b, 0x00, 0x00, 0xea, 0x20, 0x20, 0x52, 0xe2,
+       0x10, 0x40, 0x2d, 0xe9, 0x05, 0x00, 0x00, 0x3a, 0x18, 0x50, 0xb1, 0x28, 0x18, 0x50, 0xa0, 0x28,
+       0x18, 0x50, 0xb1, 0x28, 0x18, 0x50, 0xa0, 0x28, 0x20, 0x20, 0x52, 0x22, 0xf9, 0xff, 0xff, 0x2a,
+       0x02, 0xce, 0xb0, 0xe1, 0x18, 0x50, 0xb1, 0x28, 0x18, 0x50, 0xa0, 0x28, 0x18, 0x00, 0xb1, 0x48,
+       0x18, 0x00, 0xa0, 0x48, 0x02, 0xcf, 0xb0, 0xe1, 0x10, 0x40, 0xbd, 0xe8, 0x04, 0x30, 0x91, 0x24,
+       0x04, 0x30, 0x80, 0x24, 0x0e, 0xf0, 0xa0, 0x01, 0x82, 0x2f, 0xb0, 0xe1, 0x01, 0x20, 0xd1, 0x44,
+       0x01, 0x30, 0xd1, 0x24, 0x01, 0xc0, 0xd1, 0x24, 0x01, 0x20, 0xc0, 0x44, 0x01, 0x30, 0xc0, 0x24,
+       0x01, 0xc0, 0xc0, 0x24, 0x0e, 0xf0, 0xa0, 0xe1, 0x00, 0x20, 0xa0, 0xe3, 0x04, 0x00, 0x51, 0xe3,
+       0x08, 0x00, 0x00, 0x3a, 0x03, 0xc0, 0x10, 0xe2, 0xf6, 0xfe, 0xff, 0x0a, 0x04, 0xc0, 0x6c, 0xe2,
+       0x01, 0x20, 0xc0, 0xe4, 0x02, 0x00, 0x5c, 0xe3, 0x01, 0x20, 0xc0, 0xa4, 0x0c, 0x10, 0x41, 0xe0,
+       0x01, 0x20, 0xc0, 0xc4, 0xef, 0xfe, 0xff, 0xea, 0x81, 0xcf, 0xb0, 0xe1, 0x01, 0x20, 0xc0, 0x24,
+       0x01, 0x20, 0xc0, 0x24, 0x01, 0x20, 0xc0, 0x44, 0x0e, 0xf0, 0xa0, 0xe1, 0x02, 0x00, 0xa0, 0xe3,
+       0x02, 0x10, 0xa0, 0xe3, 0x8b, 0x00, 0x00, 0xea, 0x0e, 0x50, 0xa0, 0xe1, 0x86, 0x00, 0x00, 0xeb,
+       0x00, 0x40, 0xa0, 0xe1, 0x07, 0x00, 0xc0, 0xe3, 0x0d, 0x10, 0xa0, 0xe1, 0x60, 0xd0, 0x80, 0xe2,
+       0x05, 0xe0, 0xa0, 0xe1, 0x10, 0x40, 0x2d, 0xe9, 0x0a, 0x30, 0xa0, 0xe1, 0xc0, 0xfc, 0xff, 0xeb,
+       0x00, 0x60, 0xa0, 0xe3, 0x00, 0x70, 0xa0, 0xe3, 0x00, 0x80, 0xa0, 0xe3, 0x00, 0xb0, 0xa0, 0xe3,
+       0x10, 0x40, 0xbd, 0xe8, 0x07, 0xd0, 0xc1, 0xe3, 0x04, 0xc0, 0xa0, 0xe1, 0xc0, 0x09, 0xac, 0xe8,
+       0xc0, 0x09, 0xac, 0xe8, 0xc0, 0x09, 0xac, 0xe8, 0xc0, 0x09, 0xac, 0xe8, 0x13, 0x40, 0x2d, 0xe9,
+       0x00, 0x10, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe1, 0x40, 0x10, 0x81, 0xe2,
+       0x01, 0x20, 0x80, 0xe0, 0x11, 0x2e, 0x82, 0xe2, 0x1c, 0x20, 0x84, 0xe5, 0x01, 0x00, 0xa0, 0xe3,
+       0x18, 0x10, 0x84, 0xe5, 0x10, 0x00, 0x84, 0xe5, 0x13, 0x40, 0xbd, 0xe8, 0x00, 0x10, 0xa0, 0xe1,
+       0x14, 0x00, 0x84, 0xe5, 0x0e, 0xf0, 0xa0, 0xe1, 0x10, 0x40, 0x2d, 0xe9, 0x03, 0x00, 0x2d, 0xe9,
+       0x61, 0x00, 0x00, 0xeb, 0x00, 0x40, 0xa0, 0xe1, 0x03, 0x00, 0xbd, 0xe8, 0x14, 0x20, 0x94, 0xe5,
+       0x1c, 0x30, 0x94, 0xe5, 0x00, 0xe0, 0x82, 0xe0, 0x03, 0x30, 0x4d, 0xe0, 0x03, 0x00, 0x5e, 0xe1,
+       0x00, 0x20, 0x81, 0xe5, 0x0a, 0x00, 0x00, 0x8a, 0x0e, 0x30, 0x93, 0xe0, 0x01, 0x1a, 0x8e, 0xe2,
+       0x07, 0x10, 0x81, 0xe2, 0x63, 0x30, 0xa0, 0xe1, 0x07, 0x30, 0xc3, 0xe3, 0x07, 0x10, 0xc1, 0xe3,
+       0x03, 0x00, 0x51, 0xe1, 0x03, 0x10, 0xa0, 0x81, 0x02, 0x00, 0x41, 0xe0, 0x14, 0x10, 0x84, 0xe5,
+       0x10, 0x80, 0xbd, 0xe8, 0x00, 0x20, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe1,
+       0x10, 0x80, 0xbd, 0xe8, 0xc0, 0x43, 0x2d, 0xe9, 0x3e, 0x00, 0x2d, 0xe9, 0x01, 0x50, 0xa0, 0xe1,
+       0x00, 0x40, 0xa0, 0xe1, 0xc3, 0x02, 0x00, 0xeb, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x40, 0x8d, 0xe5,
+       0x04, 0x50, 0x8d, 0xe5, 0x00, 0x00, 0xa0, 0xe1, 0x08, 0x00, 0x8d, 0xe5, 0x0d, 0x00, 0xa0, 0xe1,
+       0xb4, 0xf9, 0xff, 0xeb, 0x00, 0x40, 0xa0, 0xe1, 0x01, 0x50, 0xa0, 0xe1, 0x03, 0x00, 0x9d, 0xe8,
+       0x02, 0x60, 0xa0, 0xe1, 0x03, 0x70, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1,
+       0x00, 0x00, 0xa0, 0xe1, 0x00, 0x10, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe1,
+       0x00, 0x80, 0xa0, 0xe1, 0x30, 0x00, 0x00, 0xeb, 0x00, 0x90, 0xa0, 0xe1, 0x20, 0x80, 0x80, 0xe5,
+       0x00, 0x00, 0xa0, 0xe3, 0x00, 0x10, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe1, 0x01, 0x10, 0x80, 0xe2,
+       0x24, 0x10, 0x89, 0xe5, 0x00, 0x10, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe1,
+       0x28, 0x00, 0x89, 0xe5, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x10, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe1,
+       0x2c, 0x00, 0x89, 0xe5, 0x00, 0x00, 0xa0, 0xe3, 0x00, 0x10, 0xa0, 0xe3, 0x00, 0x00, 0xa0, 0xe1,
+       0x30, 0x00, 0x89, 0xe5, 0x00, 0x00, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1,
+       0x00, 0x00, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1,
+       0x00, 0x00, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1, 0x0c, 0xd0, 0x8d, 0xe2, 0x04, 0x00, 0xa0, 0xe1,
+       0x05, 0x10, 0xa0, 0xe1, 0x06, 0x20, 0xa0, 0xe1, 0x07, 0x30, 0xa0, 0xe1, 0xf0, 0x01, 0xbd, 0xe8,
+       0x00, 0x82, 0xbd, 0xe8, 0x00, 0x00, 0xa0, 0xe3, 0x10, 0x40, 0x2d, 0xe9, 0x00, 0x00, 0xa0, 0xe1,
+       0x00, 0x00, 0xa0, 0xe1, 0x00, 0x00, 0xa0, 0xe1, 0x10, 0x80, 0xbd, 0xe8, 0x0e, 0xf0, 0xa0, 0xe1,
+       0x00, 0x00, 0xe0, 0xe3, 0x02, 0x10, 0xe0, 0xe3, 0x0e, 0xf0, 0xa0, 0xe1, 0x10, 0x40, 0x2d, 0xe9,
+       0x0a, 0x00, 0x00, 0xeb, 0x10, 0x80, 0xbd, 0xe8, 0x0e, 0xf0, 0xa0, 0xe1, 0x00, 0x00, 0x9f, 0xe5,
+       0x0e, 0xf0, 0xa0, 0xe1, 0x1c, 0x3a, 0x08, 0x00, 0x10, 0x40, 0x2d, 0xe9, 0x08, 0x00, 0x00, 0xeb,
+       0x00, 0x00, 0x50, 0xe3, 0x10, 0x80, 0xbd, 0x08, 0x10, 0x40, 0xbd, 0xe8, 0x25, 0xfc, 0xff, 0xea,
+       0x0e, 0xf0, 0xa0, 0xe1, 0x10, 0x40, 0x2d, 0xe9, 0xf3, 0xff, 0xff, 0xeb, 0x04, 0x00, 0x80, 0xe2,
+       0x10, 0x80, 0xbd, 0xe8, 0x70, 0x40, 0x2d, 0xe9, 0x01, 0x50, 0xa0, 0xe1, 0x00, 0x10, 0xa0, 0xe1,
+       0x00, 0x40, 0xa0, 0xe1, 0x00, 0x00, 0xe0, 0xe3, 0x00, 0x00, 0xa0, 0xe1, 0x01, 0x00, 0x70, 0xe3,
+       0x00, 0x20, 0xa0, 0xe1, 0x04, 0x00, 0xa0, 0x01, 0x05, 0x10, 0xa0, 0x01, 0x70, 0x40, 0xbd, 0x08,
+       0x06, 0x00, 0x00, 0x0a, 0x03, 0x00, 0x72, 0xe3, 0x05, 0x10, 0xa0, 0x11, 0x04, 0x00, 0xa0, 0x11,
+       0x0f, 0xe0, 0xa0, 0x11, 0x02, 0xf0, 0xa0, 0x11, 0x00, 0x00, 0xa0, 0xe3, 0x70, 0x80, 0xbd, 0xe8,
+       0x01, 0x20, 0x40, 0xe2, 0x70, 0x40, 0x2d, 0xe9, 0x0e, 0x00, 0x52, 0xe3, 0xc0, 0x50, 0x8f, 0x22,
+       0xb8, 0x40, 0x8f, 0xe2, 0x1c, 0x00, 0x00, 0x2a, 0x17, 0x20, 0xa0, 0xe3, 0xc0, 0x30, 0x9f, 0xe5,
+       0x90, 0x02, 0x02, 0xe0, 0x03, 0x30, 0x8f, 0xe0, 0x02, 0x00, 0x50, 0xe3, 0x03, 0x20, 0x82, 0xe0,
+       0x17, 0x50, 0x42, 0xe2, 0x0e, 0x00, 0x00, 0x1a, 0x01, 0x03, 0x11, 0xe3, 0xa4, 0x40, 0x8f, 0x12,
+       0x11, 0x00, 0x00, 0x1a, 0x82, 0x03, 0x11, 0xe3, 0xac, 0x40, 0x8f, 0x12, 0x0e, 0x00, 0x00, 0x1a,
+       0x01, 0x02, 0x11, 0xe3, 0xb0, 0x40, 0x8f, 0x12, 0x0b, 0x00, 0x00, 0x1a, 0x02, 0x02, 0x11, 0xe3,
+       0xb0, 0x40, 0x8f, 0x12, 0x08, 0x00, 0x00, 0x1a, 0x01, 0x01, 0x11, 0xe3, 0xb0, 0x40, 0x8f, 0x12,
+       0x05, 0x00, 0x00, 0xea, 0x08, 0x00, 0x50, 0xe3, 0x01, 0x40, 0xa0, 0x01, 0x02, 0x00, 0x00, 0x0a,
+       0x09, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x51, 0x03, 0xa4, 0x50, 0x8f, 0x02, 0x0a, 0x00, 0xa0, 0xe3,
+       0x00, 0x00, 0x00, 0xea, 0x01, 0x50, 0x85, 0xe2, 0xe8, 0xfb, 0xff, 0xeb, 0x00, 0x00, 0xd5, 0xe5,
+       0x00, 0x00, 0x50, 0xe3, 0xfa, 0xff, 0xff, 0x1a, 0x01, 0x00, 0x00, 0xea, 0x01, 0x40, 0x84, 0xe2,
+       0xe2, 0xfb, 0xff, 0xeb, 0x00, 0x00, 0xd4, 0xe5, 0x00, 0x00, 0x50, 0xe3, 0xfa, 0xff, 0xff, 0x1a,
+       0x0a, 0x00, 0xa0, 0xe3, 0xdd, 0xfb, 0xff, 0xeb, 0x01, 0x00, 0xa0, 0xe3, 0x70, 0x80, 0xbd, 0xe8,
+       0x00, 0x00, 0x00, 0x00, 0x55, 0x6e, 0x6b, 0x6e, 0x6f, 0x77, 0x6e, 0x20, 0x73, 0x69, 0x67, 0x6e,
+       0x61, 0x6c, 0x00, 0x00, 0x60, 0x09, 0x00, 0x00, 0x49, 0x6e, 0x76, 0x61, 0x6c, 0x69, 0x64, 0x20,
+       0x4f, 0x70, 0x65, 0x72, 0x61, 0x74, 0x69, 0x6f, 0x6e, 0x00, 0x00, 0x00, 0x44, 0x69, 0x76, 0x69,
+       0x64, 0x65, 0x20, 0x42, 0x79, 0x20, 0x5a, 0x65, 0x72, 0x6f, 0x00, 0x00, 0x4f, 0x76, 0x65, 0x72,
+       0x66, 0x6c, 0x6f, 0x77, 0x00, 0x00, 0x00, 0x00, 0x55, 0x6e, 0x64, 0x65, 0x72, 0x66, 0x6c, 0x6f,
+       0x77, 0x00, 0x00, 0x00, 0x49, 0x6e, 0x65, 0x78, 0x61, 0x63, 0x74, 0x20, 0x52, 0x65, 0x73, 0x75,
+       0x6c, 0x74, 0x00, 0x00, 0x3a, 0x20, 0x48, 0x65, 0x61, 0x70, 0x20, 0x6d, 0x65, 0x6d, 0x6f, 0x72,
+       0x79, 0x20, 0x63, 0x6f, 0x72, 0x72, 0x75, 0x70, 0x74, 0x65, 0x64, 0x00, 0x20, 0xc2, 0x71, 0xe0,
+       0x00, 0x20, 0xa0, 0xe3, 0x7b, 0xfe, 0xff, 0x3a, 0x20, 0xc4, 0x71, 0xe0, 0x6d, 0xfe, 0xff, 0x3a,
+       0x00, 0x30, 0xa0, 0xe3, 0x8c, 0xfe, 0xff, 0xea, 0x00, 0x30, 0xa0, 0xe3, 0x02, 0x00, 0x00, 0xea,
+       0x00, 0xc0, 0xa0, 0xe3, 0x03, 0xc0, 0xc0, 0xe7, 0x01, 0x30, 0x83, 0xe2, 0x01, 0x00, 0x53, 0xe1,
+       0xfa, 0xff, 0xff, 0x3a, 0x00, 0x20, 0xa0, 0xe1, 0x00, 0xf0, 0x20, 0xe3, 0x30, 0xc0, 0x9f, 0xe5,
+       0x6c, 0xc0, 0x9c, 0xe5, 0x00, 0xc0, 0x82, 0xe5, 0x02, 0x00, 0xa0, 0xe1, 0x04, 0x20, 0x82, 0xe2,
+       0x00, 0xf0, 0x20, 0xe3, 0x18, 0xc0, 0x9f, 0xe5, 0x70, 0xc0, 0x9c, 0xe5, 0x04, 0xc0, 0x82, 0xe4,
+       0x00, 0xf0, 0x20, 0xe3, 0x08, 0xc0, 0x9f, 0xe5, 0x74, 0xc0, 0x9c, 0xe5, 0x04, 0xc0, 0x82, 0xe4,
+       0x1e, 0xff, 0x2f, 0xe1, 0x00, 0x00, 0x90, 0xe4, 0x70, 0x40, 0x2d, 0xe9, 0x00, 0x40, 0xa0, 0xe1,
+       0x01, 0x50, 0xa0, 0xe1, 0x05, 0x10, 0xa0, 0xe1, 0x04, 0x00, 0xa0, 0xe1, 0xe1, 0xff, 0xff, 0xeb,
+       0x70, 0x80, 0xbd, 0xe8, 0x10, 0x40, 0x2d, 0xe9, 0x00, 0xf0, 0x20, 0xe3, 0xc0, 0x05, 0x9f, 0xe5,
+       0xc0, 0x15, 0x9f, 0xe5, 0x60, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x70, 0x00, 0x90, 0xe5, 0x07, 0x04, 0xc0, 0xe3, 0x03, 0x10, 0xa0, 0xe3, 0x07, 0x24, 0xa0, 0xe3,
+       0x01, 0x1c, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x98, 0x15, 0x9f, 0xe5, 0x70, 0x00, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x70, 0x00, 0x90, 0xe5, 0x01, 0x09, 0xc0, 0xe3,
+       0x00, 0x10, 0xa0, 0xe3, 0x01, 0x29, 0xa0, 0xe3, 0x01, 0x17, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0x70, 0x15, 0x9f, 0xe5, 0x70, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x70, 0x00, 0x90, 0xe5, 0x02, 0x09, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3, 0x02, 0x29, 0xa0, 0xe3,
+       0x81, 0x17, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x48, 0x15, 0x9f, 0xe5, 0x70, 0x00, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x68, 0x00, 0x90, 0xe5, 0x02, 0x0a, 0xc0, 0xe3,
+       0x00, 0x10, 0xa0, 0xe3, 0x02, 0x2a, 0xa0, 0xe3, 0x81, 0x16, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0x20, 0x15, 0x9f, 0xe5, 0x68, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x68, 0x00, 0x90, 0xe5, 0x01, 0x0a, 0xc0, 0xe3, 0x00, 0x10, 0xa0, 0xe3, 0x01, 0x2a, 0xa0, 0xe3,
+       0x01, 0x16, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0xf8, 0x14, 0x9f, 0xe5, 0x68, 0x00, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x68, 0x00, 0x90, 0xe5, 0x01, 0x0b, 0xc0, 0xe3,
+       0x01, 0x10, 0xa0, 0xe3, 0x01, 0x2b, 0xa0, 0xe3, 0x01, 0x15, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0xd0, 0x14, 0x9f, 0xe5, 0x68, 0x00, 0x81, 0xe5, 0x00, 0x40, 0xa0, 0xe3, 0x00, 0x00, 0x00, 0xea,
+       0x01, 0x40, 0x84, 0xe2, 0x06, 0xc9, 0x54, 0xe2, 0x6a, 0xce, 0x5c, 0x22, 0xfb, 0xff, 0xff, 0x3a,
+       0x00, 0xf0, 0x20, 0xe3, 0xb0, 0x04, 0x9f, 0xe5, 0x00, 0x00, 0x90, 0xe5, 0x03, 0x10, 0xc0, 0xe3,
+       0x02, 0x00, 0x81, 0xe3, 0xa0, 0x14, 0x9f, 0xe5, 0x00, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x81, 0xe2, 0x00, 0x00, 0x90, 0xe5, 0x0c, 0x00, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3,
+       0x0c, 0x20, 0xa0, 0xe3, 0x01, 0x11, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x78, 0x14, 0x9f, 0xe5,
+       0x00, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x00, 0x00, 0x90, 0xe5,
+       0x10, 0x00, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3, 0x10, 0x20, 0xa0, 0xe3, 0x01, 0x12, 0x02, 0xe0,
+       0x01, 0x00, 0x80, 0xe1, 0x50, 0x14, 0x9f, 0xe5, 0x00, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0xf0, 0x20, 0xe3, 0x40, 0x04, 0x9f, 0xe5, 0x04, 0x00, 0x90, 0xe5, 0x00, 0x0f, 0xa0, 0xe1,
+       0xa0, 0x0f, 0xa0, 0xe1, 0x01, 0x00, 0x50, 0xe3, 0xf8, 0xff, 0xff, 0x1a, 0x00, 0xf0, 0x20, 0xe3,
+       0x28, 0x04, 0x9f, 0xe5, 0x1c, 0x14, 0x9f, 0xe5, 0x20, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x28, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x11, 0x00, 0xa0, 0xe3, 0x24, 0x00, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x2c, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x3f, 0x0c, 0xa0, 0xe3,
+       0x54, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0xf4, 0x03, 0x9f, 0xe5, 0x64, 0x00, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0xec, 0x03, 0x9f, 0xe5, 0x68, 0x00, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0xe4, 0x03, 0x9f, 0xe5, 0x0c, 0x00, 0x81, 0xe5, 0x4c, 0xfa, 0xff, 0xeb, 0x10, 0x80, 0xbd, 0xe8,
+       0x00, 0xf0, 0x20, 0xe3, 0x01, 0x0c, 0xa0, 0xe3, 0xd0, 0x13, 0x9f, 0xe5, 0x04, 0x00, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0xf0, 0x20, 0xe3, 0xc0, 0x03, 0x9f, 0xe5, 0x04, 0x00, 0x90, 0xe5,
+       0x00, 0x0f, 0xa0, 0xe1, 0xa0, 0x0f, 0xa0, 0xe1, 0x00, 0x00, 0x50, 0xe3, 0xf8, 0xff, 0xff, 0x1a,
+       0x00, 0xf0, 0x20, 0xe3, 0xa4, 0x03, 0x9f, 0xe5, 0x60, 0x03, 0x90, 0xe5, 0x07, 0x00, 0x80, 0xe3,
+       0x98, 0x13, 0x9f, 0xe5, 0x60, 0x03, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x60, 0x03, 0x90, 0xe5, 0x07, 0x0c, 0xc0, 0xe3, 0x07, 0x10, 0xa0, 0xe3, 0x07, 0x2c, 0xa0, 0xe3,
+       0x01, 0x14, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x70, 0x13, 0x9f, 0xe5, 0x60, 0x03, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x60, 0x03, 0x90, 0xe5, 0x07, 0x08, 0xc0, 0xe3,
+       0x07, 0x10, 0xa0, 0xe3, 0x07, 0x28, 0xa0, 0xe3, 0x01, 0x18, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0x48, 0x13, 0x9f, 0xe5, 0x60, 0x03, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x64, 0x03, 0x90, 0xe5, 0x07, 0x10, 0xc0, 0xe3, 0x01, 0x00, 0x81, 0xe3, 0x2c, 0x13, 0x9f, 0xe5,
+       0x64, 0x03, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x64, 0x03, 0x90, 0xe5,
+       0x07, 0x0c, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3, 0x07, 0x2c, 0xa0, 0xe3, 0x01, 0x14, 0x02, 0xe0,
+       0x01, 0x00, 0x80, 0xe1, 0x04, 0x13, 0x9f, 0xe5, 0x64, 0x03, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x81, 0xe2, 0x64, 0x03, 0x90, 0xe5, 0x07, 0x08, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3,
+       0x07, 0x28, 0xa0, 0xe3, 0x01, 0x18, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0xdc, 0x12, 0x9f, 0xe5,
+       0x64, 0x03, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x68, 0x03, 0x90, 0xe5,
+       0x07, 0x10, 0xc0, 0xe3, 0x03, 0x00, 0x81, 0xe3, 0xc0, 0x12, 0x9f, 0xe5, 0x68, 0x03, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x68, 0x03, 0x90, 0xe5, 0x07, 0x0c, 0xc0, 0xe3,
+       0x03, 0x10, 0xa0, 0xe3, 0x07, 0x2c, 0xa0, 0xe3, 0x01, 0x14, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0x98, 0x12, 0x9f, 0xe5, 0x68, 0x03, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x68, 0x03, 0x90, 0xe5, 0x07, 0x08, 0xc0, 0xe3, 0x03, 0x10, 0xa0, 0xe3, 0x07, 0x28, 0xa0, 0xe3,
+       0x01, 0x18, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x70, 0x12, 0x9f, 0xe5, 0x68, 0x03, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x38, 0x02, 0x90, 0xe5, 0x01, 0x08, 0xc0, 0xe3,
+       0x00, 0x10, 0xa0, 0xe3, 0x01, 0x28, 0xa0, 0xe3, 0x01, 0x18, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0x48, 0x12, 0x9f, 0xe5, 0x38, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x20, 0x02, 0x90, 0xe5, 0x07, 0x10, 0xc0, 0xe3, 0x02, 0x00, 0x81, 0xe3, 0x2c, 0x12, 0x9f, 0xe5,
+       0x20, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x20, 0x02, 0x90, 0xe5,
+       0x78, 0x00, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3, 0x78, 0x20, 0xa0, 0xe3, 0x81, 0x11, 0x02, 0xe0,
+       0x01, 0x00, 0x80, 0xe1, 0x04, 0x12, 0x9f, 0xe5, 0x20, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x81, 0xe2, 0x10, 0x02, 0x90, 0xe5, 0x02, 0x00, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3,
+       0x02, 0x20, 0xa0, 0xe3, 0x81, 0x10, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0xdc, 0x11, 0x9f, 0xe5,
+       0x10, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x10, 0x02, 0x90, 0xe5,
+       0x02, 0x0c, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3, 0x02, 0x2c, 0xa0, 0xe3, 0x81, 0x14, 0x02, 0xe0,
+       0x01, 0x00, 0x80, 0xe1, 0xb4, 0x11, 0x9f, 0xe5, 0x10, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x81, 0xe2, 0x44, 0x02, 0x90, 0xe5, 0xff, 0x10, 0xc0, 0xe3, 0x20, 0x00, 0x81, 0xe3,
+       0x98, 0x11, 0x9f, 0xe5, 0x44, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x44, 0x02, 0x90, 0xe5, 0xff, 0x0c, 0xc0, 0xe3, 0x20, 0x10, 0xa0, 0xe3, 0xff, 0x2c, 0xa0, 0xe3,
+       0x01, 0x14, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x70, 0x11, 0x9f, 0xe5, 0x44, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x44, 0x02, 0x90, 0xe5, 0xff, 0x08, 0xc0, 0xe3,
+       0x20, 0x10, 0xa0, 0xe3, 0xff, 0x28, 0xa0, 0xe3, 0x01, 0x18, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1,
+       0x48, 0x11, 0x9f, 0xe5, 0x44, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2,
+       0x78, 0x02, 0x90, 0xe5, 0xff, 0x08, 0xc0, 0xe3, 0x10, 0x10, 0xa0, 0xe3, 0x01, 0x18, 0x02, 0xe0,
+       0x01, 0x00, 0x80, 0xe1, 0x24, 0x11, 0x9f, 0xe5, 0x78, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x01, 0x00, 0xa0, 0xe3, 0x80, 0x0a, 0xa0, 0xe1, 0xdc, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x81, 0xe2, 0x04, 0x02, 0x90, 0xe5, 0x02, 0x0b, 0xc0, 0xe3, 0x01, 0x10, 0xa0, 0xe3,
+       0x02, 0x2b, 0xa0, 0xe3, 0x81, 0x15, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0xec, 0x10, 0x9f, 0xe5,
+       0x04, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x54, 0x02, 0x90, 0xe5,
+       0xff, 0x08, 0xc0, 0xe3, 0x08, 0x10, 0xa0, 0xe3, 0xff, 0x28, 0xa0, 0xe3, 0x01, 0x18, 0x02, 0xe0,
+       0x01, 0x00, 0x80, 0xe1, 0xc4, 0x10, 0x9f, 0xe5, 0x54, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3,
+       0x00, 0x00, 0x81, 0xe2, 0x54, 0x02, 0x90, 0xe5, 0xff, 0x0c, 0xc0, 0xe3, 0x08, 0x10, 0xa0, 0xe3,
+       0xff, 0x2c, 0xa0, 0xe3, 0x01, 0x14, 0x02, 0xe0, 0x01, 0x00, 0x80, 0xe1, 0x9c, 0x10, 0x9f, 0xe5,
+       0x54, 0x02, 0x81, 0xe5, 0x00, 0xf0, 0x20, 0xe3, 0x00, 0x00, 0x81, 0xe2, 0x54, 0x02, 0x90, 0xe5,
+       0xff, 0x10, 0xc0, 0xe3, 0x08, 0x00, 0x81, 0xe3, 0x80, 0x10, 0x9f, 0xe5, 0x54, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x01, 0x00, 0xa0, 0xe3, 0x00, 0x06, 0xa0, 0xe1, 0xf8, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x01, 0x00, 0xa0, 0xe3, 0x80, 0x06, 0xa0, 0xe1, 0xf8, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x01, 0x00, 0xa0, 0xe3, 0x00, 0x07, 0xa0, 0xe1, 0xf8, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x01, 0x00, 0xa0, 0xe3, 0x00, 0x06, 0xa0, 0xe1, 0xdc, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x01, 0x00, 0xa0, 0xe3, 0x00, 0x04, 0xa0, 0xe1, 0xdc, 0x02, 0x81, 0xe5,
+       0x00, 0xf0, 0x20, 0xe3, 0x01, 0x00, 0xa0, 0xe3, 0x00, 0x02, 0xa0, 0xe1, 0xe4, 0x02, 0x81, 0xe5,
+       0x1e, 0xff, 0x2f, 0xe1, 0x15, 0xf8, 0x41, 0x83, 0x00, 0x00, 0x00, 0xe8, 0xb4, 0x03, 0xd0, 0xe4,
+       0x01, 0x00, 0x30, 0x84, 0x33, 0x00, 0x20, 0x80, 0x23, 0x01, 0x14, 0x00, 0x41, 0xf0, 0x00, 0x00,
+       0x00, 0x00, 0xd0, 0xe4, 0x10, 0x40, 0x2d, 0xe9, 0x29, 0xf7, 0xff, 0xeb, 0x10, 0x80, 0xbd, 0xe8,
+       0x1e, 0xff, 0x2f, 0xe1, 0x50, 0xd0, 0x4d, 0xe2, 0xff, 0x00, 0x8d, 0xe8, 0x00, 0x50, 0x4f, 0xe1,
+       0x08, 0xe0, 0x4e, 0xe2, 0x4c, 0xe0, 0x8d, 0xe5, 0x20, 0x00, 0x8d, 0xe2, 0x0f, 0x10, 0x15, 0xe2,
+       0x00, 0x7f, 0xc0, 0x08, 0x1c, 0x00, 0x80, 0x02, 0x00, 0x40, 0xa0, 0x08, 0x06, 0x00, 0x00, 0x0a,
+       0x00, 0x20, 0x0f, 0xe1, 0x0f, 0x30, 0xc2, 0xe3, 0x01, 0x10, 0x83, 0xe1, 0x01, 0xf0, 0x2f, 0xe1,
+       0x00, 0x7f, 0xa0, 0xe8, 0x02, 0xf0, 0x2f, 0xe1, 0x00, 0x40, 0xa0, 0xe8, 0x10, 0x6f, 0x16, 0xee,
+       0x10, 0x7f, 0x15, 0xee, 0xe0, 0x00, 0x80, 0xe8, 0x0c, 0x30, 0x40, 0xe2, 0x00, 0x10, 0x93, 0xe5,
+       0x0d, 0x00, 0xa0, 0xe1, 0x14, 0x21, 0x9f, 0xe5, 0x14, 0x81, 0x9f, 0xe5, 0xb0, 0x80, 0xd8, 0xe1,
+       0x10, 0x91, 0x9f, 0xe5, 0x09, 0x00, 0x58, 0xe1, 0x00, 0x00, 0x00, 0x0a, 0x08, 0x21, 0x9f, 0xe5,
+       0xff, 0xfa, 0xff, 0xeb, 0xff, 0x1f, 0xbd, 0xe8, 0x18, 0xd0, 0x8d, 0xe2, 0x00, 0x80, 0xfd, 0xe8,
+       0x50, 0xd0, 0x4d, 0xe2, 0xff, 0x00, 0x8d, 0xe8, 0x00, 0x50, 0x4f, 0xe1, 0x04, 0xe0, 0x4e, 0xe2,
+       0x4c, 0xe0, 0x8d, 0xe5, 0x20, 0x00, 0x8d, 0xe2, 0x0f, 0x10, 0x15, 0xe2, 0x00, 0x7f, 0xc0, 0x08,
+       0x1c, 0x00, 0x80, 0x02, 0x00, 0x40, 0xa0, 0x08, 0x06, 0x00, 0x00, 0x0a, 0x00, 0x20, 0x0f, 0xe1,
+       0x0f, 0x30, 0xc2, 0xe3, 0x01, 0x10, 0x83, 0xe1, 0x01, 0xf0, 0x2f, 0xe1, 0x00, 0x7f, 0xa0, 0xe8,
+       0x02, 0xf0, 0x2f, 0xe1, 0x00, 0x40, 0xa0, 0xe8, 0x10, 0x6f, 0x16, 0xee, 0x10, 0x7f, 0x15, 0xee,
+       0xe0, 0x00, 0x80, 0xe8, 0x0c, 0x30, 0x40, 0xe2, 0x00, 0x10, 0x93, 0xe5, 0x0d, 0x00, 0xa0, 0xe1,
+       0x98, 0x20, 0x9f, 0xe5, 0xe2, 0xfa, 0xff, 0xeb, 0xff, 0x1f, 0xbd, 0xe8, 0x18, 0xd0, 0x8d, 0xe2,
+       0x00, 0x80, 0xfd, 0xe8, 0x50, 0xd0, 0x4d, 0xe2, 0xff, 0x00, 0x8d, 0xe8, 0x00, 0x50, 0x4f, 0xe1,
+       0x04, 0xe0, 0x4e, 0xe2, 0x4c, 0xe0, 0x8d, 0xe5, 0x20, 0x00, 0x8d, 0xe2, 0x0f, 0x10, 0x15, 0xe2,
+       0x00, 0x7f, 0xc0, 0x08, 0x1c, 0x00, 0x80, 0x02, 0x00, 0x40, 0xa0, 0x08, 0x06, 0x00, 0x00, 0x0a,
+       0x00, 0x20, 0x0f, 0xe1, 0x0f, 0x30, 0xc2, 0xe3, 0x01, 0x10, 0x83, 0xe1, 0x01, 0xf0, 0x2f, 0xe1,
+       0x00, 0x7f, 0xa0, 0xe8, 0x02, 0xf0, 0x2f, 0xe1, 0x00, 0x40, 0xa0, 0xe8, 0x10, 0x6f, 0x16, 0xee,
+       0x30, 0x7f, 0x15, 0xee, 0xe0, 0x00, 0x80, 0xe8, 0x0c, 0x30, 0x40, 0xe2, 0x00, 0x10, 0x93, 0xe5,
+       0x0d, 0x00, 0xa0, 0xe1, 0x28, 0x20, 0x9f, 0xe5, 0xc5, 0xfa, 0xff, 0xeb, 0xff, 0x1f, 0xbd, 0xe8,
+       0x18, 0xd0, 0x8d, 0xe2, 0x00, 0x80, 0xfd, 0xe8, 0x70, 0x00, 0x20, 0xe1, 0x1e, 0xff, 0x2f, 0xe1,
+       0xaa, 0xaa, 0x00, 0x00, 0x38, 0x39, 0x08, 0x00, 0xff, 0xff, 0x00, 0x00, 0xdd, 0xdd, 0x00, 0x00,
+       0xcc, 0xcc, 0x00, 0x00, 0xbb, 0xbb, 0x00, 0x00, 0x10, 0x40, 0x2d, 0xe9, 0x88, 0xfd, 0xff, 0xeb,
+       0x00, 0x10, 0xa0, 0xe3, 0x00, 0x10, 0x80, 0xe5, 0x10, 0x80, 0xbd, 0xe8, 0x41, 0x62, 0x6e, 0x6f,
+       0x72, 0x6d, 0x61, 0x6c, 0x20, 0x74, 0x65, 0x72, 0x6d, 0x69, 0x6e, 0x61, 0x74, 0x69, 0x6f, 0x6e,
+       0x00, 0x00, 0x00, 0x41, 0x72, 0x69, 0x74, 0x68, 0x6d, 0x65, 0x74, 0x69, 0x63, 0x20, 0x65, 0x78,
+       0x63, 0x65, 0x70, 0x74, 0x69, 0x6f, 0x6e, 0x3a, 0x20, 0x00, 0x49, 0x6c, 0x6c, 0x65, 0x67, 0x61,
+       0x6c, 0x20, 0x69, 0x6e, 0x73, 0x74, 0x72, 0x75, 0x63, 0x74, 0x69, 0x6f, 0x6e, 0x00, 0x00, 0x00,
+       0x00, 0x49, 0x6e, 0x74, 0x65, 0x72, 0x72, 0x75, 0x70, 0x74, 0x20, 0x72, 0x65, 0x63, 0x65, 0x69,
+       0x76, 0x65, 0x64, 0x00, 0x00, 0x00, 0x00, 0x00, 0x49, 0x6c, 0x6c, 0x65, 0x67, 0x61, 0x6c, 0x20,
+       0x61, 0x64, 0x64, 0x72, 0x65, 0x73, 0x73, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54,
+       0x65, 0x72, 0x6d, 0x69, 0x6e, 0x61, 0x74, 0x69, 0x6f, 0x6e, 0x20, 0x72, 0x65, 0x71, 0x75, 0x65,
+       0x73, 0x74, 0x00, 0x00, 0x00, 0x00, 0x53, 0x74, 0x61, 0x63, 0x6b, 0x20, 0x6f, 0x76, 0x65, 0x72,
+       0x66, 0x6c, 0x6f, 0x77, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x52, 0x65, 0x64,
+       0x69, 0x72, 0x65, 0x63, 0x74, 0x3a, 0x20, 0x63, 0x61, 0x6e, 0x27, 0x74, 0x20, 0x6f, 0x70, 0x65,
+       0x6e, 0x3a, 0x20, 0x00, 0x4f, 0x75, 0x74, 0x20, 0x6f, 0x66, 0x20, 0x68, 0x65, 0x61, 0x70, 0x20,
+       0x6d, 0x65, 0x6d, 0x6f, 0x72, 0x79, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x73, 0x65, 0x72, 0x2d,
+       0x64, 0x65, 0x66, 0x69, 0x6e, 0x65, 0x64, 0x20, 0x73, 0x69, 0x67, 0x6e, 0x61, 0x6c, 0x20, 0x31,
+       0x00, 0x00, 0x55, 0x73, 0x65, 0x72, 0x2d, 0x64, 0x65, 0x66, 0x69, 0x6e, 0x65, 0x64, 0x20, 0x73,
+       0x69, 0x67, 0x6e, 0x61, 0x6c, 0x20, 0x32, 0x00, 0x00, 0x50, 0x75, 0x72, 0x65, 0x20, 0x76, 0x69,
+       0x72, 0x74, 0x75, 0x61, 0x6c, 0x20, 0x66, 0x6e, 0x20, 0x63, 0x61, 0x6c, 0x6c, 0x65, 0x64, 0x00,
+       0x43, 0x2b, 0x2b, 0x20, 0x6c, 0x69, 0x62, 0x72, 0x61, 0x72, 0x79, 0x20, 0x65, 0x78, 0x63, 0x65,
+       0x70, 0x74, 0x69, 0x6f, 0x6e, 0x00, 0x00, 0x4f, 0x75, 0x74, 0x20, 0x6f, 0x66, 0x20, 0x68, 0x65,
+       0x61, 0x70, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x02, 0x00, 0x00, 0x00, 0x00, 0x60, 0x00, 0x00, 0x00, 0x02,
+       0x00, 0x20, 0xff, 0xff, 0x00, 0x20, 0x00, 0x00, 0xff, 0xff, 0x00, 0x00, 0x18, 0xf0, 0x9f, 0xe5,
+       0x18, 0xf0, 0x9f, 0xe5, 0x18, 0xf0, 0x9f, 0xe5, 0x18, 0xf0, 0x9f, 0xe5, 0x18, 0xf0, 0x9f, 0xe5,
+       0x18, 0xf0, 0x9f, 0xe5, 0x18, 0xf0, 0x9f, 0xe5, 0x18, 0xf0, 0x9f, 0xe5, 0x68, 0x12, 0x08, 0x00,
+       0x40, 0x00, 0x00, 0x00, 0x4c, 0x00, 0x00, 0x00, 0x50, 0x00, 0x00, 0x00, 0x60, 0x00, 0x00, 0x00,
+       0x70, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0x70, 0x00, 0x00, 0x00, 0xff, 0x40, 0x2d, 0xe9,
+       0xfe, 0xff, 0xff, 0xea, 0xff, 0x80, 0xfd, 0xe8, 0xfe, 0xff, 0xff, 0xea, 0x04, 0xe0, 0x4e, 0xe2,
+       0xff, 0x40, 0x2d, 0xe9, 0xfe, 0xff, 0xff, 0xea, 0xff, 0x80, 0xfd, 0xe8, 0x04, 0xe0, 0x4e, 0xe2,
+       0xff, 0x40, 0x2d, 0xe9, 0xfe, 0xff, 0xff, 0xea, 0xff, 0x80, 0xfd, 0xe8, 0xfe, 0xff, 0xff, 0xea,
+       0x04, 0xe0, 0x4e, 0xe2, 0x00, 0x40, 0x2d, 0xe9, 0x00, 0xe0, 0x4f, 0xe1, 0x1f, 0x40, 0x2d, 0xe9,
+       0x00, 0x00, 0x0f, 0xe1, 0x00, 0x20, 0xa0, 0xe1, 0xe0, 0x00, 0x00, 0xe2, 0x13, 0x00, 0x80, 0xe3,
+       0x00, 0xf0, 0x21, 0xe1, 0x04, 0x00, 0x2d, 0xe9, 0x8c, 0x30, 0x9f, 0xe5, 0x00, 0x40, 0x93, 0xe5,
+       0xff, 0x40, 0x04, 0xe2, 0x84, 0x30, 0x9f, 0xe5, 0x00, 0x00, 0x93, 0xe5, 0x00, 0x00, 0x10, 0xe1,
+       0x13, 0x00, 0x00, 0x0a, 0x78, 0x30, 0x9f, 0xe5, 0x00, 0x20, 0x93, 0xe5, 0x04, 0x00, 0x2d, 0xe9,
+       0x0f, 0x00, 0x50, 0xe3, 0x01, 0x00, 0x80, 0x12, 0x00, 0x00, 0x83, 0xe5, 0xff, 0x5f, 0x2d, 0xe9,
+       0x60, 0x20, 0x9f, 0xe5, 0x84, 0x11, 0xa0, 0xe1, 0x01, 0x20, 0x82, 0xe0, 0x01, 0x40, 0x2d, 0xe9,
+       0x04, 0x00, 0xa0, 0xe1, 0x0f, 0xe0, 0xa0, 0xe1, 0x04, 0xe0, 0x8e, 0xe2, 0x00, 0xf0, 0x92, 0xe5,
+       0x01, 0x40, 0xbd, 0xe8, 0xff, 0x5f, 0xbd, 0xe8, 0x04, 0x00, 0xbd, 0xe8, 0x30, 0x30, 0x9f, 0xe5,
+       0x00, 0x20, 0x83, 0xe5, 0x04, 0x00, 0xbd, 0xe8, 0x80, 0x20, 0x82, 0xe3, 0x02, 0xf0, 0x21, 0xe1,
+       0x01, 0x10, 0xa0, 0xe3, 0x20, 0x00, 0x9f, 0xe5, 0x00, 0x10, 0x80, 0xe5, 0x1f, 0x40, 0xbd, 0xe8,
+       0x0e, 0xf0, 0x69, 0xe1, 0x00, 0x80, 0xfd, 0xe8, 0xfe, 0xff, 0xff, 0xea, 0x84, 0x04, 0x00, 0xe0,
+       0x88, 0x04, 0x00, 0xe0, 0x70, 0x04, 0x00, 0xe0, 0x00, 0x30, 0xff, 0xff, 0x14, 0x00, 0x80, 0xf2,
+       0x01, 0xff, 0x01, 0xff, 0x01, 0xff, 0x01, 0xff, 0x01, 0x2e, 0x82, 0x01, 0x82, 0x01, 0x82, 0x01,
+       0x82, 0x01, 0x82, 0x01, 0x02, 0xff, 0x01, 0x01, 0xed, 0x00, 0x00, 0x00
+};
\ No newline at end of file
diff --git a/board/samsung/f1_c110/psi_ram.hex b/board/samsung/f1_c110/psi_ram.hex
new file mode 100644 (file)
index 0000000..c6e66f8
--- /dev/null
@@ -0,0 +1,938 @@
+0000000    0d87    ea00    696c    5548    a001    fdfe    0007    0001
+0000010    ff00    0000    0210    0000    0200    0000    0204    0000
+0000020    ffff    ffff    ffff    ffff    fe00    0000    ffff    ffff
+0000030    0000    0008    ffff    fff7    0200    0008    4a43    544b
+0000040    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000050    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000060    0000    0000    0000    0000    0000    0000    0000    0000
+0000070    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000080    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000090    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00000a0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00000b0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00000c0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00000d0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00000e0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00000f0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000100    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000110    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000120    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000130    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000140    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000150    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000160    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000170    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000180    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+0000190    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00001a0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00001b0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00001c0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00001d0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00001e0    ffff    ffff    ffff    ffff    ffff    ffff    ffff    ffff
+00001f0    ffff    ffff    ffff    ffff    ffff    ffff    dabe    02fe
+0000200    040b    ea00    0d06    ea00    0000    0000    0000    0000
+0000210    0000    0000    0000    0000    0000    0000    0000    0000
+0000220    0000    0000    0000    0000    0000    0000    0000    0000
+0000230    0000    0000    0000    0000    0000    0000    0000    0000
+0000240    0000    0000    0000    0000    0000    0000    0000    0000
+0000250    0000    0000    0000    0000    0000    0000    0000    0000
+0000260    0000    0000    0000    0000    0000    0000    0000    0000
+0000270    0000    0000    0000    0000    0000    0000    0000    0000
+0000280    0000    0000    0000    0000    0000    0000    0000    0000
+0000290    0000    0000    0000    0000    0000    0000    0000    0000
+00002a0    0000    0000    0000    0000    0000    0000    0000    0000
+00002b0    0000    0000    0000    0000    0000    0000    0000    0000
+00002c0    0000    0000    0000    0000    0000    0000    0000    0000
+00002d0    0000    0000    0000    0000    0000    0000    0000    0000
+00002e0    0000    0000    0000    0000    0000    0000    0000    0000
+00002f0    0000    0000    0000    0000    0000    0000    0000    0000
+0000300    0000    0000    0000    0000    0000    0000    0000    0000
+0000310    0000    0000    0000    0000    0000    0000    0000    0000
+0000320    0000    0000    0000    0000    0000    0000    0000    0000
+0000330    0000    0000    0000    0000    0000    0000    0000    0000
+0000340    0000    0000    0000    0000    0000    0000    0000    0000
+0000350    0000    0000    0000    0000    0000    0000    0000    0000
+0000360    0000    0000    0000    0000    0000    0000    0000    0000
+0000370    0000    0000    0000    0000    0000    0000    0000    0000
+0000380    0000    0000    0000    0000    0000    0000    0000    0000
+0000390    0000    0000    0000    0000    0000    0000    0000    0000
+00003a0    0000    0000    0000    0000    0000    0000    0000    0000
+00003b0    0000    0000    0000    0000    0000    0000    0000    0000
+00003c0    0000    0000    0000    0000    0000    0000    0000    0000
+00003d0    0000    0000    0000    0000    0000    0000    0000    0000
+00003e0    0000    0000    0000    0000    0000    0000    0000    0000
+00003f0    0000    0000    0000    0000    0000    0000    0000    0000
+0000400    0000    0000    0000    0000    0000    0000    0000    0000
+0000410    0000    0000    0000    0000    0000    0000    0000    0000
+0000420    0000    0000    0000    0000    0000    0000    0000    0000
+0000430    0000    0000    0000    0000    0000    0000    0000    0000
+0000440    0000    0000    0000    0000    0000    0000    0000    0000
+0000450    0000    0000    0000    0000    0000    0000    0000    0000
+0000460    0000    0000    0000    0000    0000    0000    0000    0000
+0000470    0000    0000    0000    0000    0000    0000    0000    0000
+0000480    0000    0000    0000    0000    0000    0000    0000    0000
+0000490    0000    0000    0000    0000    0000    0000    0000    0000
+00004a0    0000    0000    0000    0000    0000    0000    0000    0000
+00004b0    0000    0000    0000    0000    0000    0000    0000    0000
+00004c0    0000    0000    0000    0000    0000    0000    0000    0000
+00004d0    0000    0000    0000    0000    0000    0000    0000    0000
+00004e0    0000    0000    0000    0000    0000    0000    0000    0000
+00004f0    0000    0000    0000    0000    0000    0000    0000    0000
+0000500    0000    0000    0000    0000    0000    0000    0000    0000
+0000510    0000    0000    0000    0000    0000    0000    0000    0000
+0000520    0000    0000    0000    0000    0000    0000    0000    0000
+0000530    0000    0000    0000    0000    0000    0000    0000    0000
+0000540    0000    0000    0000    0000    0000    0000    0000    0000
+0000550    0000    0000    0000    0000    0000    0000    0000    0000
+0000560    0000    0000    0000    0000    0000    0000    0000    0000
+0000570    0000    0000    0000    0000    0000    0000    0000    0000
+0000580    0000    0000    0000    0000    0000    0000    0000    0000
+0000590    0000    0000    0000    0000    0000    0000    0000    0000
+00005a0    0000    0000    0000    0000    0000    0000    0000    0000
+00005b0    0000    0000    0000    0000    0000    0000    0000    0000
+00005c0    0000    0000    0000    0000    0000    0000    0000    0000
+00005d0    0000    0000    0000    0000    0000    0000    0000    0000
+00005e0    0000    0000    0000    0000    0000    0000    0000    0000
+00005f0    0000    0000    0000    0000    0000    0000    0000    0000
+0000600    0000    0000    0000    0000    0000    0000    0000    0000
+0000610    0000    0000    0000    0000    0000    0000    0000    0000
+0000620    0000    0000    0000    0000    0000    0000    0000    0000
+0000630    0000    0000    0000    0000    0000    0000    0000    0000
+0000640    0000    0000    0000    0000    0000    0000    0000    0000
+0000650    0000    0000    0000    0000    0000    0000    0000    0000
+0000660    0000    0000    0000    0000    0000    0000    0000    0000
+0000670    0000    0000    0000    0000    0000    0000    0000    0000
+0000680    0000    0000    0000    0000    0000    0000    0000    0000
+0000690    0000    0000    0000    0000    0000    0000    0000    0000
+00006a0    0000    0000    0000    0000    0000    0000    0000    0000
+00006b0    0000    0000    0000    0000    0000    0000    0000    0000
+00006c0    0000    0000    0000    0000    0000    0000    0000    0000
+00006d0    0000    0000    0000    0000    0000    0000    0000    0000
+00006e0    0000    0000    0000    0000    0000    0000    0000    0000
+00006f0    0000    0000    0000    0000    0000    0000    0000    0000
+0000700    0000    0000    0000    0000    0000    0000    0000    0000
+0000710    0000    0000    0000    0000    0000    0000    0000    0000
+0000720    0000    0000    0000    0000    0000    0000    0000    0000
+0000730    0000    0000    0000    0000    0000    0000    0000    0000
+0000740    0000    0000    0000    0000    0000    0000    0000    0000
+0000750    0000    0000    0000    0000    0000    0000    0000    0000
+0000760    0000    0000    0000    0000    0000    0000    0000    0000
+0000770    0000    0000    0000    0000    0000    0000    0000    0000
+0000780    0000    0000    0000    0000    0000    0000    0000    0000
+0000790    0000    0000    0000    0000    0000    0000    0000    0000
+00007a0    0000    0000    0000    0000    0000    0000    0000    0000
+00007b0    0000    0000    0000    0000    0000    0000    0000    0000
+00007c0    0000    0000    0000    0000    0000    0000    0000    0000
+00007d0    0000    0000    0000    0000    0000    0000    0000    0000
+00007e0    0000    0000    0000    0000    0000    0000    0000    0000
+00007f0    0000    0000    0000    0000    0000    0000    0000    0000
+0000800    0000    0000    0000    0000    0000    0000    0000    0000
+0000810    0000    0000    0000    0000    0000    0000    0000    0000
+0000820    0000    0000    0000    0000    0000    0000    0000    0000
+0000830    0000    0000    0000    0000    0000    0000    0000    0000
+0000840    0000    0000    0000    0000    0000    0000    0000    0000
+0000850    0000    0000    0000    0000    0000    0000    0000    0000
+0000860    0000    0000    0000    0000    0000    0000    0000    0000
+0000870    0000    0000    0000    0000    0000    0000    0000    0000
+0000880    0000    0000    0000    0000    0000    0000    0000    0000
+0000890    0000    0000    0000    0000    0000    0000    0000    0000
+00008a0    0000    0000    0000    0000    0000    0000    0000    0000
+00008b0    0000    0000    0000    0000    0000    0000    0000    0000
+00008c0    0000    0000    0000    0000    0000    0000    0000    0000
+00008d0    0000    0000    0000    0000    0000    0000    0000    0000
+00008e0    0000    0000    0000    0000    0000    0000    0000    0000
+00008f0    0000    0000    0000    0000    0000    0000    0000    0000
+0000900    0000    0000    0000    0000    0000    0000    0000    0000
+0000910    0000    0000    0000    0000    0000    0000    0000    0000
+0000920    0000    0000    0000    0000    0000    0000    0000    0000
+0000930    0000    0000    0000    0000    0000    0000    0000    0000
+0000940    0000    0000    0000    0000    0000    0000    0000    0000
+0000950    0000    0000    0000    0000    0000    0000    0000    0000
+0000960    0000    0000    0000    0000    0000    0000    0000    0000
+0000970    0000    0000    0000    0000    0000    0000    0000    0000
+0000980    0000    0000    0000    0000    0000    0000    0000    0000
+0000990    0000    0000    0000    0000    0000    0000    0000    0000
+00009a0    0000    0000    0000    0000    0000    0000    0000    0000
+00009b0    0000    0000    0000    0000    0000    0000    0000    0000
+00009c0    0000    0000    0000    0000    0000    0000    0000    0000
+00009d0    0000    0000    0000    0000    0000    0000    0000    0000
+00009e0    0000    0000    0000    0000    0000    0000    0000    0000
+00009f0    0000    0000    0000    0000    0000    0000    0000    0000
+0000a00    0000    0000    0000    0000    0000    0000    0000    0000
+0000a10    0000    0000    0000    0000    0000    0000    0000    0000
+0000a20    0000    0000    0000    0000    0000    0000    0000    0000
+0000a30    0000    0000    0000    0000    0000    0000    0000    0000
+0000a40    0000    0000    0000    0000    0000    0000    0000    0000
+0000a50    0000    0000    0000    0000    0000    0000    0000    0000
+0000a60    0000    0000    0000    0000    0000    0000    0000    0000
+0000a70    0000    0000    0000    0000    0000    0000    0000    0000
+0000a80    0000    0000    0000    0000    0000    0000    0000    0000
+0000a90    0000    0000    0000    0000    0000    0000    0000    0000
+0000aa0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ab0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ac0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ad0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ae0    0000    0000    0000    0000    0000    0000    0000    0000
+0000af0    0000    0000    0000    0000    0000    0000    0000    0000
+0000b00    0000    0000    0000    0000    0000    0000    0000    0000
+0000b10    0000    0000    0000    0000    0000    0000    0000    0000
+0000b20    0000    0000    0000    0000    0000    0000    0000    0000
+0000b30    0000    0000    0000    0000    0000    0000    0000    0000
+0000b40    0000    0000    0000    0000    0000    0000    0000    0000
+0000b50    0000    0000    0000    0000    0000    0000    0000    0000
+0000b60    0000    0000    0000    0000    0000    0000    0000    0000
+0000b70    0000    0000    0000    0000    0000    0000    0000    0000
+0000b80    0000    0000    0000    0000    0000    0000    0000    0000
+0000b90    0000    0000    0000    0000    0000    0000    0000    0000
+0000ba0    0000    0000    0000    0000    0000    0000    0000    0000
+0000bb0    0000    0000    0000    0000    0000    0000    0000    0000
+0000bc0    0000    0000    0000    0000    0000    0000    0000    0000
+0000bd0    0000    0000    0000    0000    0000    0000    0000    0000
+0000be0    0000    0000    0000    0000    0000    0000    0000    0000
+0000bf0    0000    0000    0000    0000    0000    0000    0000    0000
+0000c00    0000    0000    0000    0000    0000    0000    0000    0000
+0000c10    0000    0000    0000    0000    0000    0000    0000    0000
+0000c20    0000    0000    0000    0000    0000    0000    0000    0000
+0000c30    0000    0000    0000    0000    0000    0000    0000    0000
+0000c40    0000    0000    0000    0000    0000    0000    0000    0000
+0000c50    0000    0000    0000    0000    0000    0000    0000    0000
+0000c60    0000    0000    0000    0000    0000    0000    0000    0000
+0000c70    0000    0000    0000    0000    0000    0000    0000    0000
+0000c80    0000    0000    0000    0000    0000    0000    0000    0000
+0000c90    0000    0000    0000    0000    0000    0000    0000    0000
+0000ca0    0000    0000    0000    0000    0000    0000    0000    0000
+0000cb0    0000    0000    0000    0000    0000    0000    0000    0000
+0000cc0    0000    0000    0000    0000    0000    0000    0000    0000
+0000cd0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ce0    0000    0000    0000    0000    0000    0000    0000    0000
+0000cf0    0000    0000    0000    0000    0000    0000    0000    0000
+0000d00    0000    0000    0000    0000    0000    0000    0000    0000
+0000d10    0000    0000    0000    0000    0000    0000    0000    0000
+0000d20    0000    0000    0000    0000    0000    0000    0000    0000
+0000d30    0000    0000    0000    0000    0000    0000    0000    0000
+0000d40    0000    0000    0000    0000    0000    0000    0000    0000
+0000d50    0000    0000    0000    0000    0000    0000    0000    0000
+0000d60    0000    0000    0000    0000    0000    0000    0000    0000
+0000d70    0000    0000    0000    0000    0000    0000    0000    0000
+0000d80    0000    0000    0000    0000    0000    0000    0000    0000
+0000d90    0000    0000    0000    0000    0000    0000    0000    0000
+0000da0    0000    0000    0000    0000    0000    0000    0000    0000
+0000db0    0000    0000    0000    0000    0000    0000    0000    0000
+0000dc0    0000    0000    0000    0000    0000    0000    0000    0000
+0000dd0    0000    0000    0000    0000    0000    0000    0000    0000
+0000de0    0000    0000    0000    0000    0000    0000    0000    0000
+0000df0    0000    0000    0000    0000    0000    0000    0000    0000
+0000e00    0000    0000    0000    0000    0000    0000    0000    0000
+0000e10    0000    0000    0000    0000    0000    0000    0000    0000
+0000e20    0000    0000    0000    0000    0000    0000    0000    0000
+0000e30    0000    0000    0000    0000    0000    0000    0000    0000
+0000e40    0000    0000    0000    0000    0000    0000    0000    0000
+0000e50    0000    0000    0000    0000    0000    0000    0000    0000
+0000e60    0000    0000    0000    0000    0000    0000    0000    0000
+0000e70    0000    0000    0000    0000    0000    0000    0000    0000
+0000e80    0000    0000    0000    0000    0000    0000    0000    0000
+0000e90    0000    0000    0000    0000    0000    0000    0000    0000
+0000ea0    0000    0000    0000    0000    0000    0000    0000    0000
+0000eb0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ec0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ed0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ee0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ef0    0000    0000    0000    0000    0000    0000    0000    0000
+0000f00    0000    0000    0000    0000    0000    0000    0000    0000
+0000f10    0000    0000    0000    0000    0000    0000    0000    0000
+0000f20    0000    0000    0000    0000    0000    0000    0000    0000
+0000f30    0000    0000    0000    0000    0000    0000    0000    0000
+0000f40    0000    0000    0000    0000    0000    0000    0000    0000
+0000f50    0000    0000    0000    0000    0000    0000    0000    0000
+0000f60    0000    0000    0000    0000    0000    0000    0000    0000
+0000f70    0000    0000    0000    0000    0000    0000    0000    0000
+0000f80    0000    0000    0000    0000    0000    0000    0000    0000
+0000f90    0000    0000    0000    0000    0000    0000    0000    0000
+0000fa0    0000    0000    0000    0000    0000    0000    0000    0000
+0000fb0    0000    0000    0000    0000    0000    0000    0000    0000
+0000fc0    0000    0000    0000    0000    0000    0000    0000    0000
+0000fd0    0000    0000    0000    0000    0000    0000    0000    0000
+0000fe0    0000    0000    0000    0000    0000    0000    0000    0000
+0000ff0    0000    0000    0000    0000    0000    0000    0000    0000
+0001000    0000    0000    0000    0000    0000    0000    0000    0000
+0001010    0000    0000    0000    0000    0000    0000    0000    0000
+0001020    0000    0000    0000    0000    0000    0000    0000    0000
+0001030    0000    0000    0000    0000    0000    0000    0000    0000
+0001040    0000    0000    0000    0000    0000    0000    0000    0000
+0001050    0000    0000    0000    0000    0000    0000    0000    0000
+0001060    0000    0000    0000    0000    0000    0000    0000    0000
+0001070    0000    0000    0000    0000    0000    0000    0000    0000
+0001080    0000    0000    0000    0000    0000    0000    0000    0000
+0001090    0000    0000    0000    0000    0000    0000    0000    0000
+00010a0    0000    0000    0000    0000    0000    0000    0000    0000
+00010b0    0000    0000    0000    0000    0000    0000    0000    0000
+00010c0    0000    0000    0000    0000    0000    0000    0000    0000
+00010d0    0000    0000    0000    0000    0000    0000    0000    0000
+00010e0    0000    0000    0000    0000    0000    0000    0000    0000
+00010f0    0000    0000    0000    0000    0000    0000    0000    0000
+0001100    0000    ea00    0665    ea00    0028    e28f    0c00    e890
+0001110    a000    e08a    7001    e24a    b000    e08b    000b    e15a
+0001120    065e    0a00    000f    e8ba    e014    e24f    0001    e313
+0001130    f003    1047    f003    e1a0    00ac    0000    00fc    0000
+0001140    2001    e082    4001    e4d0    500f    e214    5001    04d0
+0001150    4224    e1b0    4001    04d0    5001    e255    0003    0a00
+0001160    3001    e4d0    5001    e255    3001    e4c1    fffb    1aff
+0001170    4001    e254    0002    0a00    4001    e254    5001    e4c1
+0001180    fffc    1aff    0002    e151    ffed    3aff    f00e    e1a0
+0001190    2010    e252    0078    28b0    0078    28a1    fffb    8aff
+00011a0    2e82    e1b0    0030    28b0    0030    28a1    4000    4590
+00011b0    4000    4581    f00e    e1a0    3000    e3a0    4000    e3a0
+00011c0    5000    e3a0    6000    e3a0    2010    e252    0078    28a1
+00011d0    fffc    8aff    2e82    e1b0    0030    28a1    3000    4581
+00011e0    f00e    e1a0    393c    0008    0000    0000    0074    0000
+00011f0    1190    0008    39b0    0008    0000    ffff    00d0    0000
+0001200    1190    0008    3a80    0008    3000    ffff    0638    0000
+0001210    1140    0008    393c    0008    393c    0008    0140    0000
+0001220    11b8    0008    3a9c    0008    3638    ffff    0004    0000
+0001230    11b8    0008    4010    e92d    f000    e320    101c    e59f
+0001240    1078    e591    1102    e201    2102    e3a0    0fa1    e182
+0001250    1008    e59f    0078    e581    001d    eb00    8010    e8bd
+0001260    0000    e490    0000    0000    002c    e59f    1000    e3a0
+0001270    1000    e580    001a    eb00    0008    eb00    0f10    ee11
+0001280    0a01    e3c0    0f10    ee01    0000    e10f    0080    e3c0
+0001290    f000    e121    ff99    eaff    fffe    eaff    007c    e490
+00012a0    0f11    ee19    1020    e59f    0001    e180    0001    e380
+00012b0    0f11    ee09    0f31    ee19    1010    e59f    0001    e180
+00012c0    0001    e380    0f31    ee09    ff1e    e12f    3000    ffff
+00012d0    0000    ffff    4010    e92d    01b7    eb00    ffe1    ebff
+00012e0    8010    e8bd    1000    e10f    00a4    e59f    0007    e3c0
+00012f0    2000    e10f    201f    e3c2    2012    e382    f002    e12f
+0001300    d000    e1a0    0088    e59f    0007    e3c0    2000    e10f
+0001310    201f    e3c2    2011    e382    f002    e12f    d000    e1a0
+0001320    0070    e59f    0007    e3c0    2000    e10f    201f    e3c2
+0001330    201b    e382    f002    e12f    d000    e1a0    0058    e59f
+0001340    2000    e10f    201f    e3c2    2017    e382    f002    e12f
+0001350    d000    e1a0    0044    e59f    0007    e3c0    2000    e10f
+0001360    201f    e3c2    2013    e382    f002    e12f    d000    e1a0
+0001370    002c    e59f    0007    e3c0    2000    e10f    201f    e3c2
+0001380    201f    e382    f002    e12f    d000    e1a0    f001    e12f
+0001390    ff1e    e12f    d280    0009    e400    0009    d100    0009
+00013a0    e280    0009    e300    0009    3004    e59f    3003    e08f
+00013b0    f003    e1a0    227c    0000    4010    e92d    0277    eb00
+00013c0    04b0    eb00    8010    e8bd    4077    e92d    d004    e24d
+00013d0    5001    e1a0    4002    e1a0    0004    e5dd    0004    e350
+00013e0    0004    ba00    0004    e5dd    00c6    e350    0001    ca00
+00013f0    0001    e3a0    0000    ea00    0000    e3a0    0000    e350
+0001400    0009    1a00    3f97    e28f    3000    e58d    3081    e3a0
+0001410    2004    e28d    1001    e3a0    0274    e59f    03ad    eb00
+0001420    0001    e3e0    d010    e28d    8070    e8bd    0000    e354
+0001430    0003    0a00    025c    e59f    1004    e5dd    0181    e790
+0001440    0000    e584    024c    e59f    1004    e5dd    5181    e780
+0001450    0000    e3a0    fff2    eaff    4011    e92d    d004    e24d
+0001460    0004    e5dd    0004    e350    0004    ba00    0004    e5dd
+0001470    00c6    e350    0001    ca00    0001    e3a0    0000    ea00
+0001480    0000    e3a0    0000    e350    0008    1a00    3f75    e28f
+0001490    3000    e58d    30c4    e3a0    2004    e28d    1001    e3a0
+00014a0    01ec    e59f    038b    eb00    0001    e3e0    801c    e8bd
+00014b0    0001    e3a0    11dc    e59f    2004    e5dd    1182    e081
+00014c0    0004    e5c1    0004    e5dd    21c8    e59f    2180    e082
+00014d0    1005    e5d2    21c0    e59f    1100    e782    f000    e320
+00014e0    01b8    e59f    0000    e590    0000    e350    0008    1a00
+00014f0    f000    e320    0050    e242    0480    e590    0001    e380
+0001500    1050    e242    0480    e581    0001    e3a0    118c    e59f
+0001510    0000    e581    0000    e3a0    ffe3    eaff    4011    e92d
+0001520    d004    e24d    0004    e5dd    0004    e350    0004    ba00
+0001530    0004    e5dd    00c6    e350    0001    ca00    0001    e3a0
+0001540    0000    ea00    0000    e3a0    0000    e350    0008    1a00
+0001550    3e11    e28f    3000    e58d    30de    e3a0    2004    e28d
+0001560    1001    e3a0    0128    e59f    035a    eb00    0001    e3e0
+0001570    801c    e8bd    0000    e3a0    1118    e59f    2004    e5dd
+0001580    1182    e081    0004    e5c1    0004    e5dd    1108    e59f
+0001590    2000    e3a0    2100    e781    f000    e320    0000    e3a0
+00015a0    fff2    eaff    4077    e92d    d004    e24d    4001    e1a0
+00015b0    5002    e1a0    0004    e5dd    0004    e350    0004    ba00
+00015c0    0004    e5dd    00c6    e350    0001    ca00    0001    e3a0
+00015d0    0000    ea00    0000    e3a0    0000    e350    0008    0a00
+00015e0    0000    e354    0003    ba00    000f    e354    0001    ca00
+00015f0    0001    e3a0    0000    ea00    0000    e3a0    0000    e350
+0001600    0009    1a00    305c    e28f    3000    e58d    30a7    e3a0
+0001610    2004    e28d    1001    e3a0    0074    e59f    032d    eb00
+0001620    0001    e3e0    d010    e28d    8070    e8bd    0000    e355
+0001630    0004    0a00    005c    e59f    1004    e5dd    0181    e080
+0001640    0005    e5d0    0000    e585    0000    e354    0002    1a00
+0001650    0004    e5dd    ffb0    ebff    fff1    eaff    0004    e5dd
+0001660    ff7c    ebff    ffee    eaff    7764    7464    6f6f    736c
+0001670    6372    625c    6f6f    6374    726f    5c65    7264    7669
+0001680    7265    5c73    6349    5c75    7273    5c63    6369    2e75
+0001690    0063    0000    08fc    0000    3000    ffff    0050    e000
+00016a0    3638    ffff    f000    e320    0228    e59f    0488    e590
+00016b0    000f    e200    0000    e350    0001    0a00    0001    e3a0
+00016c0    0000    ea00    0000    e3a0    ff1e    e12f    0000    e3a0
+00016d0    ff1e    e12f    40f3    e92d    d004    e24d    4001    e1a0
+00016e0    0004    e5dd    0004    e350    0004    ba00    0004    e5dd
+00016f0    00c6    e350    0001    ca00    0001    e3a0    0000    ea00
+0001700    0000    e3a0    0000    e350    0008    1a00    30ac    e24f
+0001710    3000    e58d    3f45    e3a0    2004    e28d    1001    e3a0
+0001720    0094    e51f    02eb    eb00    0001    e3e0    80fe    e8bd
+0001730    2004    e5dd    0000    e354    0001    0a00    0001    e3a0
+0001740    0000    ea00    0000    e3a0    c000    e1a0    0184    e59f
+0001750    12a2    e1a0    301f    e202    0000    e35c    0004    0a00
+0001760    e101    e790    5001    e3a0    e315    e18e    e101    e780
+0001770    0004    ea00    e101    e790    5001    e3a0    5315    e1e0
+0001780    e005    e00e    e101    e780    f000    e320    f000    e320
+0001790    0000    e3a0    ffe4    eaff    0000    e3a0    ff1e    e12f
+00017a0    4010    e92d    4004    e3a0    0008    ea00    011c    e51f
+00017b0    0184    e080    0004    e5d0    0000    e350    0001    0a00
+00017c0    0004    e1a0    ff23    ebff    0001    e284    40ff    e200
+00017d0    00c6    e354    fff4    daff    0140    e51f    0000    e590
+00017e0    0000    e350    0006    0a00    f000    e320    00e4    e59f
+00017f0    0480    e590    0001    e380    10d8    e59f    0480    e581
+0001800    f000    e320    0000    e3a0    8010    e8bd    1000    e1a0
+0001810    0000    e3a0    ff1e    e12f    4071    e92d    d004    e24d
+0001820    4000    e3a0    0004    e5dd    000f    e350    0007    da00
+0001830    3e1d    e24f    3000    e58d    309c    e59f    2004    e28d
+0001840    1001    e3a0    01b8    e51f    02a2    eb00    0010    ea00
+0001850    0334    eb00    5000    e1a0    f000    e320    0074    e59f
+0001860    0470    e590    000f    e200    4000    e1a0    0004    e5dd
+0001870    1060    e59f    1470    e591    100f    e3c1    200f    e200
+0001880    1002    e181    204c    e59f    1470    e582    0005    e1a0
+0001890    0330    eb00    0004    e1a0    807c    e8bd    0000    e3a0
+00018a0    0000    e10f    03a0    e1e0    0001    e200    ff1e    e12f
+00018b0    0000    e3a0    1000    e3a0    0000    e10f    1080    e380
+00018c0    f001    e121    03a0    e1e0    0001    e200    1000    e1a0
+00018d0    0001    e1a0    ff1e    e12f    0000    e000    017b    0000
+00018e0    f000    e320    3280    e59f    3024    e593    1003    e1a0
+00018f0    f000    e320    3270    e59f    3024    e593    3001    e203
+0001900    0000    e353    0003    0a00    f000    e320    3258    e59f
+0001910    002c    e593    0003    ea00    f000    e320    3248    e59f
+0001920    0028    e593    f000    e320    3cff    e3c1    30fe    e3c3
+0001930    c00c    e200    300c    e183    20f0    e383    f000    e320
+0001940    3224    e59f    2024    e583    ff1e    e12f    4010    e92d
+0001950    ffe2    ebff    f000    e320    020c    e59f    0024    e590
+0001960    4000    e1a0    4074    e6ff    40f0    e384    4002    e384
+0001970    4001    e3c4    f000    e320    01ec    e59f    4024    e580
+0001980    8010    e8bd    4010    e92d    ffd4    ebff    f000    e320
+0001990    01d4    e59f    0024    e590    4000    e1a0    4074    e6ff
+00019a0    40f0    e384    4002    e384    4001    e384    f000    e320
+00019b0    01b4    e59f    4024    e580    8010    e8bd    e004    e52d
+00019c0    ffe1    ebff    f000    e320    019c    e59f    0028    e590
+00019d0    0004    e3c0    1000    e3a0    2004    e3a0    1101    e002
+00019e0    0001    e180    1180    e59f    0028    e581    f000    e320
+00019f0    0000    e281    0028    e590    0008    e3c0    1001    e3a0
+0001a00    2008    e3a0    1181    e002    0001    e180    1158    e59f
+0001a10    0028    e581    ffda    ebff    f004    e49d    f000    e320
+0001a20    0144    e59f    0060    e590    0800    e1a0    0c20    e1a0
+0001a30    ff1e    e12f    4070    e92d    5000    e1a0    4001    e1a0
+0001a40    6000    e3a0    0001    e355    0004    0a00    0002    e355
+0001a50    0005    0a00    0003    e355    0009    1a00    0005    ea00
+0001a60    f000    e320    f000    e320    0007    ea00    f000    e320
+0001a70    6202    e386    0004    ea00    f000    e320    6203    e386
+0001a80    0001    ea00    f000    e320    f000    e320    f000    e320
+0001a90    0001    e314    0000    0a00    6010    e386    0002    e314
+0001aa0    0000    0a00    6008    e386    0004    e314    0000    0a00
+0001ab0    6004    e386    0008    e314    0000    0a00    6001    e386
+0001ac0    0298    eb00    ffa0    ebff    f000    e320    0098    e59f
+0001ad0    6014    e580    f000    e320    fffe    eaff    4010    e92d
+0001ae0    400f    e3a0    1004    e1a0    0001    e3a0    ffd0    ebff
+0001af0    8010    e8bd    f000    e320    006c    e59f    0718    e590
+0001b00    0003    e200    0001    e350    0005    0a00    f000    e320
+0001b10    0054    e59f    0718    e590    0003    e200    0003    e350
+0001b20    0001    1a00    0001    e3a0    ff1e    e12f    0000    e3a0
+0001b30    fffc    eaff    f000    e320    002c    e59f    0078    e590
+0001b40    ff1e    e12f    f000    e320    101c    e59f    0078    e581
+0001b50    ff1e    e12f    f000    e320    000c    e59f    0010    e590
+0001b60    0600    e1a0    0e20    e1a0    ff1e    e12f    0000    e490
+0001b70    f000    e320    0148    e59f    0000    e590    0c01    e350
+0001b80    0004    0a00    f000    e320    0c01    e3a0    1130    e59f
+0001b90    0000    e581    f000    e320    ff1e    e12f    f000    e320
+0001ba0    0003    e3a0    1118    e59f    0000    e581    ff1e    e12f
+0001bb0    4070    e92d    f000    e320    0104    e59f    0024    e590
+0001bc0    5000    e1a0    10fc    e59f    04f3    eb00    4000    e1a0
+0001bd0    00f4    e59f    0008    e590    0000    e350    000b    0a00
+0001be0    00e4    e59f    0004    e590    0004    e150    0003    8a00
+0001bf0    00d4    e59f    0000    e590    0004    e150    0001    8a00
+0001c00    0000    e3a0    8070    e8bd    0001    e3a0    fffc    eaff
+0001c10    00b4    e59f    0004    e590    0004    e150    0001    9a00
+0001c20    0000    e3a0    fff6    eaff    0001    e3a0    fff4    eaff
+0001c30    4070    e92d    4000    e1a0    ffcc    ebff    f000    e320
+0001c40    007c    e59f    0024    e590    5000    e1a0    1074    e59f
+0001c50    04d1    eb00    1070    e59f    0000    e581    0000    e281
+0001c60    0000    e590    0004    e080    0004    e581    0000    e281
+0001c70    0004    e590    1000    e591    0001    e150    0003    9a00
+0001c80    0000    e3a0    1040    e59f    0008    e581    0002    ea00
+0001c90    0001    e3a0    1030    e59f    0008    e581    8070    e8bd
+0001ca0    4010    e92d    4000    e1a0    0004    e1a0    ffdf    ebff
+0001cb0    f000    e320    ffbd    ebff    0000    e350    fffc    0aff
+0001cc0    8010    e8bd    0000    e450    0659    0000    393c    0008
+0001cd0    4010    e92d    ff86    ebff    8010    e8bd    4010    e92d
+0001ce0    ff93    ebff    4000    e1a0    0007    e3a0    0e24    e000
+0001cf0    8010    e8bd    4010    e92d    4000    e3a0    ff8c    ebff
+0001d00    4000    e1a0    460e    e3c4    0004    e1a0    ff8c    ebff
+0001d10    8010    e8bd    41f0    e92d    4000    e1a0    5000    e3a0
+0001d20    7000    e3a0    6004    e1a0    4006    e1a0    6007    e206
+0001d30    ff7f    ebff    5000    e1a0    560e    e3c5    7a86    e185
+0001d40    0007    e1a0    ff7e    ebff    81f0    e8bd    4070    e92d
+0001d50    5000    e3a0    4000    e3a0    ff75    ebff    5000    e1a0
+0001d60    0007    e3a0    4aa5    e000    0004    e1a0    8070    e8bd
+0001d70    4070    e92d    5000    e3a0    4000    e3a0    ff6c    ebff
+0001d80    5000    e1a0    0007    e3a0    4e25    e000    0004    e1a0
+0001d90    8070    e8bd    4010    e92d    ff4f    ebff    8010    e8bd
+0001da0    4010    e92d    0525    eb00    04a1    eb00    8010    e8bd
+0001db0    4010    e92d    4000    e3a0    ff65    ebff    0001    e350
+0001dc0    0004    0a00    0002    e350    000c    0a00    0003    e350
+0001dd0    001e    1a00    0013    ea00    f000    e320    f000    e320
+0001de0    008c    e59f    0010    e590    0900    e1a0    0fa0    e1a0
+0001df0    0000    e350    0000    1a00    4001    e3a0    0016    ea00
+0001e00    f000    e320    f000    e320    0064    e59f    0010    e590
+0001e10    0900    e1a0    0fa0    e1a0    0001    e350    0000    1a00
+0001e20    4002    e3a0    000c    ea00    f000    e320    f000    e320
+0001e30    003c    e59f    0010    e590    0900    e1a0    0fa0    e1a0
+0001e40    0000    e350    0000    1a00    4003    e3a0    0002    ea00
+0001e50    f000    e320    4000    e3a0    f000    e320    f000    e320
+0001e60    0004    e1a0    8010    e8bd    2000    e1a0    0000    e3a0
+0001e70    ff1e    e12f    0000    e800    f000    e320    fffe    eaff
+0001e80    f000    e320    fffe    eaff    f000    e320    fffe    eaff
+0001e90    f000    e320    fffe    eaff    20ff    e3a0    1000    e3a0
+0001ea0    0000    e350    0003    1a00    0000    ea00    1001    e281
+0001eb0    0000    e352    fffc    caff    2001    e1a0    ff1e    e12f
+0001ec0    4010    e92d    4000    e1a0    0004    e1a0    fff1    ebff
+0001ed0    8010    e8bd    403f    e92d    e000    e1a0    c001    e1a0
+0001ee0    4002    e1a0    5003    e1a0    0000    e3a0    0000    e58d
+0001ef0    c004    e58d    0008    e58d    000c    e58d    000f    e89d
+0001f00    d010    e28d    8030    e8bd    e004    e52d    c000    e1a0
+0001f10    0000    e3e0    0005    e351    f101    908f    0017    ea00
+0001f20    0004    ea00    0006    ea00    0008    ea00    000d    ea00
+0001f30    000f    ea00    0008    ea00    f000    e320    0000    e3e0
+0001f40    0010    ea00    f000    e320    0000    e3e0    000d    ea00
+0001f50    f000    e320    0000    e3e0    000a    ea00    f000    e320
+0001f60    0000    e3e0    0007    ea00    f000    e320    0000    e3e0
+0001f70    0004    ea00    f000    e320    0000    e3e0    0001    ea00
+0001f80    f000    e320    f000    e320    f000    e320    f004    e49d
+0001f90    4070    e92d    4000    e1a0    5000    e3e0    000c    e594
+0001fa0    0000    e350    0002    0a00    000c    e594    ff30    e12f
+0001fb0    5000    e1a0    0005    e1a0    8070    e8bd    41f0    e92d
+0001fc0    4000    e1a0    6001    e1a0    7002    e1a0    8003    e1a0
+0001fd0    5000    e3e0    0010    e594    0000    e350    0005    0a00
+0001fe0    2008    e1a0    1007    e1a0    0006    e1a0    3010    e594
+0001ff0    ff33    e12f    5000    e1a0    0005    e1a0    81f0    e8bd
+0002000    41f0    e92d    4000    e1a0    6001    e1a0    7002    e1a0
+0002010    8003    e1a0    5000    e3e0    0014    e594    0000    e350
+0002020    0005    0a00    2008    e1a0    1007    e1a0    0006    e1a0
+0002030    3014    e594    ff33    e12f    5000    e1a0    0005    e1a0
+0002040    81f0    e8bd    41f0    e92d    4000    e1a0    6001    e1a0
+0002050    7002    e1a0    5000    e3e0    0018    e594    0000    e350
+0002060    0004    0a00    1007    e1a0    0006    e1a0    2018    e594
+0002070    ff32    e12f    5000    e1a0    0005    e1a0    81f0    e8bd
+0002080    4010    e92d    4000    e1a0    001c    e594    0000    e350
+0002090    0001    0a00    001c    e594    ff30    e12f    8010    e8bd
+00020a0    4010    e92d    1070    e3a0    01c8    e59f    01a4    eb00
+00020b0    0000    e3a0    8010    e8bd    4070    e92d    2000    e1a0
+00020c0    c000    e3a0    3000    e3a0    e000    e3a0    0000    e3e0
+00020d0    40ff    e3a0    5004    e592    0001    e155    0020    3a00
+00020e0    e004    e592    518c    e59f    2000    e585    c000    e592
+00020f0    3001    e3a0    0012    ea00    0001    e15e    000f    3a00
+0002100    5174    e59f    5203    e085    c00c    e585    5168    e59f
+0002110    5203    e085    1004    e585    5000    e3a0    6158    e59f
+0002120    6203    e086    5008    e586    614c    e59f    5203    e786
+0002130    c001    e08c    e001    e04e    3001    e283    0000    ea00
+0002140    4000    e3a0    0000    e354    0001    0a00    0004    e353
+0002150    ffe8    3aff    5001    e243    6118    e59f    5044    e586
+0002160    0000    e3a0    5000    e3a0    6108    e59f    5048    e586
+0002170    50ff    e3a0    504c    e586    8070    e8bd    0000    e3a0
+0002180    1000    e3a0    20ec    e59f    204c    e592    00ff    e352
+0002190    0019    1a00    1001    e3a0    0000    ea00    1001    e281
+00021a0    20d4    e59f    2201    e082    2008    e592    00ff    e352
+00021b0    fff9    0aff    20bc    e59f    2044    e592    0001    e152
+00021c0    000a    3a00    20b0    e59f    0201    e082    1000    e580
+00021d0    20ff    e3a0    2008    e580    20a0    e59f    2101    e792
+00021e0    2001    e282    3094    e59f    2101    e783    0002    ea00
+00021f0    20ff    e3a0    307c    e59f    2048    e583    ff1e    e12f
+0002200    1000    e1a0    0000    e3a0    2068    e59f    204c    e592
+0002210    00ff    e352    0015    1a00    3058    e59f    2000    e591
+0002220    3044    e593    0003    e152    0001    9a00    0000    e3e0
+0002230    000f    ea00    3048    e59f    2000    e591    2102    e793
+0002240    2001    e282    c000    e283    3000    e591    2103    e78c
+0002250    2000    e3a0    c05c    e24c    3000    e591    3203    e08c
+0002260    2008    e583    3000    e591    2203    e78c    0000    ea00
+0002270    0000    e3e0    ff1e    e12f    3948    0008    394c    0008
+0002280    3998    0008    39a8    0008    30ff    e3a0    f000    e320
+0002290    0000    e353    fffd    caff    ff1e    e12f    20ff    e3a0
+00022a0    f000    e320    0000    e352    fffd    caff    ff1e    e12f
+00022b0    ff1e    e12f    4070    e92d    4000    e1a0    5001    e1a0
+00022c0    6002    e1a0    2006    e1a0    1005    e1a0    0004    e1a0
+00022d0    ffec    ebff    8070    e8bd    47f0    e92d    4000    e1a0
+00022e0    5001    e1a0    6002    e1a0    9003    e1a0    7020    e59d
+00022f0    80ff    e3a0    0000    e357    0003    1a00    1078    e28f
+0002300    007c    e59f    013e    eb00    000b    ea00    0007    e1a0
+0002310    0170    eb00    0016    e350    0003    9a00    0007    e1a0
+0002320    016c    eb00    0015    e240    7007    e080    2016    e3a0
+0002330    1007    e1a0    0048    e59f    014b    eb00    1006    e1a0
+0002340    0005    e1a0    ffd4    ebff    0038    e59f    40b0    e1c0
+0002350    f000    e320    0000    e358    fffd    caff    87f0    e8bd
+0002360    4010    e92d    c008    e59d    e0ff    e3a0    f000    e320
+0002370    0000    e35e    fffd    caff    8010    e8bd    554e    4c4c
+0002380    0000    0000    39b8    0008    3938    0008    0000    e3a0
+0002390    ff1e    e12f    ff1e    e12f    ff1e    e12f    1000    e1a0
+00023a0    0004    e351    0003    ba00    00c6    e351    0001    ca00
+00023b0    2001    e3a0    0000    ea00    2000    e3a0    0002    e1a0
+00023c0    ff1e    e12f    47f0    e92d    4000    e1a0    5001    e1a0
+00023d0    6002    e1a0    7003    e1a0    a020    e59d    8004    e1a0
+00023e0    9000    e3a0    4008    e1b0    0001    1a00    0064    e3e0
+00023f0    87f0    e8bd    0000    e355    0005    0a00    0000    e357
+0002400    0003    0a00    0006    e1a0    ffe3    ebff    0000    e350
+0002410    0001    1a00    0004    e3e0    fff4    eaff    2008    e288
+0002420    1007    e1a0    0006    e1a0    fbe6    ebff    0000    e350
+0002430    0005    1a00    2008    e3a0    1005    e1a0    0008    e1a0
+0002440    00d5    eb00    600c    e5c8    0000    ea00    9000    e3e0
+0002450    0009    e1a0    ffe5    eaff    43f8    e92d    4000    e1a0
+0002460    5004    e1a0    6000    e3a0    4005    e1b0    0001    1a00
+0002470    0064    e3e0    83f8    e8bd    800c    e5d5    7008    e595
+0002480    200d    e1a0    1007    e1a0    0008    e1a0    fbcd    ebff
+0002490    0000    e350    0002    1a00    0000    e3a0    0000    e585
+00024a0    0000    ea00    6000    e3e0    0006    e1a0    fff0    eaff
+00024b0    4070    e92d    4000    e1a0    5004    e1a0    6000    e3a0
+00024c0    4005    e1b0    0001    1a00    0064    e3e0    8070    e8bd
+00024d0    000c    e5d5    fc10    ebff    0000    e350    0000    0a00
+00024e0    6000    e3e0    0006    e1a0    fff7    eaff    4070    e92d
+00024f0    4000    e1a0    5004    e1a0    6000    e3a0    4005    e1b0
+0002500    0001    1a00    0064    e3e0    8070    e8bd    000c    e5d5
+0002510    fbd0    ebff    0000    e350    0000    0a00    6000    e3e0
+0002520    0006    e1a0    fff7    eaff    4070    e92d    5000    e3a0
+0002530    4000    e3a0    fcdd    ebff    4000    e1a0    0000    e354
+0002540    0001    0a00    5001    e3a0    0000    ea00    5000    e3a0
+0002550    0005    e1a0    8070    e8bd    4010    e92d    4000    e1a0
+0002560    0000    e354    0000    0a00    fccb    ebff    8010    e8bd
+0002570    f000    e320    0194    e59f    021c    e590    0902    e3c0
+0002580    1000    e3a0    2902    e3a0    1781    e002    0001    e180
+0002590    1178    e59f    021c    e581    f000    e320    0000    e281
+00025a0    021c    e590    0b01    e3c0    1000    e3a0    2b01    e3a0
+00025b0    1501    e002    0001    e180    1150    e59f    021c    e581
+00025c0    f000    e320    0000    e281    021c    e590    0a06    e3c0
+00025d0    1000    e3a0    2a06    e3a0    1681    e002    0001    e180
+00025e0    1128    e59f    021c    e581    f000    e320    0000    e281
+00025f0    021c    e590    0c01    e3c0    1001    e3a0    2c01    e3a0
+0002600    1401    e002    0001    e180    1100    e59f    021c    e581
+0002610    f000    e320    0000    e281    021c    e590    0008    e3c0
+0002620    1000    e3a0    2008    e3a0    1181    e002    0001    e180
+0002630    10d8    e59f    021c    e581    ff1e    e12f    f000    e320
+0002640    00c8    e59f    061c    e590    0b00    e1a0    0fa0    e1a0
+0002650    ff1e    e12f    e004    e52d    1000    e1a0    f000    e320
+0002660    fff5    ebff    0000    e350    fffc    1aff    00a0    e59f
+0002670    0840    e590    0000    e581    f004    e49d    1090    e59f
+0002680    0820    e581    ff1e    e12f    40f8    e92d    ffb7    ebff
+0002690    0080    e59f    fff8    ebff    000d    e1a0    ffec    ebff
+00026a0    0000    e59d    1070    e59f    0001    e150    0001    0a00
+00026b0    0001    e3a0    001c    eb00    5462    e3a0    4206    e3a0
+00026c0    673f    e284    6bfe    e286    0001    ea00    0004    e495
+00026d0    0004    e484    0006    e154    fffb    3aff    000d    e1a0
+00026e0    ffdb    ebff    0000    e59d    1030    e59f    0001    e150
+00026f0    0001    0a00    0001    e3a0    000b    eb00    fc6b    ebff
+0002700    701c    e59f    ff37    e12f    f000    e320    fffe    eaff
+0002710    0000    e480    f000    62ff    1234    1234    4567    4567
+0002720    89ef    89ef    0000    6010    f00e    e1a0    4010    e92d
+0002730    4000    e1a0    0000    e1a0    0004    e1a0    4010    e8bd
+0002740    00e1    ea00    2000    e3a0    1020    e251    4000    e92d
+0002750    e002    e1a0    3002    e1a0    c002    e1a0    500c    28a0
+0002760    500c    28a0    1020    2251    fffb    2aff    1e01    e1b0
+0002770    500c    28a0    000c    48a0    1101    e1b0    4000    e8bd
+0002780    2004    2480    f00e    01a0    2001    44c0    2001    44c0
+0002790    0101    e311    2001    14c0    f00e    e1a0    0003    e352
+00027a0    00e4    9a00    c003    e210    0008    0a00    3001    e4d1
+00027b0    0002    e35c    200c    e082    c001    94d1    3001    e4c0
+00027c0    3001    34d1    c001    94c0    2004    e242    3001    34c0
+00027d0    3003    e211    00c4    0a00    2008    e252    0004    3a00
+00027e0    3004    e491    c004    e491    3004    e480    c004    e480
+00027f0    fff8    eaff    2004    e292    3004    5491    3004    5480
+0002800    00cc    ea00    3001    e180    0003    e313    2000    e1a0
+0002810    e004    e52d    000b    1a00    c048    e59f    3004    e491
+0002820    e00c    e043    e003    e1ce    038c    e11e    3004    0482
+0002830    fff9    0aff    10ff    e213    3423    11a0    1001    e4c2
+0002840    fffb    1aff    f004    e49d    3001    e4d1    0000    e353
+0002850    3001    e4c2    3001    14d1    3001    14c2    0000    1353
+0002860    fff8    1aff    f004    e49d    0101    0101    0003    e310
+0002870    4010    e92d    0003    0311    4000    e1a0    000a    1a00
+0002880    e04c    e59f    2004    e252    0006    ba00    3004    e491
+0002890    c00e    e043    c003    e1cc    c38e    e01c    3004    0480
+00028a0    fff7    0aff    1004    e241    2004    e282    2001    e252
+00028b0    0005    ba00    3001    e4d1    0000    e353    3001    e4c0
+00028c0    fff9    1aff    1002    e1a0    00a2    eb00    0004    e1a0
+00028d0    8010    e8bd    0101    0101    c001    e280    0003    ea00
+00028e0    1001    e4d0    0000    e351    000c    0040    f00e    01a0
+00028f0    0003    e310    fff9    1aff    2038    e59f    1004    e490
+0002900    3002    e041    3001    e1c3    0382    e113    fffa    0aff
+0002910    00ff    e311    000c    e040    0003    0240    f00e    01a0
+0002920    0cff    e311    0002    0240    f00e    01a0    08ff    e311
+0002930    0001    0240    f00e    e1a0    0101    0101    c001    e190
+0002940    0021    4a00    c0a0    e071    2000    e3a0    001a    3a00
+0002950    c220    e071    000f    3a00    c420    e071    0001    3a00
+0002960    3000    e3a0    0020    ea00    c3a0    e071    0381    2040
+0002970    2002    e0a2    c320    e071    0301    2040    2002    e0a2
+0002980    c2a0    e071    0281    2040    2002    e0a2    c220    e071
+0002990    0201    2040    2002    e0a2    c1a0    e071    0181    2040
+00029a0    2002    e0a2    c120    e071    0101    2040    2002    e0b2
+00029b0    c0a0    e071    0081    2040    2002    e0a2    1001    e050
+00029c0    1000    31a0    0002    e0a2    f00e    e1a0    2102    e211
+00029d0    1000    4261    3040    e032    0000    2260    c220    e071
+00029e0    001d    3a00    c420    e071    000f    3a00    1301    e1a0
+00029f0    c420    e071    233f    e382    000b    3a00    1301    e1a0
+0002a00    c420    e071    263f    e382    0007    3a00    1301    e1a0
+0002a10    c420    e071    293f    e382    2c3f    2382    1301    21a0
+0002a20    c000    e271    002f    2a00    1321    21a0    c3a0    e071
+0002a30    0381    2040    2002    e0a2    c320    e071    0301    2040
+0002a40    2002    e0a2    c2a0    e071    0281    2040    2002    e0a2
+0002a50    c220    e071    0201    2040    2002    e0a2    c1a0    e071
+0002a60    0181    2040    2002    e0a2    c120    e071    0101    2040
+0002a70    2002    e0b2    ffeb    2aff    c0a0    e071    0081    2040
+0002a80    2002    e0a2    1001    e050    1000    31a0    0002    e0a2
+0002a90    3fc3    e1b0    0000    4260    1000    2261    f00e    e1a0
+0002aa0    00c1    eb00    003f    eb00    0003    e92d    00bf    eb00
+0002ab0    0003    e8bd    007a    eb00    000f    e92d    00be    eb00
+0002ac0    000f    e8bd    fa3b    ebff    ff17    eaff    4001    e92d
+0002ad0    00bc    eb00    00ae    eb00    4001    e8bd    0000    ea00
+0002ae0    0000    e3e0    fce7    eaff    002b    ea00    2020    e252
+0002af0    4010    e92d    0005    3a00    5018    28b1    5018    28a0
+0002b00    5018    28b1    5018    28a0    2020    2252    fff9    2aff
+0002b10    ce02    e1b0    5018    28b1    5018    28a0    0018    48b1
+0002b20    0018    48a0    cf02    e1b0    4010    e8bd    3004    2491
+0002b30    3004    2480    f00e    01a0    2f82    e1b0    2001    44d1
+0002b40    3001    24d1    c001    24d1    2001    44c0    3001    24c0
+0002b50    c001    24c0    f00e    e1a0    2000    e3a0    0004    e351
+0002b60    0008    3a00    c003    e210    fef6    0aff    c004    e26c
+0002b70    2001    e4c0    0002    e35c    2001    a4c0    100c    e041
+0002b80    2001    c4c0    feef    eaff    cf81    e1b0    2001    24c0
+0002b90    2001    24c0    2001    44c0    f00e    e1a0    0002    e3a0
+0002ba0    1002    e3a0    008b    ea00    500e    e1a0    0086    eb00
+0002bb0    4000    e1a0    0007    e3c0    100d    e1a0    d060    e280
+0002bc0    e005    e1a0    4010    e92d    300a    e1a0    fcc0    ebff
+0002bd0    6000    e3a0    7000    e3a0    8000    e3a0    b000    e3a0
+0002be0    4010    e8bd    d007    e3c1    c004    e1a0    09c0    e8ac
+0002bf0    09c0    e8ac    09c0    e8ac    09c0    e8ac    4013    e92d
+0002c00    1000    e3a0    0000    e3a0    0000    e1a0    1040    e281
+0002c10    2001    e080    2e11    e282    201c    e584    0001    e3a0
+0002c20    1018    e584    0010    e584    4013    e8bd    1000    e1a0
+0002c30    0014    e584    f00e    e1a0    4010    e92d    0003    e92d
+0002c40    0061    eb00    4000    e1a0    0003    e8bd    2014    e594
+0002c50    301c    e594    e000    e082    3003    e04d    0003    e15e
+0002c60    2000    e581    000a    8a00    300e    e093    1a01    e28e
+0002c70    1007    e281    3063    e1a0    3007    e3c3    1007    e3c1
+0002c80    0003    e151    1003    81a0    0002    e041    1014    e584
+0002c90    8010    e8bd    2000    e1a0    0000    e3a0    0000    e1a0
+0002ca0    8010    e8bd    43c0    e92d    003e    e92d    5001    e1a0
+0002cb0    4000    e1a0    02c3    eb00    0000    e3a0    4000    e58d
+0002cc0    5004    e58d    0000    e1a0    0008    e58d    000d    e1a0
+0002cd0    f9b4    ebff    4000    e1a0    5001    e1a0    0003    e89d
+0002ce0    6002    e1a0    7003    e1a0    0000    e1a0    0000    e1a0
+0002cf0    0000    e1a0    1000    e3a0    0000    e3a0    0000    e1a0
+0002d00    8000    e1a0    0030    eb00    9000    e1a0    8020    e580
+0002d10    0000    e3a0    1000    e3a0    0000    e1a0    1001    e280
+0002d20    1024    e589    1000    e3a0    0000    e3a0    0000    e1a0
+0002d30    0028    e589    0000    e3a0    1000    e3a0    0000    e1a0
+0002d40    002c    e589    0000    e3a0    1000    e3a0    0000    e1a0
+0002d50    0030    e589    0000    e1a0    0000    e1a0    0000    e1a0
+0002d60    0000    e1a0    0000    e1a0    0000    e1a0    0000    e1a0
+0002d70    0000    e1a0    0000    e1a0    d00c    e28d    0004    e1a0
+0002d80    1005    e1a0    2006    e1a0    3007    e1a0    01f0    e8bd
+0002d90    8200    e8bd    0000    e3a0    4010    e92d    0000    e1a0
+0002da0    0000    e1a0    0000    e1a0    8010    e8bd    f00e    e1a0
+0002db0    0000    e3e0    1002    e3e0    f00e    e1a0    4010    e92d
+0002dc0    000a    eb00    8010    e8bd    f00e    e1a0    0000    e59f
+0002dd0    f00e    e1a0    3a1c    0008    4010    e92d    0008    eb00
+0002de0    0000    e350    8010    08bd    4010    e8bd    fc25    eaff
+0002df0    f00e    e1a0    4010    e92d    fff3    ebff    0004    e280
+0002e00    8010    e8bd    4070    e92d    5001    e1a0    1000    e1a0
+0002e10    4000    e1a0    0000    e3e0    0000    e1a0    0001    e370
+0002e20    2000    e1a0    0004    01a0    1005    01a0    4070    08bd
+0002e30    0006    0a00    0003    e372    1005    11a0    0004    11a0
+0002e40    e00f    11a0    f002    11a0    0000    e3a0    8070    e8bd
+0002e50    2001    e240    4070    e92d    000e    e352    50c0    228f
+0002e60    40b8    e28f    001c    2a00    2017    e3a0    30c0    e59f
+0002e70    0290    e002    3003    e08f    0002    e350    2003    e082
+0002e80    5017    e242    000e    1a00    0301    e311    40a4    128f
+0002e90    0011    1a00    0382    e311    40ac    128f    000e    1a00
+0002ea0    0201    e311    40b0    128f    000b    1a00    0202    e311
+0002eb0    40b0    128f    0008    1a00    0101    e311    40b0    128f
+0002ec0    0005    ea00    0008    e350    4001    01a0    0002    0a00
+0002ed0    0009    e350    0001    0351    50a4    028f    000a    e3a0
+0002ee0    0000    ea00    5001    e285    fbe8    ebff    0000    e5d5
+0002ef0    0000    e350    fffa    1aff    0001    ea00    4001    e284
+0002f00    fbe2    ebff    0000    e5d4    0000    e350    fffa    1aff
+0002f10    000a    e3a0    fbdd    ebff    0001    e3a0    8070    e8bd
+0002f20    0000    0000    6e55    6e6b    776f    206e    6973    6e67
+0002f30    6c61    0000    0960    0000    6e49    6176    696c    2064
+0002f40    704f    7265    7461    6f69    006e    0000    6944    6976
+0002f50    6564    4220    2079    655a    6f72    0000    764f    7265
+0002f60    6c66    776f    0000    0000    6e55    6564    6672    6f6c
+0002f70    0077    0000    6e49    7865    6361    2074    6552    7573
+0002f80    746c    0000    203a    6548    7061    6d20    6d65    726f
+0002f90    2079    6f63    7272    7075    6574    0064    c220    e071
+0002fa0    2000    e3a0    fe7b    3aff    c420    e071    fe6d    3aff
+0002fb0    3000    e3a0    fe8c    eaff    3000    e3a0    0002    ea00
+0002fc0    c000    e3a0    c003    e7c0    3001    e283    0001    e153
+0002fd0    fffa    3aff    2000    e1a0    f000    e320    c030    e59f
+0002fe0    c06c    e59c    c000    e582    0002    e1a0    2004    e282
+0002ff0    f000    e320    c018    e59f    c070    e59c    c004    e482
+0003000    f000    e320    c008    e59f    c074    e59c    c004    e482
+0003010    ff1e    e12f    0000    e490    4070    e92d    4000    e1a0
+0003020    5001    e1a0    1005    e1a0    0004    e1a0    ffe1    ebff
+0003030    8070    e8bd    4010    e92d    f000    e320    05c0    e59f
+0003040    15c0    e59f    0060    e581    f000    e320    0000    e281
+0003050    0070    e590    0407    e3c0    1003    e3a0    2407    e3a0
+0003060    1c01    e002    0001    e180    1598    e59f    0070    e581
+0003070    f000    e320    0000    e281    0070    e590    0901    e3c0
+0003080    1000    e3a0    2901    e3a0    1701    e002    0001    e180
+0003090    1570    e59f    0070    e581    f000    e320    0000    e281
+00030a0    0070    e590    0902    e3c0    1001    e3a0    2902    e3a0
+00030b0    1781    e002    0001    e180    1548    e59f    0070    e581
+00030c0    f000    e320    0000    e281    0068    e590    0a02    e3c0
+00030d0    1000    e3a0    2a02    e3a0    1681    e002    0001    e180
+00030e0    1520    e59f    0068    e581    f000    e320    0000    e281
+00030f0    0068    e590    0a01    e3c0    1000    e3a0    2a01    e3a0
+0003100    1601    e002    0001    e180    14f8    e59f    0068    e581
+0003110    f000    e320    0000    e281    0068    e590    0b01    e3c0
+0003120    1001    e3a0    2b01    e3a0    1501    e002    0001    e180
+0003130    14d0    e59f    0068    e581    4000    e3a0    0000    ea00
+0003140    4001    e284    c906    e254    ce6a    225c    fffb    3aff
+0003150    f000    e320    04b0    e59f    0000    e590    1003    e3c0
+0003160    0002    e381    14a0    e59f    0000    e581    f000    e320
+0003170    0000    e281    0000    e590    000c    e3c0    1001    e3a0
+0003180    200c    e3a0    1101    e002    0001    e180    1478    e59f
+0003190    0000    e581    f000    e320    0000    e281    0000    e590
+00031a0    0010    e3c0    1001    e3a0    2010    e3a0    1201    e002
+00031b0    0001    e180    1450    e59f    0000    e581    f000    e320
+00031c0    f000    e320    0440    e59f    0004    e590    0f00    e1a0
+00031d0    0fa0    e1a0    0001    e350    fff8    1aff    f000    e320
+00031e0    0428    e59f    141c    e59f    0020    e581    f000    e320
+00031f0    0028    e581    f000    e320    0011    e3a0    0024    e581
+0003200    f000    e320    002c    e581    f000    e320    0c3f    e3a0
+0003210    0054    e581    f000    e320    03f4    e59f    0064    e581
+0003220    f000    e320    03ec    e59f    0068    e581    f000    e320
+0003230    03e4    e59f    000c    e581    fa4c    ebff    8010    e8bd
+0003240    f000    e320    0c01    e3a0    13d0    e59f    0004    e581
+0003250    f000    e320    f000    e320    03c0    e59f    0004    e590
+0003260    0f00    e1a0    0fa0    e1a0    0000    e350    fff8    1aff
+0003270    f000    e320    03a4    e59f    0360    e590    0007    e380
+0003280    1398    e59f    0360    e581    f000    e320    0000    e281
+0003290    0360    e590    0c07    e3c0    1007    e3a0    2c07    e3a0
+00032a0    1401    e002    0001    e180    1370    e59f    0360    e581
+00032b0    f000    e320    0000    e281    0360    e590    0807    e3c0
+00032c0    1007    e3a0    2807    e3a0    1801    e002    0001    e180
+00032d0    1348    e59f    0360    e581    f000    e320    0000    e281
+00032e0    0364    e590    1007    e3c0    0001    e381    132c    e59f
+00032f0    0364    e581    f000    e320    0000    e281    0364    e590
+0003300    0c07    e3c0    1001    e3a0    2c07    e3a0    1401    e002
+0003310    0001    e180    1304    e59f    0364    e581    f000    e320
+0003320    0000    e281    0364    e590    0807    e3c0    1001    e3a0
+0003330    2807    e3a0    1801    e002    0001    e180    12dc    e59f
+0003340    0364    e581    f000    e320    0000    e281    0368    e590
+0003350    1007    e3c0    0003    e381    12c0    e59f    0368    e581
+0003360    f000    e320    0000    e281    0368    e590    0c07    e3c0
+0003370    1003    e3a0    2c07    e3a0    1401    e002    0001    e180
+0003380    1298    e59f    0368    e581    f000    e320    0000    e281
+0003390    0368    e590    0807    e3c0    1003    e3a0    2807    e3a0
+00033a0    1801    e002    0001    e180    1270    e59f    0368    e581
+00033b0    f000    e320    0000    e281    0238    e590    0801    e3c0
+00033c0    1000    e3a0    2801    e3a0    1801    e002    0001    e180
+00033d0    1248    e59f    0238    e581    f000    e320    0000    e281
+00033e0    0220    e590    1007    e3c0    0002    e381    122c    e59f
+00033f0    0220    e581    f000    e320    0000    e281    0220    e590
+0003400    0078    e3c0    1001    e3a0    2078    e3a0    1181    e002
+0003410    0001    e180    1204    e59f    0220    e581    f000    e320
+0003420    0000    e281    0210    e590    0002    e3c0    1001    e3a0
+0003430    2002    e3a0    1081    e002    0001    e180    11dc    e59f
+0003440    0210    e581    f000    e320    0000    e281    0210    e590
+0003450    0c02    e3c0    1001    e3a0    2c02    e3a0    1481    e002
+0003460    0001    e180    11b4    e59f    0210    e581    f000    e320
+0003470    0000    e281    0244    e590    10ff    e3c0    0020    e381
+0003480    1198    e59f    0244    e581    f000    e320    0000    e281
+0003490    0244    e590    0cff    e3c0    1020    e3a0    2cff    e3a0
+00034a0    1401    e002    0001    e180    1170    e59f    0244    e581
+00034b0    f000    e320    0000    e281    0244    e590    08ff    e3c0
+00034c0    1020    e3a0    28ff    e3a0    1801    e002    0001    e180
+00034d0    1148    e59f    0244    e581    f000    e320    0000    e281
+00034e0    0278    e590    08ff    e3c0    1010    e3a0    1801    e002
+00034f0    0001    e180    1124    e59f    0278    e581    f000    e320
+0003500    0001    e3a0    0a80    e1a0    02dc    e581    f000    e320
+0003510    0000    e281    0204    e590    0b02    e3c0    1001    e3a0
+0003520    2b02    e3a0    1581    e002    0001    e180    10ec    e59f
+0003530    0204    e581    f000    e320    0000    e281    0254    e590
+0003540    08ff    e3c0    1008    e3a0    28ff    e3a0    1801    e002
+0003550    0001    e180    10c4    e59f    0254    e581    f000    e320
+0003560    0000    e281    0254    e590    0cff    e3c0    1008    e3a0
+0003570    2cff    e3a0    1401    e002    0001    e180    109c    e59f
+0003580    0254    e581    f000    e320    0000    e281    0254    e590
+0003590    10ff    e3c0    0008    e381    1080    e59f    0254    e581
+00035a0    f000    e320    0001    e3a0    0600    e1a0    02f8    e581
+00035b0    f000    e320    0001    e3a0    0680    e1a0    02f8    e581
+00035c0    f000    e320    0001    e3a0    0700    e1a0    02f8    e581
+00035d0    f000    e320    0001    e3a0    0600    e1a0    02dc    e581
+00035e0    f000    e320    0001    e3a0    0400    e1a0    02dc    e581
+00035f0    f000    e320    0001    e3a0    0200    e1a0    02e4    e581
+0003600    ff1e    e12f    f815    8341    0000    e800    03b4    e4d0
+0003610    0001    8430    0033    8020    0123    0014    f041    0000
+0003620    0000    e4d0    4010    e92d    f729    ebff    8010    e8bd
+0003630    ff1e    e12f    d050    e24d    00ff    e88d    5000    e14f
+0003640    e008    e24e    e04c    e58d    0020    e28d    100f    e215
+0003650    7f00    08c0    001c    0280    4000    08a0    0006    0a00
+0003660    2000    e10f    300f    e3c2    1001    e183    f001    e12f
+0003670    7f00    e8a0    f002    e12f    4000    e8a0    6f10    ee16
+0003680    7f10    ee15    00e0    e880    300c    e240    1000    e593
+0003690    000d    e1a0    2114    e59f    8114    e59f    80b0    e1d8
+00036a0    9110    e59f    0009    e158    0000    0a00    2108    e59f
+00036b0    faff    ebff    1fff    e8bd    d018    e28d    8000    e8fd
+00036c0    d050    e24d    00ff    e88d    5000    e14f    e004    e24e
+00036d0    e04c    e58d    0020    e28d    100f    e215    7f00    08c0
+00036e0    001c    0280    4000    08a0    0006    0a00    2000    e10f
+00036f0    300f    e3c2    1001    e183    f001    e12f    7f00    e8a0
+0003700    f002    e12f    4000    e8a0    6f10    ee16    7f10    ee15
+0003710    00e0    e880    300c    e240    1000    e593    000d    e1a0
+0003720    2098    e59f    fae2    ebff    1fff    e8bd    d018    e28d
+0003730    8000    e8fd    d050    e24d    00ff    e88d    5000    e14f
+0003740    e004    e24e    e04c    e58d    0020    e28d    100f    e215
+0003750    7f00    08c0    001c    0280    4000    08a0    0006    0a00
+0003760    2000    e10f    300f    e3c2    1001    e183    f001    e12f
+0003770    7f00    e8a0    f002    e12f    4000    e8a0    6f10    ee16
+0003780    7f30    ee15    00e0    e880    300c    e240    1000    e593
+0003790    000d    e1a0    2028    e59f    fac5    ebff    1fff    e8bd
+00037a0    d018    e28d    8000    e8fd    0070    e120    ff1e    e12f
+00037b0    aaaa    0000    3938    0008    ffff    0000    dddd    0000
+00037c0    cccc    0000    bbbb    0000    4010    e92d    fd88    ebff
+00037d0    1000    e3a0    1000    e580    8010    e8bd    6241    6f6e
+00037e0    6d72    6c61    7420    7265    696d    616e    6974    6e6f
+00037f0    0000    4100    6972    6874    656d    6974    2063    7865
+0003800    6563    7470    6f69    3a6e    0020    6c49    656c    6167
+0003810    206c    6e69    7473    7572    7463    6f69    006e    0000
+0003820    4900    746e    7265    7572    7470    7220    6365    6965
+0003830    6576    0064    0000    0000    6c49    656c    6167    206c
+0003840    6461    7264    7365    0073    0000    0000    0000    5400
+0003850    7265    696d    616e    6974    6e6f    7220    7165    6575
+0003860    7473    0000    0000    7453    6361    206b    766f    7265
+0003870    6c66    776f    0000    0000    0000    0000    5200    6465
+0003880    7269    6365    3a74    6320    6e61    7427    6f20    6570
+0003890    3a6e    0020    754f    2074    666f    6820    6165    2070
+00038a0    656d    6f6d    7972    0000    0000    5500    6573    2d72
+00038b0    6564    6966    656e    2064    6973    6e67    6c61    3120
+00038c0    0000    7355    7265    642d    6665    6e69    6465    7320
+00038d0    6769    616e    206c    0032    5000    7275    2065    6976
+00038e0    7472    6175    206c    6e66    6320    6c61    656c    0064
+00038f0    2b43    202b    696c    7262    7261    2079    7865    6563
+0003900    7470    6f69    006e    4f00    7475    6f20    2066    6568
+0003910    7061    0000    0000    0000    0000    0000    0000    0000
+0003920    0000    0008    0000    0002    0000    6000    0000    0200
+0003930    2000    ffff    2000    0000    ffff    0000    f018    e59f
+0003940    f018    e59f    f018    e59f    f018    e59f    f018    e59f
+0003950    f018    e59f    f018    e59f    f018    e59f    1268    0008
+0003960    0040    0000    004c    0000    0050    0000    0060    0000
+0003970    0070    0000    0000    ffff    0070    0000    40ff    e92d
+0003980    fffe    eaff    80ff    e8fd    fffe    eaff    e004    e24e
+0003990    40ff    e92d    fffe    eaff    80ff    e8fd    e004    e24e
+00039a0    40ff    e92d    fffe    eaff    80ff    e8fd    fffe    eaff
+00039b0    e004    e24e    4000    e92d    e000    e14f    401f    e92d
+00039c0    0000    e10f    2000    e1a0    00e0    e200    0013    e380
+00039d0    f000    e121    0004    e92d    308c    e59f    4000    e593
+00039e0    40ff    e204    3084    e59f    0000    e593    0000    e110
+00039f0    0013    0a00    3078    e59f    2000    e593    0004    e92d
+0003a00    000f    e350    0001    1280    0000    e583    5fff    e92d
+0003a10    2060    e59f    1184    e1a0    2001    e082    4001    e92d
+0003a20    0004    e1a0    e00f    e1a0    e004    e28e    f000    e592
+0003a30    4001    e8bd    5fff    e8bd    0004    e8bd    3030    e59f
+0003a40    2000    e583    0004    e8bd    2080    e382    f002    e121
+0003a50    1001    e3a0    0020    e59f    1000    e580    401f    e8bd
+0003a60    f00e    e169    8000    e8fd    fffe    eaff    0484    e000
+0003a70    0488    e000    0470    e000    3000    ffff    0014    f280
+0003a80    ff01    ff01    ff01    ff01    2e01    0182    0182    0182
+0003a90    0182    0182    ff02    0101    00ed    0000                
index c2ba80f..8a45fef 100644 (file)
@@ -122,6 +122,7 @@ omap4_panda                  arm         armv7       panda               ti
 omap4_sdp4430                arm         armv7       sdp4430             ti             omap4
 s5p_goni                     arm         armv7       goni                samsung        s5pc1xx
 smdkc100                     arm         armv7       smdkc100            samsung        s5pc1xx
+s5pc110_f1                   arm         armv7       f1_c110             samsung        s5pc1xx
 s5pc110_universal            arm         armv7       universal_c110      samsung        s5pc1xx
 s5pc210_universal            arm         armv7       universal_c210      samsung        s5pc2xx
 actux1                       arm         ixp
diff --git a/include/configs/s5pc110_f1.h b/include/configs/s5pc110_f1.h
new file mode 100644 (file)
index 0000000..54201f6
--- /dev/null
@@ -0,0 +1,454 @@
+/*
+ * Copyright (C) 2009 Samsung Electronics
+ * Minkyu Kang <mk7.kang@samsung.com>
+ *
+ * Configuation settings for the SAMSUNG Universal (s5pc100) board.
+ *
+ * See file CREDITS for list of people who contributed to this
+ * project.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ */
+
+#ifndef __CONFIG_H
+#define __CONFIG_H
+
+/*
+ * High Level Configuration Options
+ * (easy to change)
+ */
+#define CONFIG_ARMV7           1       /* This is an ARM V7 CPU core */
+#define CONFIG_SAMSUNG         1       /* in a SAMSUNG core */
+#define CONFIG_S5P             1       /* which is in a S5P Family */
+#define CONFIG_S5PC100         1       /* which is in a S5PC100 */
+#define CONFIG_S5PC110         1       /* which is in a S5PC110 */
+#define CONFIG_MACH_GONI       1       /* working with Goni */
+#define CONFIG_SBOOT           1       /* use the s-boot */
+
+#include <asm/arch/cpu.h>              /* get chip and board defs */
+
+#define CONFIG_ARCH_CPU_INIT
+
+#define CONFIG_SYS_SDRAM_BASE  0x30000000
+
+/* input clock of PLL: Universal has 12MHz/24MHz input clock at S5PC100/C110 */
+#define CONFIG_SYS_CLK_FREQ_C100       12000000
+#define CONFIG_SYS_CLK_FREQ_C110       24000000
+
+#define CONFIG_SETUP_MEMORY_TAGS
+#define CONFIG_CMDLINE_TAG
+#define CONFIG_INITRD_TAG
+#define CONFIG_REVISION_TAG
+
+/* Clock Defines */
+#define V_OSCK         26000000        /* Clock output from T2 */
+#define V_SCLK         (V_OSCK >> 1)
+
+/*
+ * Architecture magic and machine type
+ */
+#define CONFIG_DISPLAY_CPUINFO
+
+/*
+ * Size of malloc() pool
+ */
+#define CONFIG_SYS_MALLOC_LEN          (CONFIG_ENV_SIZE + 1024 * 1024)
+#define CONFIG_SYS_GBL_DATA_SIZE       128     /* size in bytes for initial data */
+
+/*
+ * select serial console configuration
+ */
+#define CONFIG_SERIAL_MULTI    1
+#define CONFIG_SERIAL2          1      /* we use SERIAL 2 on S5PC100 */
+
+/* INFORM0~3 registers are cleared by asserting XnRESET pin */
+/* INFORM4~7 registers are cleared only by power-up reset */
+#define CONFIG_INFO_ADDRESS            0xE010F010      /* INFORM4 */
+
+/* 
+ * spi gpio 
+ */
+#define CONFIG_SPI_GPIO                1
+
+/* MMC */
+#define CONFIG_GENERIC_MMC     1
+#define CONFIG_MMC             1
+#define CONFIG_S5P_MMC         1
+#define CONFIG_MMC_ASYNC_WRITE 1
+
+#define CONFIG_CMDLINE_EDITING
+
+#define CONFIG_BAUDRATE                115200
+
+/* It should define before config_cmd_default.h */
+#define CONFIG_SYS_NO_FLASH            1
+/***********************************************************
+ * Command definition
+ ***********************************************************/
+#include <config_cmd_default.h>
+
+#undef CONFIG_CMD_BOOTD
+#undef CONFIG_CMD_CONSOLE
+#undef CONFIG_CMD_ECHO
+#undef CONFIG_CMD_EDITENV
+#undef CONFIG_CMD_FPGA
+#undef CONFIG_CMD_IMI
+#undef CONFIG_CMD_ITEST
+#undef CONFIG_CMD_FLASH
+#undef CONFIG_CMD_IMLS
+#undef CONFIG_CMD_LOADB
+#undef CONFIG_CMD_LOADS
+#undef CONFIG_CMD_NAND
+#undef CONFIG_CMD_MISC
+#undef CONFIG_CMD_NFS
+#undef CONFIG_CMD_SETGETDCR
+#undef CONFIG_CMD_SOURCE
+#undef CONFIG_CMD_XIMG
+#define CONFIG_CMD_CACHE
+#define CONFIG_CMD_ONENAND
+#define CONFIG_CMD_MTDPARTS
+#define CONFIG_CMD_MTDPARTS_LITE
+#define CONFIG_CMD_I2C
+#define CONFIG_CMD_MMC
+//#define CONFIG_CMD_SLEEP
+#define CONFIG_CMD_PMIC
+#define CONFIG_CMD_FAT
+#define CONFIG_CMD_RAMOOPS
+#define CONFIG_INFO_ACTION
+
+/* disabled commands */
+//#define CONFIG_CMD_GPIO
+
+//#define CONFIG_CMD_USB_MASS_STORAGE
+
+#undef CONFIG_CRC16
+#undef CONFIG_XYZMODEM
+#define CONFIG_SHOW_REGS_SILENT
+
+#define CONFIG_SYS_64BIT_VSPRINTF      1
+
+#define CONFIG_BOOTDELAY               1
+
+#define CONFIG_ZERO_BOOTDELAY_CHECK
+
+/* To enable UBI command */
+#if 0
+#define CONFIG_CMD_UBI
+#define CONFIG_RBTREE
+#define CONFIG_CMD_UBIFS
+#define CONFIG_LZO
+#endif
+
+/* To enable make ubifs and ubinized image*/
+#define CONFIG_LZO_COMPRESSION
+#define CONFIG_UBIFS_MK
+#define CONFIG_UBINIZE
+
+#define CONFIG_FAT_WRITE
+
+/* To use the TFTPBOOT over USB, Please enable the CONFIG_CMD_NET */
+#undef CONFIG_CMD_NET
+
+#ifdef CONFIG_CMD_NET
+/* Ethernet */
+#define CONFIG_NET_MULTI               1
+#define CONFIG_NET_RETRY_COUNT         2
+#define CONFIG_NET_DO_NOT_TRY_ANOTHER  1
+
+/* NFS support in Ethernet over USB is broken */
+
+/* Configure Ethernet over USB */
+#define CONFIG_USB_ETH_RNDIS           1
+#define CONFIG_USB_GADGET              1
+#define CONFIG_USB_GADGET_S3C_UDC_OTG          1
+#define CONFIG_USB_GADGET_DUALSPEED    1
+#define CONFIG_USB_ETHER               1
+#define CONFIG_USBNET_MANUFACTURER      "S5PC1xx U-Boot"
+/* ethaddr settings can be overruled via environment settings */
+#define CONFIG_USBNET_DEV_ADDR         "8e:28:0f:fa:3c:39"
+#define CONFIG_USBNET_HOST_ADDR                "0a:fa:63:8b:e8:0a"
+#define CONFIG_USB_CDC_VENDOR_ID        0x0525
+#define CONFIG_USB_CDC_PRODUCT_ID       0xa4a1
+#define CONFIG_USB_RNDIS_VENDOR_ID      0x0525
+#define CONFIG_USB_RNDIS_PRODUCT_ID     0xa4a2
+
+#endif
+
+#ifdef CONFIG_CMD_USB_MASS_STORAGE
+#define CONFIG_USB_GADGET              1
+#define CONFIG_USB_GADGET_S3C_UDC_OTG          1
+#define CONFIG_USB_GADGET_DUALSPEED    1
+#define CONFIG_USB_GADGET_MASS_STORAGE
+#endif
+
+#define CONFIG_NETMASK         255.255.255.0
+#define CONFIG_IPADDR          192.168.129.3
+#define CONFIG_SERVERIP                192.168.129.1
+#define CONFIG_GATEWAYIP       192.168.129.1
+#define CONFIG_ETHADDR         8e:28:0f:fa:3c:39
+
+#define CONFIG_MTD_DEVICE
+#define CONFIG_MTD_PARTITIONS
+
+/* Actual modem binary size is 16MiB. Add 2MiB for bad block handling */
+#define MTDIDS_DEFAULT         "onenand0=samsung-onenand"
+
+#ifdef CONFIG_SBOOT
+#define MTDPARTS_DEFAULT       "mtdparts=samsung-onenand:"\
+                               "256k(s-boot)"\
+                               ",512k(bootloader)"\
+                               ",256k(params)"\
+                               ",2816k(config)"\
+                               ",8m(csa)"\
+                               ",7m(kernel)"\
+                               ",1m(log)"\
+                               ",12m(modem)"\
+                               ",60m(qboot)"\
+                               ",-(UBI)\0"
+#else
+#define MTDPARTS_DEFAULT       "mtdparts=samsung-onenand:"\
+                               "768k(bootloader)"\
+                               ",256k(params)"\
+                               ",2816k(config)"\
+                               ",8m(csa)"\
+                               ",7m(kernel)"\
+                               ",1m(log)"\
+                               ",12m(modem)"\
+                               ",60m(qboot)"\
+                               ",-(UBI)\0"
+#endif
+
+#define NORMAL_MTDPARTS_DEFAULT MTDPARTS_DEFAULT
+
+#define CONFIG_BOOTCOMMAND "run ubifsboot"
+
+#define CONFIG_DEFAULT_CONSOLE "console=ttySAC2,115200n8\0"
+
+#define CONFIG_RAMDISK_BOOT    "root=/dev/ram0 rw rootfstype=ext2" \
+               " ${console} ${meminfo}"
+
+#define CONFIG_COMMON_BOOT     "${console} ${meminfo} ${mtdparts}"
+
+#define CONFIG_BOOTLOADER_SECTOR       0x80
+
+#define CONFIG_BOOTARGS        "root=/dev/mtdblock8 ubi.mtd=8 ubi.mtd=3 ubi.mtd=6" \
+               " rootfstype=cramfs " CONFIG_COMMON_BOOT
+
+#define CONFIG_UPDATEB "updateb=onenand erase 0x0 0x100000;" \
+                       " onenand write 0x32008000 0x0 0x100000\0"
+
+#define CONFIG_UBIFS_OPTION    "rootflags=bulk_read,no_chk_data_crc"
+
+#ifdef CONFIG_SBOOT
+#define CONFIG_UBI_MTD " ubi.mtd=${ubiblock} ubi.mtd=4 ubi.mtd=7"
+#define CONFIG_BOOTBLOCK       "10"
+#define CONFIG_UBIBLOCK                "9"
+#else
+#define CONFIG_UBI_MTD " ubi.mtd=${ubiblock} ubi.mtd=3 ubi.mtd=6"
+#define CONFIG_BOOTBLOCK       "9"
+#define CONFIG_UBIBLOCK                "8"
+#endif
+
+#define CONFIG_ENV_OVERWRITE
+#define CONFIG_ENV_AUTOSAVE
+#define CONFIG_SYS_CONSOLE_IS_IN_ENV
+#define CONFIG_SYS_CONSOLE_INFO_QUIET
+#define CONFIG_EXTRA_ENV_SETTINGS                                      \
+       CONFIG_UPDATEB \
+       "updatek=onenand erase 0xc00000 0x600000;" \
+       " onenand write 0x31008000 0xc00000 0x600000\0" \
+       "updateu=onenand erase 0x01560000 0x1eaa0000;" \
+       " onenand write 0x32000000 0x1260000 0x8C0000\0" \
+       "bootk=onenand read 0x30007FC0 0xbc0000 0x600000;" \
+       " bootm 0x30007FC0\0" \
+       "updatemmc=mmc boot 0 1 1 1; mmc write 0 0x32008000 0 0x200;" \
+               " mmc boot 0 1 1 0\0" \
+       "updatebackup=mmc boot 0 1 1 2; mmc write 0 0x32100000 0 0x200;" \
+               " mmc boot 0 1 1 0\0" \
+       "updatebootb=mmc read 0 0x32100000 0x80 0x200; run updatebackup\0" \
+       "flashboot=set bootargs root=/dev/mtdblock${bootblock}" \
+        " rootfstype=${rootfstype}" \
+        CONFIG_UBI_MTD " ${opts} ${lcdinfo} " CONFIG_COMMON_BOOT "; run bootk\0" \
+       "ubifsboot=set bootargs root=ubi0!rootfs rootfstype=ubifs " \
+        CONFIG_UBIFS_OPTION CONFIG_UBI_MTD " ${opts} ${lcdinfo} " \
+        CONFIG_COMMON_BOOT "; run bootk\0" \
+       "tftpboot=set bootargs root=ubi0!rootfs rootfstype=ubifs " \
+        CONFIG_UBIFS_OPTION CONFIG_UBI_MTD " ${opts} ${lcdinfo} " \
+        CONFIG_COMMON_BOOT "; tftp 0x30007FC0 uImage; bootm 0x30007FC0\0" \
+       "boottrace=setenv opts initcall_debug; run bootcmd\0" \
+       "android=set bootargs root=ubi0!ramdisk " CONFIG_UBI_MTD \
+        " rootfstype=ubifs init=/init.sh " CONFIG_COMMON_BOOT "; run bootk\0" \
+       "nfsboot=set bootargs root=/dev/nfs rw " CONFIG_UBI_MTD \
+        " nfsroot=${nfsroot},nolock,tcp ip=${ipaddr}:${serverip}:${gatewayip}:" \
+        "${netmask}:generic:usb0:off " CONFIG_COMMON_BOOT "; run bootk\0" \
+       "ramboot=set bootargs " CONFIG_RAMDISK_BOOT \
+        " initrd=0x33000000,8M ramdisk=8192\0" \
+       "mmcboot=set bootargs root=/dev/mmcblk${mmcdev}p${mmcrootpart} " \
+               "rootwait ${console} ${meminfo} ${opts} ${lcdinfo}; " \
+               "run loaduimage; bootm 0x30007FC0\0" \
+        CONFIG_UBI_MTD " ${opts} ${lcdinfo} " CONFIG_COMMON_BOOT "; run bootk\0" \
+       "bootchart=set opts init=/sbin/bootchartd; run bootcmd\0" \
+       CONFIG_UBI_MTD "; bootm 0x30007FC0\0" \
+       "verify=n\0" \
+       "rootfstype=cramfs\0" \
+       "console=" CONFIG_DEFAULT_CONSOLE \
+       "mtdparts=" MTDPARTS_DEFAULT \
+       "meminfo=mem=80M mem=128M@0x40000000\0" \
+       "nfsroot=/nfsroot/arm\0" \
+       "mmcblk=/dev/mmcblk1p1\0" \
+       "bootblock=" CONFIG_BOOTBLOCK "\0" \
+       "ubiblock=" CONFIG_UBIBLOCK" \0" \
+       "ubi=enabled\0" \
+       "loaduimage=fatload mmc ${mmcdev}:${mmcbootpart} 0x30007FC0 uImage\0" \
+       "mmcbootpart=2\0" \
+       "opts=always_resume=1"
+
+/*
+ * Miscellaneous configurable options
+ */
+#define CONFIG_SYS_LONGHELP    /* undef to save memory */
+#define CONFIG_SYS_HUSH_PARSER         /* use "hush" command parser    */
+#define CONFIG_SYS_PROMPT_HUSH_PS2     "> "
+#define CONFIG_SYS_PROMPT      "F1 # " /* Monitor Command Prompt */
+#define CONFIG_SYS_CBSIZE      256     /* Console I/O Buffer Size */
+#define CONFIG_SYS_PBSIZE      384     /* Print Buffer Size */
+#define CONFIG_SYS_MAXARGS     16      /* max number of command args */
+#define CONFIG_SYS_BARGSIZE    CONFIG_SYS_CBSIZE       /* Boot Argument Buffer Size */
+
+#define CONFIG_SYS_MEMTEST_START       CONFIG_SYS_SDRAM_BASE   /* memtest works on           */
+#define CONFIG_SYS_MEMTEST_END         (CONFIG_SYS_SDRAM_BASE + 0x5000000)
+
+#define CONFIG_SYS_LOAD_ADDR           (CONFIG_SYS_SDRAM_BASE + 0x4800000)
+
+#define CONFIG_SYS_HZ                  1000
+
+/* valid baudrates */
+#define CONFIG_SYS_BAUDRATE_TABLE      { 9600, 19200, 38400, 57600, 115200 }
+
+/*-----------------------------------------------------------------------
+ * Stack sizes
+ *
+ * The stack sizes are set up in start.S using the settings below
+ */
+#define CONFIG_STACKSIZE       (256 << 10)     /* regular stack 256KB */
+
+/*******************************
+ Support Clock Settings(APLL)
+ *******************************
+ ARMCLK                HCLKD0          PCLKD0
+ -------------------------------
+ 667           166                     83
+ 600           150                     75
+ 533           133                     66
+ 500           166                     66
+ 467           117                     59
+ 400           100                     50
+ *******************************/
+
+#define CONFIG_CLK_667_166_83
+/*#define CONFIG_CLK_666_166_66*/
+/*#define CONFIG_CLK_600_150_75*/
+/*#define CONFIG_CLK_533_133_66*/
+/*#define CONFIG_CLK_500_166_66*/
+/*#define CONFIG_CLK_467_117_59*/
+/*#define CONFIG_CLK_400_100_50*/
+
+/* Goni has 3 banks of DRAM, but swap the bank */
+#define CONFIG_NR_DRAM_BANKS   3
+#define PHYS_SDRAM_1           CONFIG_SYS_SDRAM_BASE   /* OneDRAM Bank #0 */
+#define PHYS_SDRAM_1_SIZE      (80 << 20)              /* 80 MB in Bank #0 */
+#define PHYS_SDRAM_2           0x40000000              /* mDDR DMC1 Bank #1 */
+#define PHYS_SDRAM_2_SIZE      (256 << 20)             /* 256 MB in Bank #1 */
+#define PHYS_SDRAM_3           0x50000000              /* mDDR DMC2 Bank #2 */
+#define PHYS_SDRAM_3_SIZE      (128 << 20)             /* 128 MB in Bank #2 */
+
+#define CONFIG_SYS_MONITOR_BASE        0x00000000
+
+/*-----------------------------------------------------------------------
+ * FLASH and environment organization
+ */
+
+#define CONFIG_SYS_MONITOR_LEN         (256 << 10)     /* Reserve 2 sectors */
+
+/* OneNAND IPL uses 8KiB */
+#define CONFIG_ONENAND_START_PAGE      4
+
+#define CONFIG_ENV_IS_IN_ONENAND       1
+#define CONFIG_ENV_SIZE                        4096
+#define CONFIG_ENV_ADDR                        (768 << 10)     /* 768KB, 0xc00000 */
+
+#define CONFIG_USE_ONENAND_BOARD_INIT
+#define CONFIG_SAMSUNG_ONENAND         1
+#define CONFIG_SYS_ONENAND_BASE                0xB0000000
+
+#define CONFIG_DOS_PARTITION   1
+
+#define CONFIG_MISC_INIT_R
+
+/* I2C */
+#if 0
+#define CONFIG_DRIVER_S5PC1XX_I2C
+#define CONFIG_HARD_I2C                1
+#define CONFIG_SYS_I2C_SPEED   50000
+#define CONFIG_SYS_I2C_SLAVE   0xFE
+#define CONFIG_SYS_I2C_0       1
+#else
+#include <i2c-gpio.h>
+#define CONFIG_S5P_GPIO_I2C    1
+#define CONFIG_SOFT_I2C                1
+#define CONFIG_SYS_I2C_INIT_BOARD
+#define CONFIG_SYS_I2C_SPEED   50000
+#define CONFIG_I2C_MULTI_BUS
+#define CONFIG_SYS_MAX_I2C_BUS 7
+#endif
+
+/* USB Downloader */
+#define CONFIG_CMD_USBDOWN
+#define CONFIG_SAMSUNG_USB
+/* select USB operation mode: CONFIG_S5P_USB_DMA or CONFIG_S5P_USB_CPU */
+#define CONFIG_S5P_USB_DMA
+#define CONFIG_OTG_CLK_OSCC
+#define CONFIG_SYS_DOWN_ADDR   CONFIG_SYS_SDRAM_BASE
+#define CONFIG_RAMDISK_ADDR    (CONFIG_SYS_SDRAM_BASE + 0x03000000)
+
+/* LCD */
+#if 0          /* For LCD test */
+#define CONFIG_LCD             1
+#define CONFIG_FB_RESERVED_MEM 0x42504000
+#define CONFIG_S5PC1XXFB       1
+#define CONFIG_DSIM            1
+#define CONFIG_S6E63M0         1
+#define CONFIG_S6E39A0X                1
+#define CONFIG_S6D16A0X                1
+#define CONFIG_LD9040          1
+#define CONFIG_CMD_BMP
+#endif
+
+#if 0
+#define CONFIG_CMD_EXT2                        1
+#define CONFIG_CMD_ONENAND_EXT2                1
+#endif
+
+/* Insert bmp animation compressed */
+#define CONFIG_VIDEO_BMP_GZIP
+#ifndef CONFIG_SYS_VIDEO_LOGO_MAX_SIZE
+#define CONFIG_SYS_VIDEO_LOGO_MAX_SIZE (250*250*4)
+#endif
+
+#define CONFIG_SYS_SP_ADDR     (CONFIG_SYS_LOAD_ADDR - 0x1000000)
+#define CONFIG_SYS_INIT_SP_ADDR        (CONFIG_SYS_SP_ADDR - CONFIG_SYS_GBL_DATA_SIZE)
+
+#endif /* __CONFIG_H */
index f106d08..2cea8e7 100644 (file)
  * Miscellaneous configurable options
  */
 #define CONFIG_SYS_LONGHELP    /* undef to save memory */
+#define CONFIG_SYS_HUSH_PARSER         /* use "hush" command parser    */
+#define CONFIG_SYS_PROMPT_HUSH_PS2     "> "
 #define CONFIG_SYS_PROMPT      "Universal # "  /* Monitor Command Prompt */
 #define CONFIG_SYS_CBSIZE      256     /* Console I/O Buffer Size */
 #define CONFIG_SYS_PBSIZE      384     /* Print Buffer Size */
 #define CONFIG_RAMDISK_ADDR    (CONFIG_SYS_SDRAM_BASE + 0x03000000)
 
 /* LCD */
-#if 1          /* For LCD test */
+#if 0          /* For LCD test */
 #define CONFIG_LCD             1
 #define CONFIG_FB_RESERVED_MEM 0x42504000
 #define CONFIG_S5PC1XXFB       1