use VERT_ATTRIB_MAX for loop limit
authorBrian <brian.paul@tungstengraphics.com>
Fri, 21 Sep 2007 17:34:20 +0000 (11:34 -0600)
committerBrian <brian.paul@tungstengraphics.com>
Fri, 21 Sep 2007 17:34:20 +0000 (11:34 -0600)
src/mesa/state_tracker/st_atom_vs.c

index ef3ed80..e4e9902 100644 (file)
@@ -67,7 +67,7 @@ st_translate_vertex_shader(struct st_context *st,
     * Determine number of inputs, the mappings between VERT_ATTRIB_x
     * and TGSI generic input indexes, plus input attrib semantic info.
     */
-   for (attr = 0; attr < MAX_VERTEX_PROGRAM_ATTRIBS; attr++) {
+   for (attr = 0; attr < VERT_ATTRIB_MAX; attr++) {
       if (stvp->Base.Base.InputsRead & (1 << attr)) {
          stvp->input_to_index[attr] = vs.num_inputs;
          stvp->index_to_input[vs.num_inputs] = attr;