added isVerbose()
authormarc <devnull@localhost>
Thu, 14 Dec 1995 16:16:21 +0000 (16:16 +0000)
committermarc <devnull@localhost>
Thu, 14 Dec 1995 16:16:21 +0000 (16:16 +0000)
CVS patchset: 42
CVS date: 1995/12/14 16:16:21

lib/messages.c
lib/messages.h
rpmio/messages.c

index 81ba019..7e76c44 100644 (file)
@@ -13,6 +13,11 @@ void setVerbosity(int level) {
     minLevel = level;
 }
 
+int isVerbose()
+{
+    return (minLevel >= MESS_VERBOSE);
+}
+
 void message(int level, char * format, ...) {
     va_list args;
 
index 4de2cd8..8814e36 100644 (file)
@@ -13,5 +13,6 @@
 void increaseVerbosity(void);
 void setVerbosity(int level);
 void message(int level, char * format, ...);
+int isVerbose();
 
 #endif
index 81ba019..7e76c44 100644 (file)
@@ -13,6 +13,11 @@ void setVerbosity(int level) {
     minLevel = level;
 }
 
+int isVerbose()
+{
+    return (minLevel >= MESS_VERBOSE);
+}
+
 void message(int level, char * format, ...) {
     va_list args;