[clang-format] Handle Verilog struct literals
authorsstwcw <f0gukp2nk@protonmail.com>
Sat, 1 Apr 2023 17:10:06 +0000 (17:10 +0000)
committersstwcw <f0gukp2nk@protonmail.com>
Sat, 1 Apr 2023 17:10:31 +0000 (17:10 +0000)
commitfeb585e7d62ce92fcd8334abde4a84ee0301dcd3
tree52a214f8e8581f33f3b5a084e266796ee2482f92
parent92b2be39656b9d5c6b57b844884f3bcf3e44f6cd
[clang-format] Handle Verilog struct literals

Previously `isVerilogIdentifier` was mistaking the apostrophe used in
struct literals as an identifier.  It is fixed.

Reviewed By: HazardyKnusperkeks, owenpan

Differential Revision: https://reviews.llvm.org/D147329
clang/lib/Format/Format.cpp
clang/lib/Format/FormatToken.h
clang/unittests/Format/FormatTestVerilog.cpp