Remove stray semicolon. NFC.
authorVasileios Kalintiris <Vasileios.Kalintiris@imgtec.com>
Wed, 3 Jun 2015 08:51:30 +0000 (08:51 +0000)
committerVasileios Kalintiris <Vasileios.Kalintiris@imgtec.com>
Wed, 3 Jun 2015 08:51:30 +0000 (08:51 +0000)
commit9f77f61ef31d9b04a2af9c2896e778955ccab281
treef9f021f912a5b35c350d4ae55fc0f4446deb01b8
parent81dbb564a14ff7a162ae920456f064e865294ed0
Remove stray semicolon. NFC.

llvm-svn: 238908
llvm/lib/Transforms/Scalar/RewriteStatepointsForGC.cpp