task: explicitly cast to the enum type
authorJosep Torra <n770galaxy@gmail.com>
Thu, 25 Aug 2011 19:52:05 +0000 (21:52 +0200)
committerJosep Torra <n770galaxy@gmail.com>
Fri, 26 Aug 2011 12:11:14 +0000 (14:11 +0200)
commit97442ad1a3588ece9c21e925b4d831ed492de3a0
tree9fb0adf808bf8e042ca72a68edd441227038ef15
parent95b96b57a25a5e9745cee0fef543f2061c630579
task: explicitly cast to the enum type

Fixes warning #188: enumerated type mixed with another type reported by ICC.
gst/gsttask.c