re_intuit_start(): remove redundant assertion
authorDavid Mitchell <davem@iabyn.com>
Mon, 17 Feb 2014 20:12:31 +0000 (20:12 +0000)
committerDavid Mitchell <davem@iabyn.com>
Sun, 16 Mar 2014 18:03:49 +0000 (18:03 +0000)
commit838b29afa5fb090e885342b04b29a9bd24b07981
tree5ac80a4128e1855834f7ad32d705733776cb43bd
parentab60c45aeb1dd179abc80fd3a27963e6518497a7
re_intuit_start(): remove redundant assertion

assert(prog->substrs->check_ix) is within the block
    if (prog->substrs->check_ix == 1)
so it's not needed any more.
regexec.c