Add regression test for PR27699.
authorVassil Vassilev <v.g.vassilev@gmail.com>
Fri, 22 Jul 2016 20:49:31 +0000 (20:49 +0000)
committerVassil Vassilev <v.g.vassilev@gmail.com>
Fri, 22 Jul 2016 20:49:31 +0000 (20:49 +0000)
commit462a5328ddd6ee7612911ea6f91da40ed3d994f4
tree0116d070039df6c3356e727e628ba71732a9ef9c
parent127d0502a0a86bf737934d8f018175a0aefc611d
Add regression test for PR27699.

llvm-svn: 276469
clang/test/Modules/Inputs/PR27699/Subdir/a.h [new file with mode: 0644]
clang/test/Modules/Inputs/PR27699/Subdir/b.h [new file with mode: 0644]
clang/test/Modules/Inputs/PR27699/module.modulemap [new file with mode: 0644]
clang/test/Modules/Inputs/PR27699/streambuf [new file with mode: 0644]
clang/test/Modules/pr27699.cpp [new file with mode: 0644]