Add files via upload
authorville-v <ville-v@users.noreply.github.com>
Wed, 1 Mar 2017 06:42:14 +0000 (08:42 +0200)
committerGitHub <noreply@github.com>
Wed, 1 Mar 2017 06:42:14 +0000 (08:42 +0200)
commit1de10f9f86699355c727c59dab333d2c01803630
treeb41b79b7402e103040546b41c5b1359d5e5ac3ee
parent78784040aa8da331b75c3d30db2674da0bc33e5f
Add files via upload

Fix issue #8280: "fastmath.h related compile errors with Embarcadero C++ Builder 10.1"
modules/core/include/opencv2/core/fast_math.hpp