S/390: Remove code duplication in vec_unordered<mode>
authorIlya Leoshkevich <iii@linux.ibm.com>
Mon, 30 Sep 2019 17:40:02 +0000 (17:40 +0000)
committerIlya Leoshkevich <iii@gcc.gnu.org>
Mon, 30 Sep 2019 17:40:02 +0000 (17:40 +0000)
commit021f65807164b2770e8f113b0f85b1bb193020ef
tree0bd502e04ec087c50a13b5973caa13fad203bec5
parent6b34d5ff5563916c52582a4c7473689bb0086c19
S/390: Remove code duplication in vec_unordered<mode>

vec_unordered<mode> is vec_ordered<mode> plus a negation at the end.
Reuse vec_unordered<mode> logic.

gcc/ChangeLog:

2019-09-30  Ilya Leoshkevich  <iii@linux.ibm.com>

PR target/77918
* config/s390/vector.md (vec_unordered<mode>): Call
gen_vec_ordered<mode>.

From-SVN: r276360
gcc/ChangeLog
gcc/config/s390/vector.md