X-Git-Url: http://review.tizen.org/git/?a=blobdiff_plain;f=opcodes%2Faarch64-asm-2.c;h=dad36a31017c8ed9abd6915b810be469d03c1320;hb=64485cb2108df2a4e1f0fcc8b97091fe48da4654;hp=b000d3ced4c690cfc83eed8f9d4ab49eb75c93bd;hpb=3f06e55061d0d8f72dfd11f6c432c23f45d9b597;p=external%2Fbinutils.git diff --git a/opcodes/aarch64-asm-2.c b/opcodes/aarch64-asm-2.c index b000d3c..dad36a3 100644 --- a/opcodes/aarch64-asm-2.c +++ b/opcodes/aarch64-asm-2.c @@ -1,5 +1,5 @@ /* This file is automatically generated by aarch64-gen. Do not edit! */ -/* Copyright (C) 2012-2016 Free Software Foundation, Inc. +/* Copyright (C) 2012-2019 Free Software Foundation, Inc. Contributed by ARM Ltd. This file is part of the GNU opcodes library. @@ -58,519 +58,533 @@ aarch64_find_real_opcode (const aarch64_opcode *opcode) case 17: /* subs */ value = 17; /* --> subs. */ break; - case 21: /* cmn */ - case 20: /* adds */ - value = 20; /* --> adds. */ - break; - case 23: /* neg */ - case 22: /* sub */ - value = 22; /* --> sub. */ - break; - case 26: /* negs */ - case 25: /* cmp */ - case 24: /* subs */ - value = 24; /* --> subs. */ - break; - case 150: /* mov */ - case 149: /* umov */ - value = 149; /* --> umov. */ - break; - case 152: /* mov */ - case 151: /* ins */ - value = 151; /* --> ins. */ - break; - case 154: /* mov */ - case 153: /* ins */ - value = 153; /* --> ins. */ - break; - case 236: /* mvn */ - case 235: /* not */ - value = 235; /* --> not. */ - break; - case 311: /* mov */ - case 310: /* orr */ - value = 310; /* --> orr. */ - break; - case 380: /* sxtl */ - case 379: /* sshll */ - value = 379; /* --> sshll. */ - break; - case 382: /* sxtl2 */ - case 381: /* sshll2 */ - value = 381; /* --> sshll2. */ - break; - case 404: /* uxtl */ - case 403: /* ushll */ - value = 403; /* --> ushll. */ - break; - case 406: /* uxtl2 */ - case 405: /* ushll2 */ - value = 405; /* --> ushll2. */ - break; - case 527: /* mov */ - case 526: /* dup */ - value = 526; /* --> dup. */ - break; - case 614: /* sxtw */ - case 613: /* sxth */ - case 612: /* sxtb */ - case 615: /* asr */ - case 611: /* sbfx */ - case 610: /* sbfiz */ - case 609: /* sbfm */ - value = 609; /* --> sbfm. */ - break; - case 618: /* bfc */ - case 619: /* bfxil */ - case 617: /* bfi */ - case 616: /* bfm */ - value = 616; /* --> bfm. */ - break; - case 624: /* uxth */ - case 623: /* uxtb */ - case 626: /* lsr */ - case 625: /* lsl */ - case 622: /* ubfx */ - case 621: /* ubfiz */ - case 620: /* ubfm */ - value = 620; /* --> ubfm. */ - break; - case 656: /* cset */ - case 655: /* cinc */ - case 654: /* csinc */ - value = 654; /* --> csinc. */ - break; - case 659: /* csetm */ - case 658: /* cinv */ - case 657: /* csinv */ - value = 657; /* --> csinv. */ - break; - case 661: /* cneg */ - case 660: /* csneg */ - value = 660; /* --> csneg. */ - break; - case 679: /* rev */ - case 680: /* rev64 */ - value = 679; /* --> rev. */ - break; - case 705: /* lsl */ - case 704: /* lslv */ - value = 704; /* --> lslv. */ - break; - case 707: /* lsr */ - case 706: /* lsrv */ - value = 706; /* --> lsrv. */ - break; - case 709: /* asr */ - case 708: /* asrv */ - value = 708; /* --> asrv. */ - break; - case 711: /* ror */ - case 710: /* rorv */ - value = 710; /* --> rorv. */ - break; - case 722: /* mul */ - case 721: /* madd */ - value = 721; /* --> madd. */ - break; - case 724: /* mneg */ - case 723: /* msub */ - value = 723; /* --> msub. */ - break; - case 726: /* smull */ - case 725: /* smaddl */ - value = 725; /* --> smaddl. */ - break; - case 728: /* smnegl */ - case 727: /* smsubl */ - value = 727; /* --> smsubl. */ - break; - case 731: /* umull */ - case 730: /* umaddl */ - value = 730; /* --> umaddl. */ - break; - case 733: /* umnegl */ - case 732: /* umsubl */ - value = 732; /* --> umsubl. */ - break; - case 744: /* ror */ - case 743: /* extr */ - value = 743; /* --> extr. */ - break; - case 953: /* bic */ - case 952: /* and */ - value = 952; /* --> and. */ - break; - case 955: /* mov */ - case 954: /* orr */ - value = 954; /* --> orr. */ - break; - case 958: /* tst */ - case 957: /* ands */ - value = 957; /* --> ands. */ - break; - case 963: /* uxtw */ - case 962: /* mov */ - case 961: /* orr */ - value = 961; /* --> orr. */ - break; - case 965: /* mvn */ - case 964: /* orn */ - value = 964; /* --> orn. */ - break; - case 969: /* tst */ - case 968: /* ands */ - value = 968; /* --> ands. */ - break; - case 1095: /* staddb */ - case 999: /* ldaddb */ - value = 999; /* --> ldaddb. */ - break; - case 1096: /* staddh */ - case 1000: /* ldaddh */ - value = 1000; /* --> ldaddh. */ - break; - case 1097: /* stadd */ - case 1001: /* ldadd */ - value = 1001; /* --> ldadd. */ + case 23: /* cmn */ + case 22: /* adds */ + value = 22; /* --> adds. */ + break; + case 25: /* neg */ + case 24: /* sub */ + value = 24; /* --> sub. */ + break; + case 27: /* cmp */ + case 28: /* negs */ + case 26: /* subs */ + value = 26; /* --> subs. */ + break; + case 153: /* mov */ + case 152: /* umov */ + value = 152; /* --> umov. */ + break; + case 155: /* mov */ + case 154: /* ins */ + value = 154; /* --> ins. */ + break; + case 157: /* mov */ + case 156: /* ins */ + value = 156; /* --> ins. */ + break; + case 243: /* mvn */ + case 242: /* not */ + value = 242; /* --> not. */ + break; + case 318: /* mov */ + case 317: /* orr */ + value = 317; /* --> orr. */ + break; + case 389: /* sxtl */ + case 388: /* sshll */ + value = 388; /* --> sshll. */ + break; + case 391: /* sxtl2 */ + case 390: /* sshll2 */ + value = 390; /* --> sshll2. */ + break; + case 413: /* uxtl */ + case 412: /* ushll */ + value = 412; /* --> ushll. */ + break; + case 415: /* uxtl2 */ + case 414: /* ushll2 */ + value = 414; /* --> ushll2. */ + break; + case 536: /* mov */ + case 535: /* dup */ + value = 535; /* --> dup. */ + break; + case 623: /* sxtw */ + case 622: /* sxth */ + case 621: /* sxtb */ + case 624: /* asr */ + case 620: /* sbfx */ + case 619: /* sbfiz */ + case 618: /* sbfm */ + value = 618; /* --> sbfm. */ + break; + case 627: /* bfc */ + case 628: /* bfxil */ + case 626: /* bfi */ + case 625: /* bfm */ + value = 625; /* --> bfm. */ + break; + case 633: /* uxth */ + case 632: /* uxtb */ + case 635: /* lsr */ + case 634: /* lsl */ + case 631: /* ubfx */ + case 630: /* ubfiz */ + case 629: /* ubfm */ + value = 629; /* --> ubfm. */ + break; + case 665: /* cset */ + case 664: /* cinc */ + case 663: /* csinc */ + value = 663; /* --> csinc. */ + break; + case 668: /* csetm */ + case 667: /* cinv */ + case 666: /* csinv */ + value = 666; /* --> csinv. */ + break; + case 670: /* cneg */ + case 669: /* csneg */ + value = 669; /* --> csneg. */ + break; + case 688: /* rev */ + case 689: /* rev64 */ + value = 688; /* --> rev. */ + break; + case 714: /* lsl */ + case 713: /* lslv */ + value = 713; /* --> lslv. */ + break; + case 716: /* lsr */ + case 715: /* lsrv */ + value = 715; /* --> lsrv. */ + break; + case 718: /* asr */ + case 717: /* asrv */ + value = 717; /* --> asrv. */ + break; + case 720: /* ror */ + case 719: /* rorv */ + value = 719; /* --> rorv. */ + break; + case 723: /* cmpp */ + case 722: /* subps */ + value = 722; /* --> subps. */ + break; + case 736: /* mul */ + case 735: /* madd */ + value = 735; /* --> madd. */ + break; + case 738: /* mneg */ + case 737: /* msub */ + value = 737; /* --> msub. */ + break; + case 740: /* smull */ + case 739: /* smaddl */ + value = 739; /* --> smaddl. */ + break; + case 742: /* smnegl */ + case 741: /* smsubl */ + value = 741; /* --> smsubl. */ + break; + case 745: /* umull */ + case 744: /* umaddl */ + value = 744; /* --> umaddl. */ + break; + case 747: /* umnegl */ + case 746: /* umsubl */ + value = 746; /* --> umsubl. */ + break; + case 758: /* ror */ + case 757: /* extr */ + value = 757; /* --> extr. */ + break; + case 988: /* bic */ + case 987: /* and */ + value = 987; /* --> and. */ + break; + case 990: /* mov */ + case 989: /* orr */ + value = 989; /* --> orr. */ + break; + case 993: /* tst */ + case 992: /* ands */ + value = 992; /* --> ands. */ + break; + case 998: /* uxtw */ + case 997: /* mov */ + case 996: /* orr */ + value = 996; /* --> orr. */ + break; + case 1000: /* mvn */ + case 999: /* orn */ + value = 999; /* --> orn. */ + break; + case 1004: /* tst */ + case 1003: /* ands */ + value = 1003; /* --> ands. */ + break; + case 1130: /* staddb */ + case 1034: /* ldaddb */ + value = 1034; /* --> ldaddb. */ + break; + case 1131: /* staddh */ + case 1035: /* ldaddh */ + value = 1035; /* --> ldaddh. */ break; - case 1098: /* staddlb */ - case 1003: /* ldaddlb */ - value = 1003; /* --> ldaddlb. */ + case 1132: /* stadd */ + case 1036: /* ldadd */ + value = 1036; /* --> ldadd. */ break; - case 1099: /* staddlh */ - case 1006: /* ldaddlh */ - value = 1006; /* --> ldaddlh. */ + case 1133: /* staddlb */ + case 1038: /* ldaddlb */ + value = 1038; /* --> ldaddlb. */ break; - case 1100: /* staddl */ - case 1009: /* ldaddl */ - value = 1009; /* --> ldaddl. */ + case 1134: /* staddlh */ + case 1041: /* ldaddlh */ + value = 1041; /* --> ldaddlh. */ break; - case 1101: /* stclrb */ - case 1011: /* ldclrb */ - value = 1011; /* --> ldclrb. */ + case 1135: /* staddl */ + case 1044: /* ldaddl */ + value = 1044; /* --> ldaddl. */ break; - case 1102: /* stclrh */ - case 1012: /* ldclrh */ - value = 1012; /* --> ldclrh. */ + case 1136: /* stclrb */ + case 1046: /* ldclrb */ + value = 1046; /* --> ldclrb. */ break; - case 1103: /* stclr */ - case 1013: /* ldclr */ - value = 1013; /* --> ldclr. */ + case 1137: /* stclrh */ + case 1047: /* ldclrh */ + value = 1047; /* --> ldclrh. */ break; - case 1104: /* stclrlb */ - case 1015: /* ldclrlb */ - value = 1015; /* --> ldclrlb. */ + case 1138: /* stclr */ + case 1048: /* ldclr */ + value = 1048; /* --> ldclr. */ break; - case 1105: /* stclrlh */ - case 1018: /* ldclrlh */ - value = 1018; /* --> ldclrlh. */ + case 1139: /* stclrlb */ + case 1050: /* ldclrlb */ + value = 1050; /* --> ldclrlb. */ break; - case 1106: /* stclrl */ - case 1021: /* ldclrl */ - value = 1021; /* --> ldclrl. */ + case 1140: /* stclrlh */ + case 1053: /* ldclrlh */ + value = 1053; /* --> ldclrlh. */ break; - case 1107: /* steorb */ - case 1023: /* ldeorb */ - value = 1023; /* --> ldeorb. */ + case 1141: /* stclrl */ + case 1056: /* ldclrl */ + value = 1056; /* --> ldclrl. */ break; - case 1108: /* steorh */ - case 1024: /* ldeorh */ - value = 1024; /* --> ldeorh. */ + case 1142: /* steorb */ + case 1058: /* ldeorb */ + value = 1058; /* --> ldeorb. */ break; - case 1109: /* steor */ - case 1025: /* ldeor */ - value = 1025; /* --> ldeor. */ + case 1143: /* steorh */ + case 1059: /* ldeorh */ + value = 1059; /* --> ldeorh. */ break; - case 1110: /* steorlb */ - case 1027: /* ldeorlb */ - value = 1027; /* --> ldeorlb. */ + case 1144: /* steor */ + case 1060: /* ldeor */ + value = 1060; /* --> ldeor. */ break; - case 1111: /* steorlh */ - case 1030: /* ldeorlh */ - value = 1030; /* --> ldeorlh. */ + case 1145: /* steorlb */ + case 1062: /* ldeorlb */ + value = 1062; /* --> ldeorlb. */ break; - case 1112: /* steorl */ - case 1033: /* ldeorl */ - value = 1033; /* --> ldeorl. */ + case 1146: /* steorlh */ + case 1065: /* ldeorlh */ + value = 1065; /* --> ldeorlh. */ break; - case 1113: /* stsetb */ - case 1035: /* ldsetb */ - value = 1035; /* --> ldsetb. */ + case 1147: /* steorl */ + case 1068: /* ldeorl */ + value = 1068; /* --> ldeorl. */ break; - case 1114: /* stseth */ - case 1036: /* ldseth */ - value = 1036; /* --> ldseth. */ + case 1148: /* stsetb */ + case 1070: /* ldsetb */ + value = 1070; /* --> ldsetb. */ break; - case 1115: /* stset */ - case 1037: /* ldset */ - value = 1037; /* --> ldset. */ + case 1149: /* stseth */ + case 1071: /* ldseth */ + value = 1071; /* --> ldseth. */ break; - case 1116: /* stsetlb */ - case 1039: /* ldsetlb */ - value = 1039; /* --> ldsetlb. */ + case 1150: /* stset */ + case 1072: /* ldset */ + value = 1072; /* --> ldset. */ break; - case 1117: /* stsetlh */ - case 1042: /* ldsetlh */ - value = 1042; /* --> ldsetlh. */ + case 1151: /* stsetlb */ + case 1074: /* ldsetlb */ + value = 1074; /* --> ldsetlb. */ break; - case 1118: /* stsetl */ - case 1045: /* ldsetl */ - value = 1045; /* --> ldsetl. */ + case 1152: /* stsetlh */ + case 1077: /* ldsetlh */ + value = 1077; /* --> ldsetlh. */ break; - case 1119: /* stsmaxb */ - case 1047: /* ldsmaxb */ - value = 1047; /* --> ldsmaxb. */ + case 1153: /* stsetl */ + case 1080: /* ldsetl */ + value = 1080; /* --> ldsetl. */ break; - case 1120: /* stsmaxh */ - case 1048: /* ldsmaxh */ - value = 1048; /* --> ldsmaxh. */ + case 1154: /* stsmaxb */ + case 1082: /* ldsmaxb */ + value = 1082; /* --> ldsmaxb. */ break; - case 1121: /* stsmax */ - case 1049: /* ldsmax */ - value = 1049; /* --> ldsmax. */ - break; - case 1122: /* stsmaxlb */ - case 1051: /* ldsmaxlb */ - value = 1051; /* --> ldsmaxlb. */ - break; - case 1123: /* stsmaxlh */ - case 1054: /* ldsmaxlh */ - value = 1054; /* --> ldsmaxlh. */ - break; - case 1124: /* stsmaxl */ - case 1057: /* ldsmaxl */ - value = 1057; /* --> ldsmaxl. */ - break; - case 1125: /* stsminb */ - case 1059: /* ldsminb */ - value = 1059; /* --> ldsminb. */ - break; - case 1126: /* stsminh */ - case 1060: /* ldsminh */ - value = 1060; /* --> ldsminh. */ - break; - case 1127: /* stsmin */ - case 1061: /* ldsmin */ - value = 1061; /* --> ldsmin. */ - break; - case 1128: /* stsminlb */ - case 1063: /* ldsminlb */ - value = 1063; /* --> ldsminlb. */ - break; - case 1129: /* stsminlh */ - case 1066: /* ldsminlh */ - value = 1066; /* --> ldsminlh. */ - break; - case 1130: /* stsminl */ - case 1069: /* ldsminl */ - value = 1069; /* --> ldsminl. */ - break; - case 1131: /* stumaxb */ - case 1071: /* ldumaxb */ - value = 1071; /* --> ldumaxb. */ - break; - case 1132: /* stumaxh */ - case 1072: /* ldumaxh */ - value = 1072; /* --> ldumaxh. */ - break; - case 1133: /* stumax */ - case 1073: /* ldumax */ - value = 1073; /* --> ldumax. */ - break; - case 1134: /* stumaxlb */ - case 1075: /* ldumaxlb */ - value = 1075; /* --> ldumaxlb. */ - break; - case 1135: /* stumaxlh */ - case 1078: /* ldumaxlh */ - value = 1078; /* --> ldumaxlh. */ - break; - case 1136: /* stumaxl */ - case 1081: /* ldumaxl */ - value = 1081; /* --> ldumaxl. */ - break; - case 1137: /* stuminb */ - case 1083: /* lduminb */ - value = 1083; /* --> lduminb. */ - break; - case 1138: /* stuminh */ - case 1084: /* lduminh */ - value = 1084; /* --> lduminh. */ - break; - case 1139: /* stumin */ - case 1085: /* ldumin */ - value = 1085; /* --> ldumin. */ - break; - case 1140: /* stuminlb */ - case 1087: /* lduminlb */ - value = 1087; /* --> lduminlb. */ - break; - case 1141: /* stuminlh */ - case 1090: /* lduminlh */ - value = 1090; /* --> lduminlh. */ - break; - case 1142: /* stuminl */ - case 1093: /* lduminl */ - value = 1093; /* --> lduminl. */ - break; - case 1144: /* mov */ - case 1143: /* movn */ - value = 1143; /* --> movn. */ - break; - case 1146: /* mov */ - case 1145: /* movz */ - value = 1145; /* --> movz. */ - break; - case 1184: /* autibsp */ - case 1183: /* autibz */ - case 1182: /* autiasp */ - case 1181: /* autiaz */ - case 1180: /* pacibsp */ - case 1179: /* pacibz */ - case 1178: /* paciasp */ - case 1177: /* paciaz */ - case 1164: /* psb */ - case 1163: /* esb */ - case 1162: /* autib1716 */ - case 1161: /* autia1716 */ - case 1160: /* pacib1716 */ - case 1159: /* pacia1716 */ - case 1158: /* xpaclri */ - case 1157: /* sevl */ - case 1156: /* sev */ - case 1155: /* wfi */ - case 1154: /* wfe */ - case 1153: /* yield */ - case 1152: /* nop */ - case 1151: /* hint */ - value = 1151; /* --> hint. */ - break; - case 1173: /* tlbi */ - case 1172: /* ic */ - case 1171: /* dc */ - case 1170: /* at */ - case 1169: /* sys */ - value = 1169; /* --> sys. */ - break; - case 1927: /* bic */ - case 1232: /* and */ - value = 1232; /* --> and. */ - break; - case 1215: /* mov */ - case 1234: /* and */ - value = 1234; /* --> and. */ - break; - case 1219: /* movs */ - case 1235: /* ands */ - value = 1235; /* --> ands. */ - break; - case 1928: /* cmple */ - case 1270: /* cmpge */ - value = 1270; /* --> cmpge. */ - break; - case 1931: /* cmplt */ - case 1273: /* cmpgt */ - value = 1273; /* --> cmpgt. */ - break; - case 1929: /* cmplo */ - case 1275: /* cmphi */ - value = 1275; /* --> cmphi. */ - break; - case 1930: /* cmpls */ - case 1278: /* cmphs */ - value = 1278; /* --> cmphs. */ - break; - case 1212: /* mov */ - case 1300: /* cpy */ - value = 1300; /* --> cpy. */ - break; - case 1214: /* mov */ - case 1301: /* cpy */ - value = 1301; /* --> cpy. */ - break; - case 1938: /* fmov */ - case 1217: /* mov */ - case 1302: /* cpy */ - value = 1302; /* --> cpy. */ - break; - case 1207: /* mov */ - case 1314: /* dup */ - value = 1314; /* --> dup. */ - break; - case 1209: /* mov */ - case 1206: /* mov */ - case 1315: /* dup */ - value = 1315; /* --> dup. */ - break; - case 1937: /* fmov */ - case 1211: /* mov */ - case 1316: /* dup */ - value = 1316; /* --> dup. */ - break; - case 1210: /* mov */ - case 1317: /* dupm */ - value = 1317; /* --> dupm. */ - break; - case 1932: /* eon */ - case 1319: /* eor */ - value = 1319; /* --> eor. */ - break; - case 1220: /* not */ - case 1321: /* eor */ - value = 1321; /* --> eor. */ - break; - case 1221: /* nots */ - case 1322: /* eors */ - value = 1322; /* --> eors. */ - break; - case 1933: /* facle */ - case 1327: /* facge */ - value = 1327; /* --> facge. */ - break; - case 1934: /* faclt */ - case 1328: /* facgt */ - value = 1328; /* --> facgt. */ - break; - case 1935: /* fcmle */ - case 1337: /* fcmge */ - value = 1337; /* --> fcmge. */ - break; - case 1936: /* fcmlt */ - case 1339: /* fcmgt */ - value = 1339; /* --> fcmgt. */ - break; - case 1204: /* fmov */ - case 1345: /* fcpy */ - value = 1345; /* --> fcpy. */ - break; - case 1203: /* fmov */ - case 1362: /* fdup */ - value = 1362; /* --> fdup. */ - break; - case 1205: /* mov */ - case 1660: /* orr */ - value = 1660; /* --> orr. */ + case 1155: /* stsmaxh */ + case 1083: /* ldsmaxh */ + value = 1083; /* --> ldsmaxh. */ break; - case 1939: /* orn */ - case 1661: /* orr */ - value = 1661; /* --> orr. */ + case 1156: /* stsmax */ + case 1084: /* ldsmax */ + value = 1084; /* --> ldsmax. */ + break; + case 1157: /* stsmaxlb */ + case 1086: /* ldsmaxlb */ + value = 1086; /* --> ldsmaxlb. */ + break; + case 1158: /* stsmaxlh */ + case 1089: /* ldsmaxlh */ + value = 1089; /* --> ldsmaxlh. */ + break; + case 1159: /* stsmaxl */ + case 1092: /* ldsmaxl */ + value = 1092; /* --> ldsmaxl. */ + break; + case 1160: /* stsminb */ + case 1094: /* ldsminb */ + value = 1094; /* --> ldsminb. */ + break; + case 1161: /* stsminh */ + case 1095: /* ldsminh */ + value = 1095; /* --> ldsminh. */ + break; + case 1162: /* stsmin */ + case 1096: /* ldsmin */ + value = 1096; /* --> ldsmin. */ + break; + case 1163: /* stsminlb */ + case 1098: /* ldsminlb */ + value = 1098; /* --> ldsminlb. */ + break; + case 1164: /* stsminlh */ + case 1101: /* ldsminlh */ + value = 1101; /* --> ldsminlh. */ + break; + case 1165: /* stsminl */ + case 1104: /* ldsminl */ + value = 1104; /* --> ldsminl. */ + break; + case 1166: /* stumaxb */ + case 1106: /* ldumaxb */ + value = 1106; /* --> ldumaxb. */ + break; + case 1167: /* stumaxh */ + case 1107: /* ldumaxh */ + value = 1107; /* --> ldumaxh. */ + break; + case 1168: /* stumax */ + case 1108: /* ldumax */ + value = 1108; /* --> ldumax. */ + break; + case 1169: /* stumaxlb */ + case 1110: /* ldumaxlb */ + value = 1110; /* --> ldumaxlb. */ + break; + case 1170: /* stumaxlh */ + case 1113: /* ldumaxlh */ + value = 1113; /* --> ldumaxlh. */ + break; + case 1171: /* stumaxl */ + case 1116: /* ldumaxl */ + value = 1116; /* --> ldumaxl. */ + break; + case 1172: /* stuminb */ + case 1118: /* lduminb */ + value = 1118; /* --> lduminb. */ + break; + case 1173: /* stuminh */ + case 1119: /* lduminh */ + value = 1119; /* --> lduminh. */ + break; + case 1174: /* stumin */ + case 1120: /* ldumin */ + value = 1120; /* --> ldumin. */ + break; + case 1175: /* stuminlb */ + case 1122: /* lduminlb */ + value = 1122; /* --> lduminlb. */ + break; + case 1176: /* stuminlh */ + case 1125: /* lduminlh */ + value = 1125; /* --> lduminlh. */ + break; + case 1177: /* stuminl */ + case 1128: /* lduminl */ + value = 1128; /* --> lduminl. */ + break; + case 1179: /* mov */ + case 1178: /* movn */ + value = 1178; /* --> movn. */ + break; + case 1181: /* mov */ + case 1180: /* movz */ + value = 1180; /* --> movz. */ + break; + case 1227: /* autibsp */ + case 1226: /* autibz */ + case 1225: /* autiasp */ + case 1224: /* autiaz */ + case 1223: /* pacibsp */ + case 1222: /* pacibz */ + case 1221: /* paciasp */ + case 1220: /* paciaz */ + case 1201: /* psb */ + case 1200: /* esb */ + case 1199: /* autib1716 */ + case 1198: /* autia1716 */ + case 1197: /* pacib1716 */ + case 1196: /* pacia1716 */ + case 1195: /* xpaclri */ + case 1194: /* sevl */ + case 1193: /* sev */ + case 1192: /* wfi */ + case 1191: /* wfe */ + case 1190: /* yield */ + case 1189: /* bti */ + case 1188: /* csdb */ + case 1187: /* nop */ + case 1186: /* hint */ + value = 1186; /* --> hint. */ + break; + case 1205: /* pssbb */ + case 1204: /* ssbb */ + case 1203: /* dsb */ + value = 1203; /* --> dsb. */ + break; + case 1216: /* cpp */ + case 1215: /* dvp */ + case 1214: /* cfp */ + case 1213: /* tlbi */ + case 1212: /* ic */ + case 1211: /* dc */ + case 1210: /* at */ + case 1209: /* sys */ + value = 1209; /* --> sys. */ + break; + case 2025: /* bic */ + case 1275: /* and */ + value = 1275; /* --> and. */ + break; + case 1258: /* mov */ + case 1277: /* and */ + value = 1277; /* --> and. */ + break; + case 1262: /* movs */ + case 1278: /* ands */ + value = 1278; /* --> ands. */ + break; + case 2026: /* cmple */ + case 1313: /* cmpge */ + value = 1313; /* --> cmpge. */ + break; + case 2029: /* cmplt */ + case 1316: /* cmpgt */ + value = 1316; /* --> cmpgt. */ + break; + case 2027: /* cmplo */ + case 1318: /* cmphi */ + value = 1318; /* --> cmphi. */ + break; + case 2028: /* cmpls */ + case 1321: /* cmphs */ + value = 1321; /* --> cmphs. */ + break; + case 1255: /* mov */ + case 1343: /* cpy */ + value = 1343; /* --> cpy. */ + break; + case 1257: /* mov */ + case 1344: /* cpy */ + value = 1344; /* --> cpy. */ + break; + case 2036: /* fmov */ + case 1260: /* mov */ + case 1345: /* cpy */ + value = 1345; /* --> cpy. */ + break; + case 1250: /* mov */ + case 1357: /* dup */ + value = 1357; /* --> dup. */ + break; + case 1252: /* mov */ + case 1249: /* mov */ + case 1358: /* dup */ + value = 1358; /* --> dup. */ + break; + case 2035: /* fmov */ + case 1254: /* mov */ + case 1359: /* dup */ + value = 1359; /* --> dup. */ + break; + case 1253: /* mov */ + case 1360: /* dupm */ + value = 1360; /* --> dupm. */ + break; + case 2030: /* eon */ + case 1362: /* eor */ + value = 1362; /* --> eor. */ + break; + case 1263: /* not */ + case 1364: /* eor */ + value = 1364; /* --> eor. */ + break; + case 1264: /* nots */ + case 1365: /* eors */ + value = 1365; /* --> eors. */ + break; + case 2031: /* facle */ + case 1370: /* facge */ + value = 1370; /* --> facge. */ + break; + case 2032: /* faclt */ + case 1371: /* facgt */ + value = 1371; /* --> facgt. */ + break; + case 2033: /* fcmle */ + case 1384: /* fcmge */ + value = 1384; /* --> fcmge. */ + break; + case 2034: /* fcmlt */ + case 1386: /* fcmgt */ + value = 1386; /* --> fcmgt. */ + break; + case 1247: /* fmov */ + case 1392: /* fcpy */ + value = 1392; /* --> fcpy. */ + break; + case 1246: /* fmov */ + case 1415: /* fdup */ + value = 1415; /* --> fdup. */ + break; + case 1248: /* mov */ + case 1746: /* orr */ + value = 1746; /* --> orr. */ break; - case 1208: /* mov */ - case 1663: /* orr */ - value = 1663; /* --> orr. */ + case 2037: /* orn */ + case 1747: /* orr */ + value = 1747; /* --> orr. */ break; - case 1218: /* movs */ - case 1664: /* orrs */ - value = 1664; /* --> orrs. */ + case 1251: /* mov */ + case 1749: /* orr */ + value = 1749; /* --> orr. */ break; - case 1213: /* mov */ - case 1720: /* sel */ - value = 1720; /* --> sel. */ + case 1261: /* movs */ + case 1750: /* orrs */ + value = 1750; /* --> orrs. */ break; - case 1216: /* mov */ - case 1721: /* sel */ - value = 1721; /* --> sel. */ + case 1256: /* mov */ + case 1812: /* sel */ + value = 1812; /* --> sel. */ + break; + case 1259: /* mov */ + case 1813: /* sel */ + value = 1813; /* --> sel. */ break; default: return NULL; } @@ -578,10 +592,11 @@ aarch64_find_real_opcode (const aarch64_opcode *opcode) return aarch64_opcode_table + value; } -const char* +bfd_boolean aarch64_insert_operand (const aarch64_operand *self, const aarch64_opnd_info *info, - aarch64_insn *code, const aarch64_inst *inst) + aarch64_insn *code, const aarch64_inst *inst, + aarch64_operand_error *errors) { /* Use the index as the key. */ int key = self - aarch64_operands; @@ -611,52 +626,53 @@ aarch64_insert_operand (const aarch64_operand *self, case 26: case 27: case 28: - case 36: - case 37: - case 141: - case 142: - case 143: - case 144: - case 145: - case 146: - case 147: - case 148: - case 149: - case 150: + case 29: + case 159: + case 160: + case 161: + case 162: case 163: case 164: case 165: case 166: case 167: case 168: - case 169: - case 170: - case 171: - case 172: - case 175: - return aarch64_ins_regno (self, info, code, inst); + case 181: + case 182: + case 183: + case 184: + case 185: + case 186: + case 187: + case 188: + case 189: + case 193: + case 196: + return aarch64_ins_regno (self, info, code, inst, errors); case 13: - return aarch64_ins_reg_extended (self, info, code, inst); + return aarch64_ins_reg_extended (self, info, code, inst, errors); case 14: - return aarch64_ins_reg_shifted (self, info, code, inst); + return aarch64_ins_reg_shifted (self, info, code, inst, errors); case 19: - return aarch64_ins_ft (self, info, code, inst); - case 29: + return aarch64_ins_ft (self, info, code, inst, errors); case 30: case 31: - return aarch64_ins_reglane (self, info, code, inst); case 32: - return aarch64_ins_reglist (self, info, code, inst); case 33: - return aarch64_ins_ldst_reglist (self, info, code, inst); + case 198: + return aarch64_ins_reglane (self, info, code, inst, errors); case 34: - return aarch64_ins_ldst_reglist_r (self, info, code, inst); + return aarch64_ins_reglist (self, info, code, inst, errors); case 35: - return aarch64_ins_ldst_elemlist (self, info, code, inst); + return aarch64_ins_ldst_reglist (self, info, code, inst, errors); + case 36: + return aarch64_ins_ldst_reglist_r (self, info, code, inst, errors); + case 37: + return aarch64_ins_ldst_elemlist (self, info, code, inst, errors); case 38: - case 48: - case 49: - case 50: + case 39: + case 40: + case 41: case 51: case 52: case 53: @@ -667,148 +683,174 @@ aarch64_insert_operand (const aarch64_operand *self, case 58: case 59: case 60: - case 69: - case 70: - case 71: - case 72: - case 138: - case 140: - case 155: - case 156: - case 157: - case 158: - case 159: - case 160: - case 161: - case 162: - return aarch64_ins_imm (self, info, code, inst); - case 39: - case 40: - return aarch64_ins_advsimd_imm_shift (self, info, code, inst); - case 41: - case 42: - case 43: - return aarch64_ins_advsimd_imm_modified (self, info, code, inst); - case 47: - case 131: - return aarch64_ins_fpimm (self, info, code, inst); case 61: - case 136: - return aarch64_ins_limm (self, info, code, inst); case 62: - return aarch64_ins_aimm (self, info, code, inst); case 63: - return aarch64_ins_imm_half (self, info, code, inst); case 64: - return aarch64_ins_fbits (self, info, code, inst); + case 65: case 66: + case 78: + case 79: + case 80: + case 81: + case 156: + case 158: + case 173: + case 174: + case 175: + case 176: + case 177: + case 178: + case 179: + case 180: + return aarch64_ins_imm (self, info, code, inst, errors); + case 42: + case 43: + return aarch64_ins_advsimd_imm_shift (self, info, code, inst, errors); + case 44: + case 45: + case 46: + return aarch64_ins_advsimd_imm_modified (self, info, code, inst, errors); + case 50: + case 147: + return aarch64_ins_fpimm (self, info, code, inst, errors); case 67: - return aarch64_ins_cond (self, info, code, inst); + case 154: + return aarch64_ins_limm (self, info, code, inst, errors); + case 68: + return aarch64_ins_aimm (self, info, code, inst, errors); + case 69: + return aarch64_ins_imm_half (self, info, code, inst, errors); + case 70: + return aarch64_ins_fbits (self, info, code, inst, errors); + case 72: case 73: - case 80: - return aarch64_ins_addr_simple (self, info, code, inst); + case 152: + return aarch64_ins_imm_rotate2 (self, info, code, inst, errors); case 74: - return aarch64_ins_addr_regoff (self, info, code, inst); + case 151: + return aarch64_ins_imm_rotate1 (self, info, code, inst, errors); case 75: case 76: - case 77: - return aarch64_ins_addr_simm (self, info, code, inst); - case 78: - return aarch64_ins_addr_simm10 (self, info, code, inst); - case 79: - return aarch64_ins_addr_uimm12 (self, info, code, inst); - case 81: - return aarch64_ins_simd_addr_post (self, info, code, inst); + return aarch64_ins_cond (self, info, code, inst, errors); case 82: - return aarch64_ins_sysreg (self, info, code, inst); + case 91: + return aarch64_ins_addr_simple (self, info, code, inst, errors); case 83: - return aarch64_ins_pstatefield (self, info, code, inst); + return aarch64_ins_addr_regoff (self, info, code, inst, errors); case 84: case 85: case 86: - case 87: - return aarch64_ins_sysins_op (self, info, code, inst); case 88: - case 89: - return aarch64_ins_barrier (self, info, code, inst); case 90: - return aarch64_ins_prfop (self, info, code, inst); - case 91: - return aarch64_ins_hint (self, info, code, inst); + return aarch64_ins_addr_simm (self, info, code, inst, errors); + case 87: + return aarch64_ins_addr_simm10 (self, info, code, inst, errors); + case 89: + return aarch64_ins_addr_uimm12 (self, info, code, inst, errors); case 92: + return aarch64_ins_addr_offset (self, info, code, inst, errors); case 93: + return aarch64_ins_simd_addr_post (self, info, code, inst, errors); case 94: + return aarch64_ins_sysreg (self, info, code, inst, errors); case 95: - return aarch64_ins_sve_addr_ri_s4xvl (self, info, code, inst); + return aarch64_ins_pstatefield (self, info, code, inst, errors); case 96: - return aarch64_ins_sve_addr_ri_s6xvl (self, info, code, inst); case 97: - return aarch64_ins_sve_addr_ri_s9xvl (self, info, code, inst); case 98: case 99: case 100: + return aarch64_ins_sysins_op (self, info, code, inst, errors); case 101: - return aarch64_ins_sve_addr_ri_u6 (self, info, code, inst); case 102: + return aarch64_ins_barrier (self, info, code, inst, errors); case 103: + return aarch64_ins_prfop (self, info, code, inst, errors); case 104: case 105: + return aarch64_ins_hint (self, info, code, inst, errors); case 106: + return aarch64_ins_sve_addr_ri_s4 (self, info, code, inst, errors); case 107: case 108: case 109: case 110: + return aarch64_ins_sve_addr_ri_s4xvl (self, info, code, inst, errors); case 111: + return aarch64_ins_sve_addr_ri_s6xvl (self, info, code, inst, errors); case 112: + return aarch64_ins_sve_addr_ri_s9xvl (self, info, code, inst, errors); case 113: - return aarch64_ins_sve_addr_rr_lsl (self, info, code, inst); case 114: case 115: case 116: + return aarch64_ins_sve_addr_ri_u6 (self, info, code, inst, errors); case 117: case 118: case 119: case 120: case 121: - return aarch64_ins_sve_addr_rz_xtw (self, info, code, inst); case 122: case 123: case 124: case 125: - return aarch64_ins_sve_addr_zi_u5 (self, info, code, inst); case 126: - return aarch64_ins_sve_addr_zz_lsl (self, info, code, inst); case 127: - return aarch64_ins_sve_addr_zz_sxtw (self, info, code, inst); case 128: - return aarch64_ins_sve_addr_zz_uxtw (self, info, code, inst); case 129: - return aarch64_ins_sve_aimm (self, info, code, inst); + return aarch64_ins_sve_addr_rr_lsl (self, info, code, inst, errors); case 130: - return aarch64_ins_sve_asimm (self, info, code, inst); + case 131: case 132: - return aarch64_ins_sve_float_half_one (self, info, code, inst); case 133: - return aarch64_ins_sve_float_half_two (self, info, code, inst); case 134: - return aarch64_ins_sve_float_zero_one (self, info, code, inst); case 135: - return aarch64_ins_inv_limm (self, info, code, inst); + case 136: case 137: - return aarch64_ins_sve_limm_mov (self, info, code, inst); + return aarch64_ins_sve_addr_rz_xtw (self, info, code, inst, errors); + case 138: case 139: - return aarch64_ins_sve_scale (self, info, code, inst); - case 151: - case 152: - return aarch64_ins_sve_shlimm (self, info, code, inst); + case 140: + case 141: + return aarch64_ins_sve_addr_zi_u5 (self, info, code, inst, errors); + case 142: + return aarch64_ins_sve_addr_zz_lsl (self, info, code, inst, errors); + case 143: + return aarch64_ins_sve_addr_zz_sxtw (self, info, code, inst, errors); + case 144: + return aarch64_ins_sve_addr_zz_uxtw (self, info, code, inst, errors); + case 145: + return aarch64_ins_sve_aimm (self, info, code, inst, errors); + case 146: + return aarch64_ins_sve_asimm (self, info, code, inst, errors); + case 148: + return aarch64_ins_sve_float_half_one (self, info, code, inst, errors); + case 149: + return aarch64_ins_sve_float_half_two (self, info, code, inst, errors); + case 150: + return aarch64_ins_sve_float_zero_one (self, info, code, inst, errors); case 153: - case 154: - return aarch64_ins_sve_shrimm (self, info, code, inst); - case 173: - return aarch64_ins_sve_index (self, info, code, inst); - case 174: - case 176: - return aarch64_ins_sve_reglist (self, info, code, inst); + return aarch64_ins_inv_limm (self, info, code, inst, errors); + case 155: + return aarch64_ins_sve_limm_mov (self, info, code, inst, errors); + case 157: + return aarch64_ins_sve_scale (self, info, code, inst, errors); + case 169: + case 170: + return aarch64_ins_sve_shlimm (self, info, code, inst, errors); + case 171: + case 172: + return aarch64_ins_sve_shrimm (self, info, code, inst, errors); + case 190: + case 191: + case 192: + return aarch64_ins_sve_quad_index (self, info, code, inst, errors); + case 194: + return aarch64_ins_sve_index (self, info, code, inst, errors); + case 195: + case 197: + return aarch64_ins_sve_reglist (self, info, code, inst, errors); default: assert (0); abort (); } }