bump to version 2.4.55 for release
[platform/upstream/libdrm.git] / intel / intel_bufmgr_gem.c
index 43c49a9..007a6d8 100644 (file)
@@ -54,6 +54,9 @@
 #include <stdbool.h>
 
 #include "errno.h"
+#ifndef ETIME
+#define ETIME ETIMEDOUT
+#endif
 #include "libdrm_lists.h"
 #include "intel_bufmgr.h"
 #include "intel_bufmgr_priv.h"
@@ -122,8 +125,10 @@ typedef struct _drm_intel_bufmgr_gem {
        unsigned int has_wait_timeout : 1;
        unsigned int bo_reuse : 1;
        unsigned int no_exec : 1;
+       unsigned int has_vebox : 1;
        bool fenced_relocs;
 
+       char *aub_filename;
        FILE *aub_file;
        uint32_t aub_offset;
 } drm_intel_bufmgr_gem;
@@ -144,6 +149,8 @@ struct _drm_intel_bo_gem {
 
        /**
         * Kenel-assigned global name for this object
+         *
+         * List contains both flink named and prime fd'd objects
         */
        unsigned int global_name;
        drmMMListHead name_list;
@@ -205,6 +212,15 @@ struct _drm_intel_bo_gem {
        bool reusable;
 
        /**
+        * Boolean of whether the GPU is definitely not accessing the buffer.
+        *
+        * This is only valid when reusable, since non-reusable
+        * buffers are those that have been shared wth other
+        * processes, so we don't know their state.
+        */
+       bool idle;
+
+       /**
         * Size in bytes of this buffer and its relocation descendents.
         *
         * Used to avoid costly tree walking in
@@ -375,7 +391,7 @@ drm_intel_gem_dump_validation_list(drm_intel_bufmgr_gem *bufmgr_gem)
                            (unsigned long long)bo_gem->relocs[j].offset,
                            target_gem->gem_handle,
                            target_gem->name,
-                           target_bo->offset,
+                           target_bo->offset64,
                            bo_gem->relocs[j].delta);
                }
        }
@@ -560,11 +576,19 @@ drm_intel_gem_bo_busy(drm_intel_bo *bo)
        struct drm_i915_gem_busy busy;
        int ret;
 
+       if (bo_gem->reusable && bo_gem->idle)
+               return false;
+
        VG_CLEAR(busy);
        busy.handle = bo_gem->gem_handle;
 
        ret = drmIoctl(bufmgr_gem->fd, DRM_IOCTL_I915_GEM_BUSY, &busy);
-
+       if (ret == 0) {
+               bo_gem->idle = !busy.busy;
+               return busy.busy;
+       } else {
+               return false;
+       }
        return (ret == 0 && busy.busy);
 }
 
@@ -857,10 +881,6 @@ drm_intel_bo_gem_create_from_name(drm_intel_bufmgr *bufmgr,
                }
        }
 
-       bo_gem = calloc(1, sizeof(*bo_gem));
-       if (!bo_gem)
-               return NULL;
-
        VG_CLEAR(open_arg);
        open_arg.name = handle;
        ret = drmIoctl(bufmgr_gem->fd,
@@ -869,11 +889,29 @@ drm_intel_bo_gem_create_from_name(drm_intel_bufmgr *bufmgr,
        if (ret != 0) {
                DBG("Couldn't reference %s handle 0x%08x: %s\n",
                    name, handle, strerror(errno));
-               free(bo_gem);
                return NULL;
        }
+        /* Now see if someone has used a prime handle to get this
+         * object from the kernel before by looking through the list
+         * again for a matching gem_handle
+         */
+       for (list = bufmgr_gem->named.next;
+            list != &bufmgr_gem->named;
+            list = list->next) {
+               bo_gem = DRMLISTENTRY(drm_intel_bo_gem, list, name_list);
+               if (bo_gem->gem_handle == open_arg.handle) {
+                       drm_intel_gem_bo_reference(&bo_gem->bo);
+                       return &bo_gem->bo;
+               }
+       }
+
+       bo_gem = calloc(1, sizeof(*bo_gem));
+       if (!bo_gem)
+               return NULL;
+
        bo_gem->bo.size = open_arg.size;
        bo_gem->bo.offset = 0;
+       bo_gem->bo.offset64 = 0;
        bo_gem->bo.virtual = NULL;
        bo_gem->bo.bufmgr = bufmgr;
        bo_gem->name = name;
@@ -1317,6 +1355,9 @@ int drm_intel_gem_bo_map_gtt(drm_intel_bo *bo)
 int drm_intel_gem_bo_map_unsynchronized(drm_intel_bo *bo)
 {
        drm_intel_bufmgr_gem *bufmgr_gem = (drm_intel_bufmgr_gem *) bo->bufmgr;
+#ifdef HAVE_VALGRIND
+       drm_intel_bo_gem *bo_gem = (drm_intel_bo_gem *) bo;
+#endif
        int ret;
 
        /* If the CPU cache isn't coherent with the GTT, then use a
@@ -1330,7 +1371,13 @@ int drm_intel_gem_bo_map_unsynchronized(drm_intel_bo *bo)
                return drm_intel_gem_bo_map_gtt(bo);
 
        pthread_mutex_lock(&bufmgr_gem->lock);
+
        ret = map_gtt(bo);
+       if (ret == 0) {
+               drm_intel_gem_bo_mark_mmaps_incoherent(bo);
+               VG(VALGRIND_MAKE_MEM_DEFINED(bo_gem->gtt_virtual, bo->size));
+       }
+
        pthread_mutex_unlock(&bufmgr_gem->lock);
 
        return ret;
@@ -1570,6 +1617,7 @@ drm_intel_bufmgr_gem_destroy(drm_intel_bufmgr *bufmgr)
        free(bufmgr_gem->exec2_objects);
        free(bufmgr_gem->exec_objects);
        free(bufmgr_gem->exec_bos);
+       free(bufmgr_gem->aub_filename);
 
        pthread_mutex_destroy(&bufmgr_gem->lock);
 
@@ -1659,7 +1707,7 @@ do_bo_emit_reloc(drm_intel_bo *bo, uint32_t offset,
            target_bo_gem->gem_handle;
        bo_gem->relocs[bo_gem->reloc_count].read_domains = read_domains;
        bo_gem->relocs[bo_gem->reloc_count].write_domain = write_domain;
-       bo_gem->relocs[bo_gem->reloc_count].presumed_offset = target_bo->offset;
+       bo_gem->relocs[bo_gem->reloc_count].presumed_offset = target_bo->offset64;
 
        bo_gem->reloc_target_info[bo_gem->reloc_count].bo = target_bo;
        if (target_bo != bo)
@@ -1730,9 +1778,10 @@ drm_intel_gem_bo_clear_relocs(drm_intel_bo *bo, int start)
        assert(bo_gem->reloc_count >= start);
        /* Unreference the cleared target buffers */
        for (i = start; i < bo_gem->reloc_count; i++) {
-               if (bo_gem->reloc_target_info[i].bo != bo) {
-                       drm_intel_gem_bo_unreference_locked_timed(bo_gem->
-                                                                 reloc_target_info[i].bo,
+               drm_intel_bo_gem *target_bo_gem = (drm_intel_bo_gem *) bo_gem->reloc_target_info[i].bo;
+               if (&target_bo_gem->bo != bo) {
+                       bo_gem->reloc_tree_fences -= target_bo_gem->reloc_tree_fences;
+                       drm_intel_gem_bo_unreference_locked_timed(&target_bo_gem->bo,
                                                                  time.tv_sec);
                }
        }
@@ -1809,11 +1858,12 @@ drm_intel_update_buffer_offsets(drm_intel_bufmgr_gem *bufmgr_gem)
                drm_intel_bo_gem *bo_gem = (drm_intel_bo_gem *) bo;
 
                /* Update the buffer offset */
-               if (bufmgr_gem->exec_objects[i].offset != bo->offset) {
+               if (bufmgr_gem->exec_objects[i].offset != bo->offset64) {
                        DBG("BO %d (%s) migrated: 0x%08lx -> 0x%08llx\n",
-                           bo_gem->gem_handle, bo_gem->name, bo->offset,
+                           bo_gem->gem_handle, bo_gem->name, bo->offset64,
                            (unsigned long long)bufmgr_gem->exec_objects[i].
                            offset);
+                       bo->offset64 = bufmgr_gem->exec_objects[i].offset;
                        bo->offset = bufmgr_gem->exec_objects[i].offset;
                }
        }
@@ -1829,10 +1879,11 @@ drm_intel_update_buffer_offsets2 (drm_intel_bufmgr_gem *bufmgr_gem)
                drm_intel_bo_gem *bo_gem = (drm_intel_bo_gem *)bo;
 
                /* Update the buffer offset */
-               if (bufmgr_gem->exec2_objects[i].offset != bo->offset) {
+               if (bufmgr_gem->exec2_objects[i].offset != bo->offset64) {
                        DBG("BO %d (%s) migrated: 0x%08lx -> 0x%08llx\n",
-                           bo_gem->gem_handle, bo_gem->name, bo->offset,
+                           bo_gem->gem_handle, bo_gem->name, bo->offset64,
                            (unsigned long long)bufmgr_gem->exec2_objects[i].offset);
+                       bo->offset64 = bufmgr_gem->exec2_objects[i].offset;
                        bo->offset = bufmgr_gem->exec2_objects[i].offset;
                }
        }
@@ -1930,12 +1981,14 @@ aub_write_trace_block(drm_intel_bo *bo, uint32_t type, uint32_t subtype,
 
        aub_out(bufmgr_gem,
                CMD_AUB_TRACE_HEADER_BLOCK |
-               (5 - 2));
+               ((bufmgr_gem->gen >= 8 ? 6 : 5) - 2));
        aub_out(bufmgr_gem,
                AUB_TRACE_MEMTYPE_GTT | type | AUB_TRACE_OP_DATA_WRITE);
        aub_out(bufmgr_gem, subtype);
        aub_out(bufmgr_gem, bo_gem->aub_offset + offset);
        aub_out(bufmgr_gem, size);
+       if (bufmgr_gem->gen >= 8)
+               aub_out(bufmgr_gem, 0);
        aub_write_bo_data(bo, offset, size);
 }
 
@@ -2007,23 +2060,33 @@ aub_build_dump_ringbuffer(drm_intel_bufmgr_gem *bufmgr_gem,
 
        if (ring_flag == I915_EXEC_BSD)
                ring = AUB_TRACE_TYPE_RING_PRB1;
+       else if (ring_flag == I915_EXEC_BLT)
+               ring = AUB_TRACE_TYPE_RING_PRB2;
 
        /* Make a ring buffer to execute our batchbuffer. */
        memset(ringbuffer, 0, sizeof(ringbuffer));
-       ringbuffer[ring_count++] = AUB_MI_BATCH_BUFFER_START;
-       ringbuffer[ring_count++] = batch_buffer;
+       if (bufmgr_gem->gen >= 8) {
+               ringbuffer[ring_count++] = AUB_MI_BATCH_BUFFER_START | (3 - 2);
+               ringbuffer[ring_count++] = batch_buffer;
+               ringbuffer[ring_count++] = 0;
+       } else {
+               ringbuffer[ring_count++] = AUB_MI_BATCH_BUFFER_START;
+               ringbuffer[ring_count++] = batch_buffer;
+       }
 
        /* Write out the ring.  This appears to trigger execution of
         * the ring in the simulator.
         */
        aub_out(bufmgr_gem,
                CMD_AUB_TRACE_HEADER_BLOCK |
-               (5 - 2));
+               ((bufmgr_gem->gen >= 8 ? 6 : 5) - 2));
        aub_out(bufmgr_gem,
                AUB_TRACE_MEMTYPE_GTT | ring | AUB_TRACE_OP_COMMAND_WRITE);
        aub_out(bufmgr_gem, 0); /* general/surface subtype */
        aub_out(bufmgr_gem, bufmgr_gem->aub_offset);
        aub_out(bufmgr_gem, ring_count * 4);
+       if (bufmgr_gem->gen >= 8)
+               aub_out(bufmgr_gem, 0);
 
        /* FIXME: Need some flush operations here? */
        aub_out_data(bufmgr_gem, ringbuffer, ring_count * 4);
@@ -2176,6 +2239,8 @@ drm_intel_gem_bo_exec(drm_intel_bo *bo, int used,
                drm_intel_bo *bo = bufmgr_gem->exec_bos[i];
                drm_intel_bo_gem *bo_gem = (drm_intel_bo_gem *) bo;
 
+               bo_gem->idle = false;
+
                /* Disconnect the buffer from the validate list */
                bo_gem->validate_index = -1;
                bufmgr_gem->exec_bos[i] = NULL;
@@ -2207,6 +2272,10 @@ do_exec2(drm_intel_bo *bo, int used, drm_intel_context *ctx,
                if (!bufmgr_gem->has_bsd)
                        return -EINVAL;
                break;
+       case I915_EXEC_VEBOX:
+               if (!bufmgr_gem->has_vebox)
+                       return -EINVAL;
+               break;
        case I915_EXEC_RENDER:
        case I915_EXEC_DEFAULT:
                break;
@@ -2267,6 +2336,8 @@ skip_execution:
                drm_intel_bo *bo = bufmgr_gem->exec_bos[i];
                drm_intel_bo_gem *bo_gem = (drm_intel_bo_gem *)bo;
 
+               bo_gem->idle = false;
+
                /* Disconnect the buffer from the validate list */
                bo_gem->validate_index = -1;
                bufmgr_gem->exec_bos[i] = NULL;
@@ -2320,6 +2391,7 @@ drm_intel_gem_bo_pin(drm_intel_bo *bo, uint32_t alignment)
        if (ret != 0)
                return -errno;
 
+       bo->offset64 = pin.offset;
        bo->offset = pin.offset;
        return 0;
 }
@@ -2421,8 +2493,25 @@ drm_intel_bo_gem_create_from_prime(drm_intel_bufmgr *bufmgr, int prime_fd, int s
        uint32_t handle;
        drm_intel_bo_gem *bo_gem;
        struct drm_i915_gem_get_tiling get_tiling;
+       drmMMListHead *list;
 
        ret = drmPrimeFDToHandle(bufmgr_gem->fd, prime_fd, &handle);
+
+       /*
+        * See if the kernel has already returned this buffer to us. Just as
+        * for named buffers, we must not create two bo's pointing at the same
+        * kernel object
+        */
+       for (list = bufmgr_gem->named.next;
+            list != &bufmgr_gem->named;
+            list = list->next) {
+               bo_gem = DRMLISTENTRY(drm_intel_bo_gem, list, name_list);
+               if (bo_gem->gem_handle == handle) {
+                       drm_intel_gem_bo_reference(&bo_gem->bo);
+                       return &bo_gem->bo;
+               }
+       }
+
        if (ret) {
          fprintf(stderr,"ret is %d %d\n", ret, errno);
                return NULL;
@@ -2432,7 +2521,17 @@ drm_intel_bo_gem_create_from_prime(drm_intel_bufmgr *bufmgr, int prime_fd, int s
        if (!bo_gem)
                return NULL;
 
-       bo_gem->bo.size = size;
+       /* Determine size of bo.  The fd-to-handle ioctl really should
+        * return the size, but it doesn't.  If we have kernel 3.12 or
+        * later, we can lseek on the prime fd to get the size.  Older
+        * kernels will just fail, in which case we fall back to the
+        * provided (estimated or guess size). */
+       ret = lseek(prime_fd, 0, SEEK_END);
+       if (ret != -1)
+               bo_gem->bo.size = ret;
+       else
+               bo_gem->bo.size = size;
+
        bo_gem->bo.handle = handle;
        bo_gem->bo.bufmgr = bufmgr;
 
@@ -2447,8 +2546,8 @@ drm_intel_bo_gem_create_from_prime(drm_intel_bufmgr *bufmgr, int prime_fd, int s
        bo_gem->has_error = false;
        bo_gem->reusable = false;
 
-       DRMINITLISTHEAD(&bo_gem->name_list);
        DRMINITLISTHEAD(&bo_gem->vma_list);
+       DRMLISTADDTAIL(&bo_gem->name_list, &bufmgr_gem->named);
 
        VG_CLEAR(get_tiling);
        get_tiling.handle = bo_gem->gem_handle;
@@ -2473,7 +2572,16 @@ drm_intel_bo_gem_export_to_prime(drm_intel_bo *bo, int *prime_fd)
        drm_intel_bufmgr_gem *bufmgr_gem = (drm_intel_bufmgr_gem *) bo->bufmgr;
        drm_intel_bo_gem *bo_gem = (drm_intel_bo_gem *) bo;
 
-       return drmPrimeHandleToFD(bufmgr_gem->fd, bo_gem->gem_handle, DRM_CLOEXEC, prime_fd);
+        if (DRMLISTEMPTY(&bo_gem->name_list))
+                DRMLISTADDTAIL(&bo_gem->name_list, &bufmgr_gem->named);
+
+       if (drmPrimeHandleToFD(bufmgr_gem->fd, bo_gem->gem_handle,
+                              DRM_CLOEXEC, prime_fd) != 0)
+               return -errno;
+
+       bo_gem->reusable = false;
+
+       return 0;
 }
 
 static int
@@ -2496,7 +2604,8 @@ drm_intel_gem_bo_flink(drm_intel_bo *bo, uint32_t * name)
                bo_gem->global_name = flink.name;
                bo_gem->reusable = false;
 
-               DRMLISTADDTAIL(&bo_gem->name_list, &bufmgr_gem->named);
+                if (DRMLISTEMPTY(&bo_gem->name_list))
+                        DRMLISTADDTAIL(&bo_gem->name_list, &bufmgr_gem->named);
        }
 
        *name = bo_gem->global_name;
@@ -2848,6 +2957,23 @@ drm_intel_bufmgr_gem_get_devid(drm_intel_bufmgr *bufmgr)
 }
 
 /**
+ * Sets the AUB filename.
+ *
+ * This function has to be called before drm_intel_bufmgr_gem_set_aub_dump()
+ * for it to have any effect.
+ */
+void
+drm_intel_bufmgr_gem_set_aub_filename(drm_intel_bufmgr *bufmgr,
+                                     const char *filename)
+{
+       drm_intel_bufmgr_gem *bufmgr_gem = (drm_intel_bufmgr_gem *)bufmgr;
+
+       free(bufmgr_gem->aub_filename);
+       if (filename)
+               bufmgr_gem->aub_filename = strdup(filename);
+}
+
+/**
  * Sets up AUB dumping.
  *
  * This is a trace file format that can be used with the simulator.
@@ -2862,18 +2988,24 @@ drm_intel_bufmgr_gem_set_aub_dump(drm_intel_bufmgr *bufmgr, int enable)
        int entry = 0x200003;
        int i;
        int gtt_size = 0x10000;
+       const char *filename;
 
        if (!enable) {
                if (bufmgr_gem->aub_file) {
                        fclose(bufmgr_gem->aub_file);
                        bufmgr_gem->aub_file = NULL;
                }
+               return;
        }
 
        if (geteuid() != getuid())
                return;
 
-       bufmgr_gem->aub_file = fopen("intel.aub", "w+");
+       if (bufmgr_gem->aub_filename)
+               filename = bufmgr_gem->aub_filename;
+       else
+               filename = "intel.aub";
+       bufmgr_gem->aub_file = fopen(filename, "w+");
        if (!bufmgr_gem->aub_file)
                return;
 
@@ -2893,11 +3025,13 @@ drm_intel_bufmgr_gem_set_aub_dump(drm_intel_bufmgr *bufmgr, int enable)
        aub_out(bufmgr_gem, 0); /* comment len */
 
        /* Set up the GTT. The max we can handle is 256M */
-       aub_out(bufmgr_gem, CMD_AUB_TRACE_HEADER_BLOCK | (5 - 2));
+       aub_out(bufmgr_gem, CMD_AUB_TRACE_HEADER_BLOCK | ((bufmgr_gem->gen >= 8 ? 6 : 5) - 2));
        aub_out(bufmgr_gem, AUB_TRACE_MEMTYPE_NONLOCAL | 0 | AUB_TRACE_OP_DATA_WRITE);
        aub_out(bufmgr_gem, 0); /* subtype */
        aub_out(bufmgr_gem, 0); /* offset */
        aub_out(bufmgr_gem, gtt_size); /* size */
+       if (bufmgr_gem->gen >= 8)
+               aub_out(bufmgr_gem, 0);
        for (i = 0x000; i < gtt_size; i += 4, entry += 0x1000) {
                aub_out(bufmgr_gem, entry);
        }
@@ -2911,14 +3045,19 @@ drm_intel_gem_context_create(drm_intel_bufmgr *bufmgr)
        drm_intel_context *context = NULL;
        int ret;
 
+       context = calloc(1, sizeof(*context));
+       if (!context)
+               return NULL;
+
+       VG_CLEAR(create);
        ret = drmIoctl(bufmgr_gem->fd, DRM_IOCTL_I915_GEM_CONTEXT_CREATE, &create);
        if (ret != 0) {
                DBG("DRM_IOCTL_I915_GEM_CONTEXT_CREATE failed: %s\n",
                    strerror(errno));
+               free(context);
                return NULL;
        }
 
-       context = calloc(1, sizeof(*context));
        context->ctx_id = create.ctx_id;
        context->bufmgr = bufmgr;
 
@@ -2935,6 +3074,8 @@ drm_intel_gem_context_destroy(drm_intel_context *ctx)
        if (ctx == NULL)
                return;
 
+       VG_CLEAR(destroy);
+
        bufmgr_gem = (drm_intel_bufmgr_gem *)ctx->bufmgr;
        destroy.ctx_id = ctx->ctx_id;
        ret = drmIoctl(bufmgr_gem->fd, DRM_IOCTL_I915_GEM_CONTEXT_DESTROY,
@@ -2946,6 +3087,58 @@ drm_intel_gem_context_destroy(drm_intel_context *ctx)
        free(ctx);
 }
 
+int
+drm_intel_get_reset_stats(drm_intel_context *ctx,
+                         uint32_t *reset_count,
+                         uint32_t *active,
+                         uint32_t *pending)
+{
+       drm_intel_bufmgr_gem *bufmgr_gem;
+       struct drm_i915_reset_stats stats;
+       int ret;
+
+       if (ctx == NULL)
+               return -EINVAL;
+
+       memset(&stats, 0, sizeof(stats));
+
+       bufmgr_gem = (drm_intel_bufmgr_gem *)ctx->bufmgr;
+       stats.ctx_id = ctx->ctx_id;
+       ret = drmIoctl(bufmgr_gem->fd,
+                      DRM_IOCTL_I915_GET_RESET_STATS,
+                      &stats);
+       if (ret == 0) {
+               if (reset_count != NULL)
+                       *reset_count = stats.reset_count;
+
+               if (active != NULL)
+                       *active = stats.batch_active;
+
+               if (pending != NULL)
+                       *pending = stats.batch_pending;
+       }
+
+       return ret;
+}
+
+int
+drm_intel_reg_read(drm_intel_bufmgr *bufmgr,
+                  uint32_t offset,
+                  uint64_t *result)
+{
+       drm_intel_bufmgr_gem *bufmgr_gem = (drm_intel_bufmgr_gem *)bufmgr;
+       struct drm_i915_reg_read reg_read;
+       int ret;
+
+       VG_CLEAR(reg_read);
+       reg_read.offset = offset;
+
+       ret = drmIoctl(bufmgr_gem->fd, DRM_IOCTL_I915_REG_READ, &reg_read);
+
+       *result = reg_read.val;
+       return ret;
+}
+
 
 /**
  * Annotate the given bo for use in aub dumping.
@@ -3043,9 +3236,13 @@ drm_intel_bufmgr_gem_init(int fd, int batch_size)
        else if (IS_GEN6(bufmgr_gem->pci_device))
                bufmgr_gem->gen = 6;
        else if (IS_GEN7(bufmgr_gem->pci_device))
-               bufmgr_gem->gen = 7;
-       else
-               assert(0);
+               bufmgr_gem->gen = 7;
+       else if (IS_GEN8(bufmgr_gem->pci_device))
+               bufmgr_gem->gen = 8;
+       else {
+               free(bufmgr_gem);
+               return NULL;
+       }
 
        if (IS_GEN3(bufmgr_gem->pci_device) &&
            bufmgr_gem->gtt_size > 256*1024*1024) {
@@ -3089,7 +3286,11 @@ drm_intel_bufmgr_gem_init(int fd, int batch_size)
                bufmgr_gem->has_llc = (IS_GEN6(bufmgr_gem->pci_device) |
                                IS_GEN7(bufmgr_gem->pci_device));
        } else
-               bufmgr_gem->has_llc = ret == 0;
+               bufmgr_gem->has_llc = *gp.value;
+
+       gp.param = I915_PARAM_HAS_VEBOX;
+       ret = drmIoctl(bufmgr_gem->fd, DRM_IOCTL_I915_GETPARAM, &gp);
+       bufmgr_gem->has_vebox = (ret == 0) & (*gp.value > 0);
 
        if (bufmgr_gem->gen < 4) {
                gp.param = I915_PARAM_NUM_FENCES_AVAIL;