Use pre-built files for packaging
[platform/upstream/connectedhomeip.git] / out / host / obj / examples / chip-tool / chip-tool-utils.ninja
1 defines = -DCONFIG_USE_SEPARATE_EVENTLOOP=true -DCHIP_HAVE_CONFIG_H=1 -DCHIP_ADDRESS_RESOLVE_IMPL_INCLUDE_HEADER=\<lib/address_resolve/AddressResolve_DefaultImpl.h\>
2 framework_dirs =
3 include_dirs = -I../../examples/chip-tool -I../../zzz_generated/chip-tool -I../../src/lib -I../../src/include -I../../src -Igen/include -I../../zzz_generated/app-common -I../../config/standalone -I../../third_party/nlassert/repo/include -I../../third_party/nlio/repo/include -I../../third_party/nlfaultinjection/repo/include -I../../zzz_generated -I../../zzz_generated/controller-clusters -I../../third_party/inipp/repo/inipp -I../../third_party/jsoncpp/repo/include
4 cflags = -mthumb -O0 -g2 -fno-common -ffunction-sections -fdata-sections -fno-exceptions -fno-unwind-tables -fno-asynchronous-unwind-tables -fPIC -Wall -Werror -Wextra -Wshadow -Wunreachable-code -Wvla -Wformat -Wformat-nonliteral -Wformat-security -Wno-deprecated-declarations -Wno-unknown-warning-option -Wno-maybe-uninitialized -Wno-missing-field-initializers -Wno-unused-parameter -Wno-psabi -Wno-cast-function-type -fdiagnostics-color -fno-strict-aliasing -Wconversion -I/usr/include/dlog -I/usr/include/gio-unix-2.0 -I/usr/include/libmount -I/usr/include/blkid -I/usr/include/glib-2.0 -I/usr/lib/glib-2.0/include -I/usr/include/appfw -I/usr/include/nsd -I/usr/include/network -I/usr/include/network -I/usr/include/network/network -I/usr/include/dlog -I/usr/include/gio-unix-2.0 -I/usr/include/libmount -I/usr/include/blkid -I/usr/include/dbus-1.0 -I/usr/lib/dbus-1.0/include -I/usr/include/vconf -I/usr/include/glib-2.0 -I/usr/lib/glib-2.0/include -I/usr/include/system -I/usr/include/cynara -I/usr/include/network -I/usr/include/glib-2.0 -I/usr/lib/glib-2.0/include -I/usr/include/network -I/usr/include/glib-2.0 -I/usr/lib/glib-2.0/include -Wno-implicit-fallthrough
5 cflags_cc = -std=gnu++14 -fno-rtti -Wnon-virtual-dtor
6 target_output_name = chip-tool-utils
7
8 build obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp: stamp obj/src/app/gen_app_buildconfig.stamp obj/src/ble/gen_ble_buildconfig.stamp obj/src/crypto/gen_crypto_buildconfig.stamp obj/src/inet/gen_inet_buildconfig.stamp obj/src/lib/asn1/gen_asn1oid.stamp obj/src/lib/core/gen_chip_buildconfig.stamp obj/src/lib/support/gen_chip_version.stamp obj/src/platform/gen_platform_buildconfig.stamp obj/src/setup_payload/gen_additional_data_payload_buildconfig.stamp obj/src/system/gen_system_buildconfig.stamp
9 build obj/zzz_generated/chip-tool/zap-generated/cluster/chip-tool-utils.ComplexArgumentParser.cpp.o: cxx ../../zzz_generated/chip-tool/zap-generated/cluster/ComplexArgumentParser.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
10 build obj/zzz_generated/chip-tool/zap-generated/cluster/logging/chip-tool-utils.DataModelLogger.cpp.o: cxx ../../zzz_generated/chip-tool/zap-generated/cluster/logging/DataModelLogger.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
11 build obj/examples/chip-tool/commands/clusters/chip-tool-utils.ModelCommand.cpp.o: cxx ../../examples/chip-tool/commands/clusters/ModelCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
12 build obj/examples/chip-tool/commands/common/chip-tool-utils.CHIPCommand.cpp.o: cxx ../../examples/chip-tool/commands/common/CHIPCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
13 build obj/examples/chip-tool/commands/common/chip-tool-utils.Command.cpp.o: cxx ../../examples/chip-tool/commands/common/Command.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
14 build obj/examples/chip-tool/commands/common/chip-tool-utils.Commands.cpp.o: cxx ../../examples/chip-tool/commands/common/Commands.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
15 build obj/examples/chip-tool/commands/discover/chip-tool-utils.DiscoverCommand.cpp.o: cxx ../../examples/chip-tool/commands/discover/DiscoverCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
16 build obj/examples/chip-tool/commands/discover/chip-tool-utils.DiscoverCommissionablesCommand.cpp.o: cxx ../../examples/chip-tool/commands/discover/DiscoverCommissionablesCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
17 build obj/examples/chip-tool/commands/discover/chip-tool-utils.DiscoverCommissionersCommand.cpp.o: cxx ../../examples/chip-tool/commands/discover/DiscoverCommissionersCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
18 build obj/examples/chip-tool/commands/pairing/chip-tool-utils.OpenCommissioningWindowCommand.cpp.o: cxx ../../examples/chip-tool/commands/pairing/OpenCommissioningWindowCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
19 build obj/examples/chip-tool/commands/pairing/chip-tool-utils.PairingCommand.cpp.o: cxx ../../examples/chip-tool/commands/pairing/PairingCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
20 build obj/examples/chip-tool/commands/payload/chip-tool-utils.AdditionalDataParseCommand.cpp.o: cxx ../../examples/chip-tool/commands/payload/AdditionalDataParseCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
21 build obj/examples/chip-tool/commands/payload/chip-tool-utils.SetupPayloadParseCommand.cpp.o: cxx ../../examples/chip-tool/commands/payload/SetupPayloadParseCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
22 build obj/examples/chip-tool/commands/payload/chip-tool-utils.SetupPayloadVerhoeff.cpp.o: cxx ../../examples/chip-tool/commands/payload/SetupPayloadVerhoeff.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
23 build obj/examples/chip-tool/commands/tests/chip-tool-utils.TestCommand.cpp.o: cxx ../../examples/chip-tool/commands/tests/TestCommand.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
24 build obj/examples/chip-tool/config/chip-tool-utils.PersistentStorage.cpp.o: cxx ../../examples/chip-tool/config/PersistentStorage.cpp || obj/examples/chip-tool/chip-tool-utils.inputdeps.stamp
25
26 build ./chip-tool-utils.a: alink obj/zzz_generated/chip-tool/zap-generated/cluster/chip-tool-utils.ComplexArgumentParser.cpp.o obj/zzz_generated/chip-tool/zap-generated/cluster/logging/chip-tool-utils.DataModelLogger.cpp.o obj/examples/chip-tool/commands/clusters/chip-tool-utils.ModelCommand.cpp.o obj/examples/chip-tool/commands/common/chip-tool-utils.CHIPCommand.cpp.o obj/examples/chip-tool/commands/common/chip-tool-utils.Command.cpp.o obj/examples/chip-tool/commands/common/chip-tool-utils.Commands.cpp.o obj/examples/chip-tool/commands/discover/chip-tool-utils.DiscoverCommand.cpp.o obj/examples/chip-tool/commands/discover/chip-tool-utils.DiscoverCommissionablesCommand.cpp.o obj/examples/chip-tool/commands/discover/chip-tool-utils.DiscoverCommissionersCommand.cpp.o obj/examples/chip-tool/commands/pairing/chip-tool-utils.OpenCommissioningWindowCommand.cpp.o obj/examples/chip-tool/commands/pairing/chip-tool-utils.PairingCommand.cpp.o obj/examples/chip-tool/commands/payload/chip-tool-utils.AdditionalDataParseCommand.cpp.o obj/examples/chip-tool/commands/payload/chip-tool-utils.SetupPayloadParseCommand.cpp.o obj/examples/chip-tool/commands/payload/chip-tool-utils.SetupPayloadVerhoeff.cpp.o obj/examples/chip-tool/commands/tests/chip-tool-utils.TestCommand.cpp.o obj/examples/chip-tool/config/chip-tool-utils.PersistentStorage.cpp.o || obj/src/app/server/lib/libCHIPAppServer.a obj/src/app/tests/suites/commands/commissioner/lib/libCommissionerCommands.a obj/src/app/tests/suites/commands/delay/lib/libDelayCommands.a obj/src/app/tests/suites/commands/discovery/lib/libDiscoveryCommands.a obj/src/app/tests/suites/commands/log/lib/libLogCommands.a obj/src/app/tests/suites/commands/system/lib/libSystemCommands.a obj/src/app/tests/suites/pics/lib/libPICS.a obj/src/controller/data_model/data_model.stamp lib/libCHIP.a obj/src/platform/lib/libDeviceLayer.a obj/third_party/inipp/inipp.stamp obj/third_party/jsoncpp/jsoncpp.stamp obj/src/app/lib/libCHIPDataModel.a obj/src/app/app_buildconfig.stamp obj/src/access/lib/libaccess.a obj/src/lib/core/lib/libChipCore.a obj/src/lib/core/chip_config_header.stamp obj/src/lib/core/chip_buildconfig.stamp obj/src/ble/ble_config_header.stamp obj/src/ble/ble_buildconfig.stamp obj/src/system/system_config_header.stamp obj/src/system/system_buildconfig.stamp obj/src/ble/lib/libBleLayer.a obj/src/inet/lib/libInetLayer.a obj/src/inet/inet_config_header.stamp obj/src/inet/inet_buildconfig.stamp obj/src/lib/support/lib/libSupportLayer.a obj/src/lib/support/chip_version_header.stamp obj/src/lib/support/enforce_format.stamp obj/src/lib/support/logging_constants.stamp obj/src/platform/platform_buildconfig.stamp obj/src/platform/logging/headers.stamp obj/third_party/nlassert/nlassert.stamp obj/third_party/nlio/nlio.stamp lib/libnlfaultinjection.a obj/src/system/lib/libSystemLayer.a obj/src/setup_payload/additional_data_payload.stamp obj/src/crypto/lib/libChipCrypto.a obj/src/crypto/crypto_buildconfig.stamp obj/src/setup_payload/additional_data_payload_buildconfig.stamp obj/src/app/common/lib/libClusterObjects.a obj/src/platform/Tizen/lib/Tizen.a obj/config/tizen/chip-gn/platform/tizen.stamp obj/src/setup_payload/lib/libSetupPayload.a obj/src/lib/dnssd/platform_header.stamp obj/src/lib/address_resolve/lib/address_resolve.a obj/src/lib/asn1/lib/libASN1.a obj/src/lib/asn1/asn1oid_header.stamp obj/src/lib/dnssd/lib/dnssd.a obj/src/messaging/messaging_mrp_config.stamp obj/src/messaging/lib/libMessagingLayer.a obj/src/transport/lib/libTransportLayer.a obj/src/credentials/lib/libCredentials.a obj/src/transport/raw/lib/libRawTransport.a obj/src/protocols/secure_channel/lib/libSecureChannel.a obj/src/trace/trace.stamp obj/src/protocols/lib/libChipProtocols.a obj/src/protocols/bdx/lib/libBdx.a obj/src/controller/lib/libChipController.a
27   arflags =
28   output_extension = .a
29   output_dir = .