* m68k-opc.c (m68k_opcode_aliases): Add bhib as an alias for bhis,
[external/binutils.git] / opcodes / m68k-opc.c
1 /* Opcode table for m680[01234]0/m6888[12]/m68851.
2    Copyright 1989, 1991, 1992, 1993, 1994, 1995 Free Software Foundation.
3
4 This file is part of GDB, GAS, and the GNU binutils.
5
6 GDB, GAS, and the GNU binutils are free software; you can redistribute
7 them and/or modify them under the terms of the GNU General Public
8 License as published by the Free Software Foundation; either version
9 1, or (at your option) any later version.
10
11 GDB, GAS, and the GNU binutils are distributed in the hope that they
12 will be useful, but WITHOUT ANY WARRANTY; without even the implied
13 warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See
14 the GNU General Public License for more details.
15
16 You should have received a copy of the GNU General Public License
17 along with this file; see the file COPYING.  If not, write to the Free
18 Software Foundation, 59 Temple Place - Suite 330, Boston, MA
19 02111-1307, USA.  */
20
21 #include "ansidecl.h"
22 #include "opcode/m68k.h"
23
24 #define one(x) ((unsigned int) (x) << 16)
25 #define two(x, y) (((unsigned int) (x) << 16) + (y))
26
27 /* The assembler requires that all instances of the same mnemonic must
28    be consecutive.  If they aren't, the assembler will bomb at
29    runtime.  */
30
31 const struct m68k_opcode m68k_opcodes[] =
32 {
33 {"abcd",        one(0140400),           one(0170770), "DsDd", m68000up },
34 {"abcd",        one(0140410),           one(0170770), "-s-d", m68000up },
35
36 {"addaw",       one(0150300),           one(0170700), "*wAd", m68000up },
37 {"addal",       one(0150700),           one(0170700), "*lAd", m68000up },
38
39 {"addib",       one(0003000),           one(0177700), "#b$b", m68000up },
40 {"addiw",       one(0003100),           one(0177700), "#w$w", m68000up },
41 {"addil",       one(0003200),           one(0177700), "#l$l", m68000up },
42
43 {"addqb",       one(0050000),           one(0170700), "Qd$b", m68000up },
44 {"addqw",       one(0050100),           one(0170700), "Qd%w", m68000up },
45 {"addql",       one(0050200),           one(0170700), "Qd%l", m68000up },
46
47 /* The add opcode can generate the adda, addi, and addq instructions.  */
48 {"addb",        one(0050000),           one(0170700), "Qd$b", m68000up },
49 {"addb",        one(0003000),           one(0177700), "#b$b", m68000up },
50 {"addb",        one(0150000),           one(0170700), ";bDd", m68000up },
51 {"addb",        one(0150400),           one(0170700), "Dd~b", m68000up },
52 {"addw",        one(0050100),           one(0170700), "Qd%w", m68000up },
53 {"addw",        one(0150300),           one(0170700), "*wAd", m68000up },
54 {"addw",        one(0003100),           one(0177700), "#w$w", m68000up },
55 {"addw",        one(0150100),           one(0170700), "*wDd", m68000up },
56 {"addw",        one(0150500),           one(0170700), "Dd~w", m68000up },
57 {"addl",        one(0050200),           one(0170700), "Qd%l", m68000up },
58 {"addl",        one(0003200),           one(0177700), "#l$l", m68000up },
59 {"addl",        one(0150700),           one(0170700), "*lAd", m68000up },
60 {"addl",        one(0150200),           one(0170700), "*lDd", m68000up },
61 {"addl",        one(0150600),           one(0170700), "Dd~l", m68000up },
62
63 {"addxb",       one(0150400),           one(0170770), "DsDd", m68000up },
64 {"addxb",       one(0150410),           one(0170770), "-s-d", m68000up },
65 {"addxw",       one(0150500),           one(0170770), "DsDd", m68000up },
66 {"addxw",       one(0150510),           one(0170770), "-s-d", m68000up },
67 {"addxl",       one(0150600),           one(0170770), "DsDd", m68000up },
68 {"addxl",       one(0150610),           one(0170770), "-s-d", m68000up },
69
70 {"andib",       one(0001000),           one(0177700), "#b$b", m68000up },
71 {"andib",       one(0001074),           one(0177777), "#bCb", m68000up },
72 {"andiw",       one(0001100),           one(0177700), "#w$w", m68000up },
73 {"andiw",       one(0001174),           one(0177777), "#wSw", m68000up },
74 {"andil",       one(0001200),           one(0177700), "#l$l", m68000up },
75 {"andi",        one(0001100),           one(0177700), "#w$w", m68000up },
76 {"andi",        one(0001074),           one(0177777), "#bCb", m68000up },
77 {"andi",        one(0001174),           one(0177777), "#wSw", m68000up },
78
79 /* The and opcode can generate the andi instruction.  */
80 {"andb",        one(0001000),           one(0177700), "#b$b", m68000up },
81 {"andb",        one(0001074),           one(0177777), "#bCb", m68000up },
82 {"andb",        one(0140000),           one(0170700), ";bDd", m68000up },
83 {"andb",        one(0140400),           one(0170700), "Dd~b", m68000up },
84 {"andw",        one(0001100),           one(0177700), "#w$w", m68000up },
85 {"andw",        one(0001174),           one(0177777), "#wSw", m68000up },
86 {"andw",        one(0140100),           one(0170700), ";wDd", m68000up },
87 {"andw",        one(0140500),           one(0170700), "Dd~w", m68000up },
88 {"andl",        one(0001200),           one(0177700), "#l$l", m68000up },
89 {"andl",        one(0140200),           one(0170700), ";lDd", m68000up },
90 {"andl",        one(0140600),           one(0170700), "Dd~l", m68000up },
91 {"and",         one(0001100),           one(0177700), "#w$w", m68000up },
92 {"and",         one(0001074),           one(0177777), "#bCb", m68000up },
93 {"and",         one(0001174),           one(0177777), "#wSw", m68000up },
94 {"and",         one(0140100),           one(0170700), ";wDd", m68000up },
95 {"and",         one(0140500),           one(0170700), "Dd~w", m68000up },
96
97 {"aslb",        one(0160400),           one(0170770), "QdDs", m68000up },
98 {"aslb",        one(0160440),           one(0170770), "DdDs", m68000up },
99 {"aslw",        one(0160500),           one(0170770), "QdDs", m68000up },
100 {"aslw",        one(0160540),           one(0170770), "DdDs", m68000up },
101 {"aslw",        one(0160700),           one(0177700), "~s",   m68000up },
102 {"asll",        one(0160600),           one(0170770), "QdDs", m68000up },
103 {"asll",        one(0160640),           one(0170770), "DdDs", m68000up },
104
105 {"asrb",        one(0160000),           one(0170770), "QdDs", m68000up },
106 {"asrb",        one(0160040),           one(0170770), "DdDs", m68000up },
107 {"asrw",        one(0160100),           one(0170770), "QdDs", m68000up },
108 {"asrw",        one(0160140),           one(0170770), "DdDs", m68000up },
109 {"asrw",        one(0160300),           one(0177700), "~s",   m68000up },
110 {"asrl",        one(0160200),           one(0170770), "QdDs", m68000up },
111 {"asrl",        one(0160240),           one(0170770), "DdDs", m68000up },
112
113 {"bhiw",        one(0061000),           one(0177777), "BW", m68000up },
114 {"blsw",        one(0061400),           one(0177777), "BW", m68000up },
115 {"bccw",        one(0062000),           one(0177777), "BW", m68000up },
116 {"bcsw",        one(0062400),           one(0177777), "BW", m68000up },
117 {"bnew",        one(0063000),           one(0177777), "BW", m68000up },
118 {"beqw",        one(0063400),           one(0177777), "BW", m68000up },
119 {"bvcw",        one(0064000),           one(0177777), "BW", m68000up },
120 {"bvsw",        one(0064400),           one(0177777), "BW", m68000up },
121 {"bplw",        one(0065000),           one(0177777), "BW", m68000up },
122 {"bmiw",        one(0065400),           one(0177777), "BW", m68000up },
123 {"bgew",        one(0066000),           one(0177777), "BW", m68000up },
124 {"bltw",        one(0066400),           one(0177777), "BW", m68000up },
125 {"bgtw",        one(0067000),           one(0177777), "BW", m68000up },
126 {"blew",        one(0067400),           one(0177777), "BW", m68000up },
127
128 {"bhil",        one(0061377),           one(0177777), "BL", m68020up | cpu32 },
129 {"blsl",        one(0061777),           one(0177777), "BL", m68020up | cpu32 },
130 {"bccl",        one(0062377),           one(0177777), "BL", m68020up | cpu32 },
131 {"bcsl",        one(0062777),           one(0177777), "BL", m68020up | cpu32 },
132 {"bnel",        one(0063377),           one(0177777), "BL", m68020up | cpu32 },
133 {"beql",        one(0063777),           one(0177777), "BL", m68020up | cpu32 },
134 {"bvcl",        one(0064377),           one(0177777), "BL", m68020up | cpu32 },
135 {"bvsl",        one(0064777),           one(0177777), "BL", m68020up | cpu32 },
136 {"bpll",        one(0065377),           one(0177777), "BL", m68020up | cpu32 },
137 {"bmil",        one(0065777),           one(0177777), "BL", m68020up | cpu32 },
138 {"bgel",        one(0066377),           one(0177777), "BL", m68020up | cpu32 },
139 {"bltl",        one(0066777),           one(0177777), "BL", m68020up | cpu32 },
140 {"bgtl",        one(0067377),           one(0177777), "BL", m68020up | cpu32 },
141 {"blel",        one(0067777),           one(0177777), "BL", m68020up | cpu32 },
142
143 {"bhis",        one(0061000),           one(0177400), "BB", m68000up },
144 {"blss",        one(0061400),           one(0177400), "BB", m68000up },
145 {"bccs",        one(0062000),           one(0177400), "BB", m68000up },
146 {"bcss",        one(0062400),           one(0177400), "BB", m68000up },
147 {"bnes",        one(0063000),           one(0177400), "BB", m68000up },
148 {"beqs",        one(0063400),           one(0177400), "BB", m68000up },
149 {"bvcs",        one(0064000),           one(0177400), "BB", m68000up },
150 {"bvss",        one(0064400),           one(0177400), "BB", m68000up },
151 {"bpls",        one(0065000),           one(0177400), "BB", m68000up },
152 {"bmis",        one(0065400),           one(0177400), "BB", m68000up },
153 {"bges",        one(0066000),           one(0177400), "BB", m68000up },
154 {"blts",        one(0066400),           one(0177400), "BB", m68000up },
155 {"bgts",        one(0067000),           one(0177400), "BB", m68000up },
156 {"bles",        one(0067400),           one(0177400), "BB", m68000up },
157
158 {"jhi",         one(0061000),           one(0177400), "Bg", m68000up },
159 {"jls",         one(0061400),           one(0177400), "Bg", m68000up },
160 {"jcc",         one(0062000),           one(0177400), "Bg", m68000up },
161 {"jcs",         one(0062400),           one(0177400), "Bg", m68000up },
162 {"jne",         one(0063000),           one(0177400), "Bg", m68000up },
163 {"jeq",         one(0063400),           one(0177400), "Bg", m68000up },
164 {"jvc",         one(0064000),           one(0177400), "Bg", m68000up },
165 {"jvs",         one(0064400),           one(0177400), "Bg", m68000up },
166 {"jpl",         one(0065000),           one(0177400), "Bg", m68000up },
167 {"jmi",         one(0065400),           one(0177400), "Bg", m68000up },
168 {"jge",         one(0066000),           one(0177400), "Bg", m68000up },
169 {"jlt",         one(0066400),           one(0177400), "Bg", m68000up },
170 {"jgt",         one(0067000),           one(0177400), "Bg", m68000up },
171 {"jle",         one(0067400),           one(0177400), "Bg", m68000up },
172
173 {"bchg",        one(0000500),           one(0170700), "Dd$s", m68000up },
174 {"bchg",        one(0004100),           one(0177700), "#b$s", m68000up },
175
176 {"bclr",        one(0000600),           one(0170700), "Dd$s", m68000up },
177 {"bclr",        one(0004200),           one(0177700), "#b$s", m68000up },
178
179 {"bfchg",       two(0165300, 0), two(0177700, 0170000), "?sO2O3",   m68020up },
180 {"bfclr",       two(0166300, 0), two(0177700, 0170000), "?sO2O3",   m68020up },
181 {"bfexts",      two(0165700, 0), two(0177700, 0100000), "/sO2O3D1", m68020up },
182 {"bfextu",      two(0164700, 0), two(0177700, 0100000), "/sO2O3D1", m68020up },
183 {"bfffo",       two(0166700, 0), two(0177700, 0100000), "/sO2O3D1", m68020up },
184 {"bfins",       two(0167700, 0), two(0177700, 0100000), "D1?sO2O3", m68020up },
185 {"bfset",       two(0167300, 0), two(0177700, 0170000), "?sO2O3",   m68020up },
186 {"bftst",       two(0164300, 0), two(0177700, 0170000), "/sO2O3",   m68020up },
187
188 {"bgnd",        one(0045372),           one(0177777), "", cpu32 },
189
190 {"bkpt",        one(0044110),           one(0177770), "ts", m68020up | cpu32 },
191
192 {"braw",        one(0060000),           one(0177777), "BW", m68000up },
193 {"bral",        one(0060377),           one(0177777), "BL", m68020up | cpu32 },
194 {"bras",        one(0060000),           one(0177400), "BB", m68000up },
195
196 {"bset",        one(0000700),           one(0170700), "Dd$s", m68000up },
197 {"bset",        one(0004300),           one(0177700), "#b$s", m68000up },
198
199 {"bsrw",        one(0060400),           one(0177777), "BW", m68000up },
200 {"bsrl",        one(0060777),           one(0177777), "BL", m68020up | cpu32 },
201 {"bsrs",        one(0060400),           one(0177400), "BB", m68000up },
202
203 {"btst",        one(0000400),           one(0170700), "Dd@s", m68000up },
204 {"btst",        one(0004000),           one(0177700), "#b@s", m68000up },
205
206 {"callm",       one(0003300),           one(0177700), "#b!s", m68020 },
207
208 {"cas2w",     two(0006374,0), two(0177777,0007070), "D3D6D2D5r1r4", m68020up },
209 {"cas2w",     two(0006374,0), two(0177777,0007070), "D3D6D2D5R1R4", m68020up },
210 {"cas2l",     two(0007374,0), two(0177777,0007070), "D3D6D2D5r1r4", m68020up },
211 {"cas2l",     two(0007374,0), two(0177777,0007070), "D3D6D2D5R1R4", m68020up },
212
213 {"casb",        two(0005300, 0), two(0177700, 0177070), "D3D2~s", m68020up },
214 {"casw",        two(0006300, 0), two(0177700, 0177070), "D3D2~s", m68020up },
215 {"casl",        two(0007300, 0), two(0177700, 0177070), "D3D2~s", m68020up },
216
217 {"chk2b", two(0000300,0004000), two(0177700,07777), "!sR1", m68020up | cpu32 },
218 {"chk2w", two(0001300,0004000), two(0177700,07777), "!sR1", m68020up | cpu32 },
219 {"chk2l", two(0002300,0004000), two(0177700,07777), "!sR1", m68020up | cpu32 },
220
221 {"chkl",        one(0040400),           one(0170700), ";lDd", m68000up },
222 {"chkw",        one(0040600),           one(0170700), ";wDd", m68000up },
223
224 #define SCOPE_LINE (0x1 << 3)
225 #define SCOPE_PAGE (0x2 << 3)
226 #define SCOPE_ALL  (0x3 << 3)
227
228 {"cinva",       one(0xf400|SCOPE_ALL),  one(0xff38), "ce",   m68040up },
229 {"cinvl",       one(0xf400|SCOPE_LINE), one(0xff38), "ceas", m68040up },
230 {"cinvp",       one(0xf400|SCOPE_PAGE), one(0xff38), "ceas", m68040up },
231
232 {"cpusha",      one(0xf420|SCOPE_ALL),  one(0xff38), "ce",   m68040up },
233 {"cpushl",      one(0xf420|SCOPE_LINE), one(0xff38), "ceas", m68040up },
234 {"cpushp",      one(0xf420|SCOPE_PAGE), one(0xff38), "ceas", m68040up },
235
236 #undef SCOPE_LINE
237 #undef SCOPE_PAGE
238 #undef SCOPE_ALL
239
240 {"clrb",        one(0041000),           one(0177700), "$s", m68000up },
241 {"clrw",        one(0041100),           one(0177700), "$s", m68000up },
242 {"clrl",        one(0041200),           one(0177700), "$s", m68000up },
243
244 {"cmp2b",       two(0000300,0), two(0177700,07777), "!sR1", m68020up | cpu32 },
245 {"cmp2w",       two(0001300,0), two(0177700,07777), "!sR1", m68020up | cpu32 },
246 {"cmp2l",       two(0002300,0), two(0177700,07777), "!sR1", m68020up | cpu32 },
247
248 {"cmpaw",       one(0130300),           one(0170700), "*wAd", m68000up },
249 {"cmpal",       one(0130700),           one(0170700), "*lAd", m68000up },
250
251 {"cmpib",       one(0006000),           one(0177700), "#b;b", m68000up },
252 {"cmpiw",       one(0006100),           one(0177700), "#w;w", m68000up },
253 {"cmpil",       one(0006200),           one(0177700), "#l;l", m68000up },
254
255 {"cmpmb",       one(0130410),           one(0170770), "+s+d", m68000up },
256 {"cmpmw",       one(0130510),           one(0170770), "+s+d", m68000up },
257 {"cmpml",       one(0130610),           one(0170770), "+s+d", m68000up },
258
259 /* The cmp opcode can generate the cmpa, cmpm, and cmpi instructions.  */
260 {"cmpb",        one(0006000),           one(0177700), "#b;b", m68000up },
261 {"cmpb",        one(0130410),           one(0170770), "+s+d", m68000up },
262 {"cmpb",        one(0130000),           one(0170700), ";bDd", m68000up },
263 {"cmpw",        one(0130300),           one(0170700), "*wAd", m68000up },
264 {"cmpw",        one(0006100),           one(0177700), "#w;w", m68000up },
265 {"cmpw",        one(0130510),           one(0170770), "+s+d", m68000up },
266 {"cmpw",        one(0130100),           one(0170700), "*wDd", m68000up },
267 {"cmpl",        one(0130700),           one(0170700), "*lAd", m68000up },
268 {"cmpl",        one(0006200),           one(0177700), "#l;l", m68000up },
269 {"cmpl",        one(0130610),           one(0170770), "+s+d", m68000up },
270 {"cmpl",        one(0130200),           one(0170700), "*lDd", m68000up },
271
272 {"dbcc",        one(0052310),           one(0177770), "DsBw", m68000up },
273 {"dbcs",        one(0052710),           one(0177770), "DsBw", m68000up },
274 {"dbeq",        one(0053710),           one(0177770), "DsBw", m68000up },
275 {"dbf",         one(0050710),           one(0177770), "DsBw", m68000up },
276 {"dbge",        one(0056310),           one(0177770), "DsBw", m68000up },
277 {"dbgt",        one(0057310),           one(0177770), "DsBw", m68000up },
278 {"dbhi",        one(0051310),           one(0177770), "DsBw", m68000up },
279 {"dble",        one(0057710),           one(0177770), "DsBw", m68000up },
280 {"dbls",        one(0051710),           one(0177770), "DsBw", m68000up },
281 {"dblt",        one(0056710),           one(0177770), "DsBw", m68000up },
282 {"dbmi",        one(0055710),           one(0177770), "DsBw", m68000up },
283 {"dbne",        one(0053310),           one(0177770), "DsBw", m68000up },
284 {"dbpl",        one(0055310),           one(0177770), "DsBw", m68000up },
285 {"dbt",         one(0050310),           one(0177770), "DsBw", m68000up },
286 {"dbvc",        one(0054310),           one(0177770), "DsBw", m68000up },
287 {"dbvs",        one(0054710),           one(0177770), "DsBw", m68000up },
288
289 {"divsw",       one(0100700),           one(0170700), ";wDd", m68000up },
290
291 {"divsl", two(0046100,0006000),two(0177700,0107770),";lD3D1", m68020up|cpu32 },
292 {"divsl", two(0046100,0004000),two(0177700,0107770),";lDD",   m68020up|cpu32 },
293
294 {"divsll", two(0046100,0004000),two(0177700,0107770),";lD3D1",m68020up|cpu32 },
295 {"divsll", two(0046100,0004000),two(0177700,0107770),";lDD",  m68020up|cpu32 },
296
297 {"divuw",       one(0100300),           one(0170700), ";wDd", m68000up },
298
299 {"divul", two(0046100,0002000),two(0177700,0107770),";lD3D1", m68020up|cpu32 },
300 {"divul", two(0046100,0000000),two(0177700,0107770),";lDD",   m68020up|cpu32 },
301
302 {"divull", two(0046100,0000000),two(0177700,0107770),";lD3D1",m68020up|cpu32 },
303 {"divull", two(0046100,0000000),two(0177700,0107770),";lDD",  m68020up|cpu32 },
304
305 {"eorib",       one(0005000),           one(0177700), "#b$s", m68000up },
306 {"eorib",       one(0005074),           one(0177777), "#bCs", m68000up },
307 {"eoriw",       one(0005100),           one(0177700), "#w$s", m68000up },
308 {"eoriw",       one(0005174),           one(0177777), "#wSs", m68000up },
309 {"eoril",       one(0005200),           one(0177700), "#l$s", m68000up },
310 {"eori",        one(0005074),           one(0177777), "#bCs", m68000up },
311 {"eori",        one(0005174),           one(0177777), "#wSs", m68000up },
312 {"eori",        one(0005100),           one(0177700), "#w$s", m68000up },
313
314 /* The eor opcode can generate the eori instruction.  */
315 {"eorb",        one(0005000),           one(0177700), "#b$s", m68000up },
316 {"eorb",        one(0005074),           one(0177777), "#bCs", m68000up },
317 {"eorb",        one(0130400),           one(0170700), "Dd$s", m68000up },
318 {"eorw",        one(0005100),           one(0177700), "#w$s", m68000up },
319 {"eorw",        one(0005174),           one(0177777), "#wSs", m68000up },
320 {"eorw",        one(0130500),           one(0170700), "Dd$s", m68000up },
321 {"eorl",        one(0005200),           one(0177700), "#l$s", m68000up },
322 {"eorl",        one(0130600),           one(0170700), "Dd$s", m68000up },
323 {"eor",         one(0005074),           one(0177777), "#bCs", m68000up },
324 {"eor",         one(0005174),           one(0177777), "#wSs", m68000up },
325 {"eor",         one(0005100),           one(0177700), "#w$s", m68000up },
326 {"eor",         one(0130500),           one(0170700), "Dd$s", m68000up },
327
328 {"exg",         one(0140500),           one(0170770), "DdDs", m68000up },
329 {"exg",         one(0140510),           one(0170770), "AdAs", m68000up },
330 {"exg",         one(0140610),           one(0170770), "DdAs", m68000up },
331 {"exg",         one(0140610),           one(0170770), "AsDd", m68000up },
332
333 {"extw",        one(0044200),           one(0177770), "Ds", m68000up },
334 {"extl",        one(0044300),           one(0177770), "Ds", m68000up },
335 {"extbl",       one(0044700),           one(0177770), "Ds", m68020up | cpu32 },
336
337 /* float stuff starts here */
338
339 {"fabsb",       two(0xF000, 0x5818), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
340 {"fabsd",       two(0xF000, 0x5418), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
341 {"fabsl",       two(0xF000, 0x4018), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
342 {"fabsp",       two(0xF000, 0x4C18), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
343 {"fabss",       two(0xF000, 0x4418), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
344 {"fabsw",       two(0xF000, 0x5018), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
345 {"fabsx",       two(0xF000, 0x0018), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
346 {"fabsx",       two(0xF000, 0x4818), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
347 {"fabsx",       two(0xF000, 0x0018), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
348
349 {"fsabsb",      two(0xF000, 0x5858), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
350 {"fsabsd",      two(0xF000, 0x5458), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
351 {"fsabsl",      two(0xF000, 0x4058), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
352 {"fsabsp",      two(0xF000, 0x4C58), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
353 {"fsabss",      two(0xF000, 0x4458), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
354 {"fsabsw",      two(0xF000, 0x5058), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
355 {"fsabsx",      two(0xF000, 0x0058), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
356 {"fsabsx",      two(0xF000, 0x4858), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
357 {"fsabsx",      two(0xF000, 0x0058), two(0xF1C0, 0xE07F), "IiFt",   m68040up },
358
359 {"fdabsb",      two(0xF000, 0x585c), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up},
360 {"fdabsd",      two(0xF000, 0x545c), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up},
361 {"fdabsl",      two(0xF000, 0x405c), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up},
362 {"fdabsp",      two(0xF000, 0x4C5c), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up},
363 {"fdabss",      two(0xF000, 0x445c), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up},
364 {"fdabsw",      two(0xF000, 0x505c), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up},
365 {"fdabsx",      two(0xF000, 0x005c), two(0xF1C0, 0xE07F), "IiF8F7", m68040up},
366 {"fdabsx",      two(0xF000, 0x485c), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up},
367 {"fdabsx",      two(0xF000, 0x005c), two(0xF1C0, 0xE07F), "IiFt",   m68040up},
368
369 {"facosb",      two(0xF000, 0x581C), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
370 {"facosd",      two(0xF000, 0x541C), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
371 {"facosl",      two(0xF000, 0x401C), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
372 {"facosp",      two(0xF000, 0x4C1C), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
373 {"facoss",      two(0xF000, 0x441C), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
374 {"facosw",      two(0xF000, 0x501C), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
375 {"facosx",      two(0xF000, 0x001C), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
376 {"facosx",      two(0xF000, 0x481C), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
377 {"facosx",      two(0xF000, 0x001C), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
378
379 {"faddb",       two(0xF000, 0x5822), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
380 {"faddd",       two(0xF000, 0x5422), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
381 {"faddl",       two(0xF000, 0x4022), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
382 {"faddp",       two(0xF000, 0x4C22), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
383 {"fadds",       two(0xF000, 0x4422), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
384 {"faddw",       two(0xF000, 0x5022), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
385 {"faddx",       two(0xF000, 0x0022), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
386 {"faddx",       two(0xF000, 0x4822), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
387
388 {"fsaddb",      two(0xF000, 0x5862), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
389 {"fsaddd",      two(0xF000, 0x5462), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
390 {"fsaddl",      two(0xF000, 0x4062), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
391 {"fsaddp",      two(0xF000, 0x4C62), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
392 {"fsadds",      two(0xF000, 0x4462), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
393 {"fsaddw",      two(0xF000, 0x5062), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
394 {"fsaddx",      two(0xF000, 0x0062), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
395 {"fsaddx",      two(0xF000, 0x4862), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
396
397 {"fdaddb",      two(0xF000, 0x5866), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
398 {"fdaddd",      two(0xF000, 0x5466), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
399 {"fdaddl",      two(0xF000, 0x4066), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
400 {"fdaddp",      two(0xF000, 0x4C66), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
401 {"fdadds",      two(0xF000, 0x4466), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
402 {"fdaddw",      two(0xF000, 0x5066), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
403 {"fdaddx",      two(0xF000, 0x0066), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
404 {"fdaddx",      two(0xF000, 0x4866), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
405
406 {"fasinb",      two(0xF000, 0x580C), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
407 {"fasind",      two(0xF000, 0x540C), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
408 {"fasinl",      two(0xF000, 0x400C), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
409 {"fasinp",      two(0xF000, 0x4C0C), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
410 {"fasins",      two(0xF000, 0x440C), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
411 {"fasinw",      two(0xF000, 0x500C), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
412 {"fasinx",      two(0xF000, 0x000C), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
413 {"fasinx",      two(0xF000, 0x480C), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
414 {"fasinx",      two(0xF000, 0x000C), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
415
416 {"fatanb",      two(0xF000, 0x580A), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
417 {"fatand",      two(0xF000, 0x540A), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
418 {"fatanl",      two(0xF000, 0x400A), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
419 {"fatanp",      two(0xF000, 0x4C0A), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
420 {"fatans",      two(0xF000, 0x440A), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
421 {"fatanw",      two(0xF000, 0x500A), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
422 {"fatanx",      two(0xF000, 0x000A), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
423 {"fatanx",      two(0xF000, 0x480A), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
424 {"fatanx",      two(0xF000, 0x000A), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
425
426 {"fatanhb",     two(0xF000, 0x580D), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
427 {"fatanhd",     two(0xF000, 0x540D), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
428 {"fatanhl",     two(0xF000, 0x400D), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
429 {"fatanhp",     two(0xF000, 0x4C0D), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
430 {"fatanhs",     two(0xF000, 0x440D), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
431 {"fatanhw",     two(0xF000, 0x500D), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
432 {"fatanhx",     two(0xF000, 0x000D), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
433 {"fatanhx",     two(0xF000, 0x480D), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
434 {"fatanhx",     two(0xF000, 0x000D), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
435
436 {"fbeq",        one(0xF081),            one(0xF1FF), "IdBW", mfloat },
437 {"fbf",         one(0xF080),            one(0xF1FF), "IdBW", mfloat },
438 {"fbge",        one(0xF093),            one(0xF1FF), "IdBW", mfloat },
439 {"fbgl",        one(0xF096),            one(0xF1FF), "IdBW", mfloat },
440 {"fbgle",       one(0xF097),            one(0xF1FF), "IdBW", mfloat },
441 {"fbgt",        one(0xF092),            one(0xF1FF), "IdBW", mfloat },
442 {"fble",        one(0xF095),            one(0xF1FF), "IdBW", mfloat },
443 {"fblt",        one(0xF094),            one(0xF1FF), "IdBW", mfloat },
444 {"fbne",        one(0xF08E),            one(0xF1FF), "IdBW", mfloat },
445 {"fbnge",       one(0xF09C),            one(0xF1FF), "IdBW", mfloat },
446 {"fbngl",       one(0xF099),            one(0xF1FF), "IdBW", mfloat },
447 {"fbngle",      one(0xF098),            one(0xF1FF), "IdBW", mfloat },
448 {"fbngt",       one(0xF09D),            one(0xF1FF), "IdBW", mfloat },
449 {"fbnle",       one(0xF09A),            one(0xF1FF), "IdBW", mfloat },
450 {"fbnlt",       one(0xF09B),            one(0xF1FF), "IdBW", mfloat },
451 {"fboge",       one(0xF083),            one(0xF1FF), "IdBW", mfloat },
452 {"fbogl",       one(0xF086),            one(0xF1FF), "IdBW", mfloat },
453 {"fbogt",       one(0xF082),            one(0xF1FF), "IdBW", mfloat },
454 {"fbole",       one(0xF085),            one(0xF1FF), "IdBW", mfloat },
455 {"fbolt",       one(0xF084),            one(0xF1FF), "IdBW", mfloat },
456 {"fbor",        one(0xF087),            one(0xF1FF), "IdBW", mfloat },
457 {"fbseq",       one(0xF091),            one(0xF1FF), "IdBW", mfloat },
458 {"fbsf",        one(0xF090),            one(0xF1FF), "IdBW", mfloat },
459 {"fbsne",       one(0xF09E),            one(0xF1FF), "IdBW", mfloat },
460 {"fbst",        one(0xF09F),            one(0xF1FF), "IdBW", mfloat },
461 {"fbt",         one(0xF08F),            one(0xF1FF), "IdBW", mfloat },
462 {"fbueq",       one(0xF089),            one(0xF1FF), "IdBW", mfloat },
463 {"fbuge",       one(0xF08B),            one(0xF1FF), "IdBW", mfloat },
464 {"fbugt",       one(0xF08A),            one(0xF1FF), "IdBW", mfloat },
465 {"fbule",       one(0xF08D),            one(0xF1FF), "IdBW", mfloat },
466 {"fbult",       one(0xF08C),            one(0xF1FF), "IdBW", mfloat },
467 {"fbun",        one(0xF088),            one(0xF1FF), "IdBW", mfloat },
468
469 {"fbeql",       one(0xF0C1),            one(0xF1FF), "IdBC", mfloat },
470 {"fbfl",        one(0xF0C0),            one(0xF1FF), "IdBC", mfloat },
471 {"fbgel",       one(0xF0D3),            one(0xF1FF), "IdBC", mfloat },
472 {"fbgll",       one(0xF0D6),            one(0xF1FF), "IdBC", mfloat },
473 {"fbglel",      one(0xF0D7),            one(0xF1FF), "IdBC", mfloat },
474 {"fbgtl",       one(0xF0D2),            one(0xF1FF), "IdBC", mfloat },
475 {"fblel",       one(0xF0D5),            one(0xF1FF), "IdBC", mfloat },
476 {"fbltl",       one(0xF0D4),            one(0xF1FF), "IdBC", mfloat },
477 {"fbnel",       one(0xF0CE),            one(0xF1FF), "IdBC", mfloat },
478 {"fbngel",      one(0xF0DC),            one(0xF1FF), "IdBC", mfloat },
479 {"fbngll",      one(0xF0D9),            one(0xF1FF), "IdBC", mfloat },
480 {"fbnglel",     one(0xF0D8),            one(0xF1FF), "IdBC", mfloat },
481 {"fbngtl",      one(0xF0DD),            one(0xF1FF), "IdBC", mfloat },
482 {"fbnlel",      one(0xF0DA),            one(0xF1FF), "IdBC", mfloat },
483 {"fbnltl",      one(0xF0DB),            one(0xF1FF), "IdBC", mfloat },
484 {"fbogel",      one(0xF0C3),            one(0xF1FF), "IdBC", mfloat },
485 {"fbogll",      one(0xF0C6),            one(0xF1FF), "IdBC", mfloat },
486 {"fbogtl",      one(0xF0C2),            one(0xF1FF), "IdBC", mfloat },
487 {"fbolel",      one(0xF0C5),            one(0xF1FF), "IdBC", mfloat },
488 {"fboltl",      one(0xF0C4),            one(0xF1FF), "IdBC", mfloat },
489 {"fborl",       one(0xF0C7),            one(0xF1FF), "IdBC", mfloat },
490 {"fbseql",      one(0xF0D1),            one(0xF1FF), "IdBC", mfloat },
491 {"fbsfl",       one(0xF0D0),            one(0xF1FF), "IdBC", mfloat },
492 {"fbsnel",      one(0xF0DE),            one(0xF1FF), "IdBC", mfloat },
493 {"fbstl",       one(0xF0DF),            one(0xF1FF), "IdBC", mfloat },
494 {"fbtl",        one(0xF0CF),            one(0xF1FF), "IdBC", mfloat },
495 {"fbueql",      one(0xF0C9),            one(0xF1FF), "IdBC", mfloat },
496 {"fbugel",      one(0xF0CB),            one(0xF1FF), "IdBC", mfloat },
497 {"fbugtl",      one(0xF0CA),            one(0xF1FF), "IdBC", mfloat },
498 {"fbulel",      one(0xF0CD),            one(0xF1FF), "IdBC", mfloat },
499 {"fbultl",      one(0xF0CC),            one(0xF1FF), "IdBC", mfloat },
500 {"fbunl",       one(0xF0C8),            one(0xF1FF), "IdBC", mfloat },
501
502 {"fjeq",        one(0xF081),            one(0xF1BF), "IdBc", mfloat },
503 {"fjf",         one(0xF080),            one(0xF1BF), "IdBc", mfloat },
504 {"fjge",        one(0xF093),            one(0xF1BF), "IdBc", mfloat },
505 {"fjgl",        one(0xF096),            one(0xF1BF), "IdBc", mfloat },
506 {"fjgle",       one(0xF097),            one(0xF1BF), "IdBc", mfloat },
507 {"fjgt",        one(0xF092),            one(0xF1BF), "IdBc", mfloat },
508 {"fjle",        one(0xF095),            one(0xF1BF), "IdBc", mfloat },
509 {"fjlt",        one(0xF094),            one(0xF1BF), "IdBc", mfloat },
510 {"fjne",        one(0xF08E),            one(0xF1BF), "IdBc", mfloat },
511 {"fjnge",       one(0xF09C),            one(0xF1BF), "IdBc", mfloat },
512 {"fjngl",       one(0xF099),            one(0xF1BF), "IdBc", mfloat },
513 {"fjngle",      one(0xF098),            one(0xF1BF), "IdBc", mfloat },
514 {"fjngt",       one(0xF09D),            one(0xF1BF), "IdBc", mfloat },
515 {"fjnle",       one(0xF09A),            one(0xF1BF), "IdBc", mfloat },
516 {"fjnlt",       one(0xF09B),            one(0xF1BF), "IdBc", mfloat },
517 {"fjoge",       one(0xF083),            one(0xF1BF), "IdBc", mfloat },
518 {"fjogl",       one(0xF086),            one(0xF1BF), "IdBc", mfloat },
519 {"fjogt",       one(0xF082),            one(0xF1BF), "IdBc", mfloat },
520 {"fjole",       one(0xF085),            one(0xF1BF), "IdBc", mfloat },
521 {"fjolt",       one(0xF084),            one(0xF1BF), "IdBc", mfloat },
522 {"fjor",        one(0xF087),            one(0xF1BF), "IdBc", mfloat },
523 {"fjseq",       one(0xF091),            one(0xF1BF), "IdBc", mfloat },
524 {"fjsf",        one(0xF090),            one(0xF1BF), "IdBc", mfloat },
525 {"fjsne",       one(0xF09E),            one(0xF1BF), "IdBc", mfloat },
526 {"fjst",        one(0xF09F),            one(0xF1BF), "IdBc", mfloat },
527 {"fjt",         one(0xF08F),            one(0xF1BF), "IdBc", mfloat },
528 {"fjueq",       one(0xF089),            one(0xF1BF), "IdBc", mfloat },
529 {"fjuge",       one(0xF08B),            one(0xF1BF), "IdBc", mfloat },
530 {"fjugt",       one(0xF08A),            one(0xF1BF), "IdBc", mfloat },
531 {"fjule",       one(0xF08D),            one(0xF1BF), "IdBc", mfloat },
532 {"fjult",       one(0xF08C),            one(0xF1BF), "IdBc", mfloat },
533 {"fjun",        one(0xF088),            one(0xF1BF), "IdBc", mfloat },
534
535 {"fcmpb",       two(0xF000, 0x5838), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
536 {"fcmpd",       two(0xF000, 0x5438), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
537 {"fcmpl",       two(0xF000, 0x4038), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
538 {"fcmpp",       two(0xF000, 0x4C38), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
539 {"fcmps",       two(0xF000, 0x4438), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
540 {"fcmpw",       two(0xF000, 0x5038), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
541 {"fcmpx",       two(0xF000, 0x0038), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
542 {"fcmpx",       two(0xF000, 0x4838), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
543
544 {"fcosb",       two(0xF000, 0x581D), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
545 {"fcosd",       two(0xF000, 0x541D), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
546 {"fcosl",       two(0xF000, 0x401D), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
547 {"fcosp",       two(0xF000, 0x4C1D), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
548 {"fcoss",       two(0xF000, 0x441D), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
549 {"fcosw",       two(0xF000, 0x501D), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
550 {"fcosx",       two(0xF000, 0x001D), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
551 {"fcosx",       two(0xF000, 0x481D), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
552 {"fcosx",       two(0xF000, 0x001D), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
553
554 {"fcoshb",      two(0xF000, 0x5819), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
555 {"fcoshd",      two(0xF000, 0x5419), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
556 {"fcoshl",      two(0xF000, 0x4019), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
557 {"fcoshp",      two(0xF000, 0x4C19), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
558 {"fcoshs",      two(0xF000, 0x4419), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
559 {"fcoshw",      two(0xF000, 0x5019), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
560 {"fcoshx",      two(0xF000, 0x0019), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
561 {"fcoshx",      two(0xF000, 0x4819), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
562 {"fcoshx",      two(0xF000, 0x0019), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
563
564 {"fdbeq",       two(0xF048, 0x0001), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
565 {"fdbf",        two(0xF048, 0x0000), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
566 {"fdbge",       two(0xF048, 0x0013), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
567 {"fdbgl",       two(0xF048, 0x0016), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
568 {"fdbgle",      two(0xF048, 0x0017), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
569 {"fdbgt",       two(0xF048, 0x0012), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
570 {"fdble",       two(0xF048, 0x0015), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
571 {"fdblt",       two(0xF048, 0x0014), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
572 {"fdbne",       two(0xF048, 0x000E), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
573 {"fdbnge",      two(0xF048, 0x001C), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
574 {"fdbngl",      two(0xF048, 0x0019), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
575 {"fdbngle",     two(0xF048, 0x0018), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
576 {"fdbngt",      two(0xF048, 0x001D), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
577 {"fdbnle",      two(0xF048, 0x001A), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
578 {"fdbnlt",      two(0xF048, 0x001B), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
579 {"fdboge",      two(0xF048, 0x0003), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
580 {"fdbogl",      two(0xF048, 0x0006), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
581 {"fdbogt",      two(0xF048, 0x0002), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
582 {"fdbole",      two(0xF048, 0x0005), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
583 {"fdbolt",      two(0xF048, 0x0004), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
584 {"fdbor",       two(0xF048, 0x0007), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
585 {"fdbseq",      two(0xF048, 0x0011), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
586 {"fdbsf",       two(0xF048, 0x0010), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
587 {"fdbsne",      two(0xF048, 0x001E), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
588 {"fdbst",       two(0xF048, 0x001F), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
589 {"fdbt",        two(0xF048, 0x000F), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
590 {"fdbueq",      two(0xF048, 0x0009), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
591 {"fdbuge",      two(0xF048, 0x000B), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
592 {"fdbugt",      two(0xF048, 0x000A), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
593 {"fdbule",      two(0xF048, 0x000D), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
594 {"fdbult",      two(0xF048, 0x000C), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
595 {"fdbun",       two(0xF048, 0x0008), two(0xF1F8, 0xFFFF), "IiDsBw", mfloat },
596
597 {"fdivb",       two(0xF000, 0x5820), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
598 {"fdivd",       two(0xF000, 0x5420), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
599 {"fdivl",       two(0xF000, 0x4020), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
600 {"fdivp",       two(0xF000, 0x4C20), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
601 {"fdivs",       two(0xF000, 0x4420), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
602 {"fdivw",       two(0xF000, 0x5020), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
603 {"fdivx",       two(0xF000, 0x0020), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
604 {"fdivx",       two(0xF000, 0x4820), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
605
606 {"fsdivb",      two(0xF000, 0x5860), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
607 {"fsdivd",      two(0xF000, 0x5460), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
608 {"fsdivl",      two(0xF000, 0x4060), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
609 {"fsdivp",      two(0xF000, 0x4C60), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
610 {"fsdivs",      two(0xF000, 0x4460), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
611 {"fsdivw",      two(0xF000, 0x5060), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
612 {"fsdivx",      two(0xF000, 0x0060), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
613 {"fsdivx",      two(0xF000, 0x4860), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
614
615 {"fddivb",      two(0xF000, 0x5864), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
616 {"fddivd",      two(0xF000, 0x5464), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
617 {"fddivl",      two(0xF000, 0x4064), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
618 {"fddivp",      two(0xF000, 0x4C64), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
619 {"fddivs",      two(0xF000, 0x4464), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
620 {"fddivw",      two(0xF000, 0x5064), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
621 {"fddivx",      two(0xF000, 0x0064), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
622 {"fddivx",      two(0xF000, 0x4864), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
623
624 {"fetoxb",      two(0xF000, 0x5810), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
625 {"fetoxd",      two(0xF000, 0x5410), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
626 {"fetoxl",      two(0xF000, 0x4010), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
627 {"fetoxp",      two(0xF000, 0x4C10), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
628 {"fetoxs",      two(0xF000, 0x4410), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
629 {"fetoxw",      two(0xF000, 0x5010), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
630 {"fetoxx",      two(0xF000, 0x0010), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
631 {"fetoxx",      two(0xF000, 0x4810), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
632 {"fetoxx",      two(0xF000, 0x0010), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
633
634 {"fetoxm1b",    two(0xF000, 0x5808), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
635 {"fetoxm1d",    two(0xF000, 0x5408), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
636 {"fetoxm1l",    two(0xF000, 0x4008), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
637 {"fetoxm1p",    two(0xF000, 0x4C08), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
638 {"fetoxm1s",    two(0xF000, 0x4408), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
639 {"fetoxm1w",    two(0xF000, 0x5008), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
640 {"fetoxm1x",    two(0xF000, 0x0008), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
641 {"fetoxm1x",    two(0xF000, 0x4808), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
642 {"fetoxm1x",    two(0xF000, 0x0008), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
643
644 {"fgetexpb",    two(0xF000, 0x581E), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
645 {"fgetexpd",    two(0xF000, 0x541E), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
646 {"fgetexpl",    two(0xF000, 0x401E), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
647 {"fgetexpp",    two(0xF000, 0x4C1E), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
648 {"fgetexps",    two(0xF000, 0x441E), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
649 {"fgetexpw",    two(0xF000, 0x501E), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
650 {"fgetexpx",    two(0xF000, 0x001E), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
651 {"fgetexpx",    two(0xF000, 0x481E), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
652 {"fgetexpx",    two(0xF000, 0x001E), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
653
654 {"fgetmanb",    two(0xF000, 0x581F), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
655 {"fgetmand",    two(0xF000, 0x541F), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
656 {"fgetmanl",    two(0xF000, 0x401F), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
657 {"fgetmanp",    two(0xF000, 0x4C1F), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
658 {"fgetmans",    two(0xF000, 0x441F), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
659 {"fgetmanw",    two(0xF000, 0x501F), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
660 {"fgetmanx",    two(0xF000, 0x001F), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
661 {"fgetmanx",    two(0xF000, 0x481F), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
662 {"fgetmanx",    two(0xF000, 0x001F), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
663
664 {"fintb",       two(0xF000, 0x5801), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
665 {"fintd",       two(0xF000, 0x5401), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
666 {"fintl",       two(0xF000, 0x4001), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
667 {"fintp",       two(0xF000, 0x4C01), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
668 {"fints",       two(0xF000, 0x4401), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
669 {"fintw",       two(0xF000, 0x5001), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
670 {"fintx",       two(0xF000, 0x0001), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
671 {"fintx",       two(0xF000, 0x4801), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
672 {"fintx",       two(0xF000, 0x0001), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
673
674 {"fintrzb",     two(0xF000, 0x5803), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
675 {"fintrzd",     two(0xF000, 0x5403), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
676 {"fintrzl",     two(0xF000, 0x4003), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
677 {"fintrzp",     two(0xF000, 0x4C03), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
678 {"fintrzs",     two(0xF000, 0x4403), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
679 {"fintrzw",     two(0xF000, 0x5003), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
680 {"fintrzx",     two(0xF000, 0x0003), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
681 {"fintrzx",     two(0xF000, 0x4803), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
682 {"fintrzx",     two(0xF000, 0x0003), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
683
684 {"flog10b",     two(0xF000, 0x5815), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
685 {"flog10d",     two(0xF000, 0x5415), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
686 {"flog10l",     two(0xF000, 0x4015), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
687 {"flog10p",     two(0xF000, 0x4C15), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
688 {"flog10s",     two(0xF000, 0x4415), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
689 {"flog10w",     two(0xF000, 0x5015), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
690 {"flog10x",     two(0xF000, 0x0015), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
691 {"flog10x",     two(0xF000, 0x4815), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
692 {"flog10x",     two(0xF000, 0x0015), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
693
694 {"flog2b",      two(0xF000, 0x5816), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
695 {"flog2d",      two(0xF000, 0x5416), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
696 {"flog2l",      two(0xF000, 0x4016), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
697 {"flog2p",      two(0xF000, 0x4C16), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
698 {"flog2s",      two(0xF000, 0x4416), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
699 {"flog2w",      two(0xF000, 0x5016), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
700 {"flog2x",      two(0xF000, 0x0016), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
701 {"flog2x",      two(0xF000, 0x4816), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
702 {"flog2x",      two(0xF000, 0x0016), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
703
704 {"flognb",      two(0xF000, 0x5814), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
705 {"flognd",      two(0xF000, 0x5414), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
706 {"flognl",      two(0xF000, 0x4014), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
707 {"flognp",      two(0xF000, 0x4C14), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
708 {"flogns",      two(0xF000, 0x4414), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
709 {"flognw",      two(0xF000, 0x5014), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
710 {"flognx",      two(0xF000, 0x0014), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
711 {"flognx",      two(0xF000, 0x4814), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
712 {"flognx",      two(0xF000, 0x0014), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
713
714 {"flognp1b",    two(0xF000, 0x5806), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
715 {"flognp1d",    two(0xF000, 0x5406), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
716 {"flognp1l",    two(0xF000, 0x4006), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
717 {"flognp1p",    two(0xF000, 0x4C06), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
718 {"flognp1s",    two(0xF000, 0x4406), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
719 {"flognp1w",    two(0xF000, 0x5006), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
720 {"flognp1x",    two(0xF000, 0x0006), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
721 {"flognp1x",    two(0xF000, 0x4806), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
722 {"flognp1x",    two(0xF000, 0x0006), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
723
724 {"fmodb",       two(0xF000, 0x5821), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
725 {"fmodd",       two(0xF000, 0x5421), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
726 {"fmodl",       two(0xF000, 0x4021), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
727 {"fmodp",       two(0xF000, 0x4C21), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
728 {"fmods",       two(0xF000, 0x4421), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
729 {"fmodw",       two(0xF000, 0x5021), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
730 {"fmodx",       two(0xF000, 0x0021), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
731 {"fmodx",       two(0xF000, 0x4821), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
732
733 {"fmoveb",      two(0xF000, 0x5800), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
734 {"fmoveb",      two(0xF000, 0x7800), two(0xF1C0, 0xFC7F), "IiF7$b", mfloat },
735 {"fmoved",      two(0xF000, 0x5400), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
736 {"fmoved",      two(0xF000, 0x7400), two(0xF1C0, 0xFC7F), "IiF7~F", mfloat },
737 {"fmovel",      two(0xF000, 0x4000), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
738 {"fmovel",      two(0xF000, 0x6000), two(0xF1C0, 0xFC7F), "IiF7$l", mfloat },
739 /* FIXME: the next two variants should not permit moving an address
740    register to anything but the floating point instruction register.  */
741 {"fmovel",      two(0xF000, 0xA000), two(0xF1C0, 0xE3FF), "Iis8%s", mfloat },
742 {"fmovel",      two(0xF000, 0x8000), two(0xF1C0, 0xE3FF), "Ii*ls8", mfloat },
743 {"fmovep",      two(0xF000, 0x4C00), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
744 {"fmovep",      two(0xF000, 0x6C00), two(0xF1C0, 0xFC00), "IiF7~pkC", mfloat },
745 {"fmovep",      two(0xF000, 0x7C00), two(0xF1C0, 0xFC0F), "IiF7~pDk", mfloat },
746 {"fmoves",      two(0xF000, 0x4400), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
747 {"fmoves",      two(0xF000, 0x6400), two(0xF1C0, 0xFC7F), "IiF7$f", mfloat },
748 {"fmovew",      two(0xF000, 0x5000), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
749 {"fmovew",      two(0xF000, 0x7000), two(0xF1C0, 0xFC7F), "IiF7$w", mfloat },
750 {"fmovex",      two(0xF000, 0x0000), two(0xF1FF, 0xE07F), "IiF8F7", mfloat },
751 {"fmovex",      two(0xF000, 0x4800), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
752 {"fmovex",      two(0xF000, 0x6800), two(0xF1C0, 0xFC7F), "IiF7~x", mfloat },
753
754 {"fsmoveb",     two(0xF000, 0x5840), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
755 {"fsmoved",     two(0xF000, 0x5440), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
756 {"fsmovel",     two(0xF000, 0x4040), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
757 {"fsmoves",     two(0xF000, 0x4440), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
758 {"fsmovew",     two(0xF000, 0x5040), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
759 {"fsmovex",     two(0xF000, 0x0040), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
760 {"fsmovex",     two(0xF000, 0x4840), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
761 {"fsmovep",     two(0xF000, 0x4C40), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
762
763 {"fdmoveb",     two(0xF000, 0x5844), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
764 {"fdmoved",     two(0xF000, 0x5444), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
765 {"fdmovel",     two(0xF000, 0x4044), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
766 {"fdmoves",     two(0xF000, 0x4444), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
767 {"fdmovew",     two(0xF000, 0x5044), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
768 {"fdmovex",     two(0xF000, 0x0044), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
769 {"fdmovex",     two(0xF000, 0x4844), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
770 {"fdmovep",     two(0xF000, 0x4C44), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
771
772 {"fmovecrx",    two(0xF000, 0x5C00), two(0xF1FF, 0xFC00), "Ii#CF7", mfloat },
773
774 {"fmovemx",     two(0xF000, 0xF800), two(0xF1C0, 0xFF8F), "IiDk&s", mfloat },
775 {"fmovemx",     two(0xF000, 0xD800), two(0xF1C0, 0xFF8F), "Ii&sDk", mfloat },
776 {"fmovemx",     two(0xF000, 0xF000), two(0xF1C0, 0xFF00), "Idl3&s", mfloat },
777 {"fmovemx",     two(0xF000, 0xF000), two(0xF1C0, 0xFF00), "Id#3&s", mfloat },
778 {"fmovemx",     two(0xF000, 0xD000), two(0xF1C0, 0xFF00), "Id&sl3", mfloat },
779 {"fmovemx",     two(0xF000, 0xD000), two(0xF1C0, 0xFF00), "Id&s#3", mfloat },
780 {"fmovemx",     two(0xF020, 0xE800), two(0xF1F8, 0xFF8F), "IiDk-s", mfloat },
781 {"fmovemx",     two(0xF020, 0xE000), two(0xF1F8, 0xFF00), "IdL3-s", mfloat },
782 {"fmovemx",     two(0xF020, 0xE000), two(0xF1F8, 0xFF00), "Id#3-s", mfloat },
783 {"fmovemx",     two(0xF018, 0xD800), two(0xF1F8, 0xFF8F), "Ii+sDk", mfloat },
784 {"fmovemx",     two(0xF018, 0xD000), two(0xF1F8, 0xFF00), "Id+sl3", mfloat },
785 {"fmovemx",     two(0xF018, 0xD000), two(0xF1F8, 0xFF00), "Id+s#3", mfloat },
786
787 {"fmoveml",     two(0xF000, 0xA000), two(0xF1C0, 0xE3FF), "IiL8~s", mfloat },
788 {"fmoveml",     two(0xF000, 0xA000), two(0xF1C0, 0xE3FF), "Ii#8~s", mfloat },
789 {"fmoveml",     two(0xF000, 0xA000), two(0xF1C0, 0xE3FF), "Iis8~s", mfloat },
790 {"fmoveml",     two(0xF000, 0x8000), two(0xF2C0, 0xE3FF), "Ii*sL8", mfloat },
791 {"fmoveml",     two(0xF000, 0x8000), two(0xF1C0, 0xE3FF), "Ii*s#8", mfloat },
792 {"fmoveml",     two(0xF000, 0x8000), two(0xF1C0, 0xE3FF), "Ii*ss8", mfloat },
793
794 {"fmovem",      two(0xF020, 0xE000), two(0xF1F8, 0xFF00), "IdL3-s", mfloat },
795 {"fmovem",      two(0xF000, 0xF000), two(0xF1C0, 0xFF00), "Idl3&s", mfloat },
796 {"fmovem",      two(0xF018, 0xD000), two(0xF1F8, 0xFF00), "Id+sl3", mfloat },
797 {"fmovem",      two(0xF000, 0xD000), two(0xF1C0, 0xFF00), "Id&sl3", mfloat },
798 {"fmovem",      two(0xF020, 0xE000), two(0xF1F8, 0xFF00), "Id#3-s", mfloat },
799 {"fmovem",      two(0xF020, 0xE800), two(0xF1F8, 0xFF8F), "IiDk-s", mfloat },
800 {"fmovem",      two(0xF000, 0xF000), two(0xF1C0, 0xFF00), "Id#3&s", mfloat },
801 {"fmovem",      two(0xF000, 0xF800), two(0xF1C0, 0xFF8F), "IiDk&s", mfloat },
802 {"fmovem",      two(0xF018, 0xD000), two(0xF1F8, 0xFF00), "Id+s#3", mfloat },
803 {"fmovem",      two(0xF018, 0xD800), two(0xF1F8, 0xFF8F), "Ii+sDk", mfloat },
804 {"fmovem",      two(0xF000, 0xD000), two(0xF1C0, 0xFF00), "Id&s#3", mfloat },
805 {"fmovem",      two(0xF000, 0xD800), two(0xF1C0, 0xFF8F), "Ii&sDk", mfloat },
806 {"fmovem",      two(0xF000, 0xA000), two(0xF1C0, 0xE3FF), "Iis8%s", mfloat },
807 {"fmovem",      two(0xF000, 0x8000), two(0xF1C0, 0xE3FF), "Ii*ss8", mfloat },
808 {"fmovem",      two(0xF000, 0xA000), two(0xF1C0, 0xE3FF), "IiL8~s", mfloat },
809 {"fmovem",      two(0xF000, 0x8000), two(0xF2C0, 0xE3FF), "Ii*sL8", mfloat },
810
811 {"fmulb",       two(0xF000, 0x5823), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
812 {"fmuld",       two(0xF000, 0x5423), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
813 {"fmull",       two(0xF000, 0x4023), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
814 {"fmulp",       two(0xF000, 0x4C23), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
815 {"fmuls",       two(0xF000, 0x4423), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
816 {"fmulw",       two(0xF000, 0x5023), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
817 {"fmulx",       two(0xF000, 0x0023), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
818 {"fmulx",       two(0xF000, 0x4823), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
819
820 {"fsmulb",      two(0xF000, 0x5863), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
821 {"fsmuld",      two(0xF000, 0x5463), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
822 {"fsmull",      two(0xF000, 0x4063), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
823 {"fsmulp",      two(0xF000, 0x4C63), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
824 {"fsmuls",      two(0xF000, 0x4463), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
825 {"fsmulw",      two(0xF000, 0x5063), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
826 {"fsmulx",      two(0xF000, 0x0063), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
827 {"fsmulx",      two(0xF000, 0x4863), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
828
829 {"fdmulb",      two(0xF000, 0x5867), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
830 {"fdmuld",      two(0xF000, 0x5467), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
831 {"fdmull",      two(0xF000, 0x4067), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
832 {"fdmulp",      two(0xF000, 0x4C67), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
833 {"fdmuls",      two(0xF000, 0x4467), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
834 {"fdmulw",      two(0xF000, 0x5067), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
835 {"fdmulx",      two(0xF000, 0x0067), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
836 {"fdmulx",      two(0xF000, 0x4867), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
837
838 {"fnegb",       two(0xF000, 0x581A), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
839 {"fnegd",       two(0xF000, 0x541A), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
840 {"fnegl",       two(0xF000, 0x401A), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
841 {"fnegp",       two(0xF000, 0x4C1A), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
842 {"fnegs",       two(0xF000, 0x441A), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
843 {"fnegw",       two(0xF000, 0x501A), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
844 {"fnegx",       two(0xF000, 0x001A), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
845 {"fnegx",       two(0xF000, 0x481A), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
846 {"fnegx",       two(0xF000, 0x001A), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
847
848 {"fsnegb",      two(0xF000, 0x585A), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
849 {"fsnegd",      two(0xF000, 0x545A), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
850 {"fsnegl",      two(0xF000, 0x405A), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
851 {"fsnegp",      two(0xF000, 0x4C5A), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
852 {"fsnegs",      two(0xF000, 0x445A), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
853 {"fsnegw",      two(0xF000, 0x505A), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
854 {"fsnegx",      two(0xF000, 0x005A), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
855 {"fsnegx",      two(0xF000, 0x485A), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
856 {"fsnegx",      two(0xF000, 0x005A), two(0xF1C0, 0xE07F), "IiFt",   m68040up },
857
858 {"fdnegb",      two(0xF000, 0x585E), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
859 {"fdnegd",      two(0xF000, 0x545E), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
860 {"fdnegl",      two(0xF000, 0x405E), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
861 {"fdnegp",      two(0xF000, 0x4C5E), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
862 {"fdnegs",      two(0xF000, 0x445E), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
863 {"fdnegw",      two(0xF000, 0x505E), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
864 {"fdnegx",      two(0xF000, 0x005E), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
865 {"fdnegx",      two(0xF000, 0x485E), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
866 {"fdnegx",      two(0xF000, 0x005E), two(0xF1C0, 0xE07F), "IiFt",   m68040up },
867
868 {"fnop",        two(0xF280, 0x0000), two(0xFFFF, 0xFFFF), "Ii", mfloat },
869
870 {"fremb",       two(0xF000, 0x5825), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
871 {"fremd",       two(0xF000, 0x5425), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
872 {"freml",       two(0xF000, 0x4025), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
873 {"fremp",       two(0xF000, 0x4C25), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
874 {"frems",       two(0xF000, 0x4425), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
875 {"fremw",       two(0xF000, 0x5025), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
876 {"fremx",       two(0xF000, 0x0025), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
877 {"fremx",       two(0xF000, 0x4825), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
878
879 {"frestore",    one(0xF140),            one(0xF1C0), "Id&s", mfloat },
880 {"frestore",    one(0xF158),            one(0xF1F8), "Id+s", mfloat },
881
882 {"fsave",       one(0xF100),            one(0xF1C0), "Id&s", mfloat },
883 {"fsave",       one(0xF120),            one(0xF1F8), "Id-s", mfloat },
884
885 {"fscaleb",     two(0xF000, 0x5826), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
886 {"fscaled",     two(0xF000, 0x5426), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
887 {"fscalel",     two(0xF000, 0x4026), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
888 {"fscalep",     two(0xF000, 0x4C26), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
889 {"fscales",     two(0xF000, 0x4426), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
890 {"fscalew",     two(0xF000, 0x5026), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
891 {"fscalex",     two(0xF000, 0x0026), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
892 {"fscalex",     two(0xF000, 0x4826), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
893
894 /* $ is necessary to prevent the assembler from using PC-relative.
895    If @ were used, "label: fseq label" could produce "ftrapeq",
896    because "label" became "pc@label".  */
897 {"fseq",        two(0xF040, 0x0001), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
898 {"fsf",         two(0xF040, 0x0000), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
899 {"fsge",        two(0xF040, 0x0013), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
900 {"fsgl",        two(0xF040, 0x0016), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
901 {"fsgle",       two(0xF040, 0x0017), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
902 {"fsgt",        two(0xF040, 0x0012), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
903 {"fsle",        two(0xF040, 0x0015), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
904 {"fslt",        two(0xF040, 0x0014), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
905 {"fsne",        two(0xF040, 0x000E), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
906 {"fsnge",       two(0xF040, 0x001C), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
907 {"fsngl",       two(0xF040, 0x0019), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
908 {"fsngle",      two(0xF040, 0x0018), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
909 {"fsngt",       two(0xF040, 0x001D), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
910 {"fsnle",       two(0xF040, 0x001A), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
911 {"fsnlt",       two(0xF040, 0x001B), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
912 {"fsoge",       two(0xF040, 0x0003), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
913 {"fsogl",       two(0xF040, 0x0006), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
914 {"fsogt",       two(0xF040, 0x0002), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
915 {"fsole",       two(0xF040, 0x0005), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
916 {"fsolt",       two(0xF040, 0x0004), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
917 {"fsor",        two(0xF040, 0x0007), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
918 {"fsseq",       two(0xF040, 0x0011), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
919 {"fssf",        two(0xF040, 0x0010), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
920 {"fssne",       two(0xF040, 0x001E), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
921 {"fsst",        two(0xF040, 0x001F), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
922 {"fst",         two(0xF040, 0x000F), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
923 {"fsueq",       two(0xF040, 0x0009), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
924 {"fsuge",       two(0xF040, 0x000B), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
925 {"fsugt",       two(0xF040, 0x000A), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
926 {"fsule",       two(0xF040, 0x000D), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
927 {"fsult",       two(0xF040, 0x000C), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
928 {"fsun",        two(0xF040, 0x0008), two(0xF1C0, 0xFFFF), "Ii$s", mfloat },
929
930 {"fsgldivb",    two(0xF000, 0x5824), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
931 {"fsgldivd",    two(0xF000, 0x5424), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
932 {"fsgldivl",    two(0xF000, 0x4024), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
933 {"fsgldivp",    two(0xF000, 0x4C24), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
934 {"fsgldivs",    two(0xF000, 0x4424), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
935 {"fsgldivw",    two(0xF000, 0x5024), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
936 {"fsgldivx",    two(0xF000, 0x0024), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
937 {"fsgldivx",    two(0xF000, 0x4824), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
938 {"fsgldivx",    two(0xF000, 0x0024), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
939
940 {"fsglmulb",    two(0xF000, 0x5827), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
941 {"fsglmuld",    two(0xF000, 0x5427), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
942 {"fsglmull",    two(0xF000, 0x4027), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
943 {"fsglmulp",    two(0xF000, 0x4C27), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
944 {"fsglmuls",    two(0xF000, 0x4427), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
945 {"fsglmulw",    two(0xF000, 0x5027), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
946 {"fsglmulx",    two(0xF000, 0x0027), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
947 {"fsglmulx",    two(0xF000, 0x4827), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
948 {"fsglmulx",    two(0xF000, 0x0027), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
949
950 {"fsinb",       two(0xF000, 0x580E), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
951 {"fsind",       two(0xF000, 0x540E), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
952 {"fsinl",       two(0xF000, 0x400E), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
953 {"fsinp",       two(0xF000, 0x4C0E), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
954 {"fsins",       two(0xF000, 0x440E), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
955 {"fsinw",       two(0xF000, 0x500E), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
956 {"fsinx",       two(0xF000, 0x000E), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
957 {"fsinx",       two(0xF000, 0x480E), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
958 {"fsinx",       two(0xF000, 0x000E), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
959
960 {"fsincosb",    two(0xF000, 0x5830), two(0xF1C0, 0xFC78), "Ii;bF3F7", mfloat },
961 {"fsincosd",    two(0xF000, 0x5430), two(0xF1C0, 0xFC78), "Ii;FF3F7", mfloat },
962 {"fsincosl",    two(0xF000, 0x4030), two(0xF1C0, 0xFC78), "Ii;lF3F7", mfloat },
963 {"fsincosp",    two(0xF000, 0x4C30), two(0xF1C0, 0xFC78), "Ii;pF3F7", mfloat },
964 {"fsincoss",    two(0xF000, 0x4430), two(0xF1C0, 0xFC78), "Ii;fF3F7", mfloat },
965 {"fsincosw",    two(0xF000, 0x5030), two(0xF1C0, 0xFC78), "Ii;wF3F7", mfloat },
966 {"fsincosx",    two(0xF000, 0x0030), two(0xF1C0, 0xE078), "IiF8F3F7", mfloat },
967 {"fsincosx",    two(0xF000, 0x4830), two(0xF1C0, 0xFC78), "Ii;xF3F7", mfloat },
968
969 {"fsinhb",      two(0xF000, 0x5802), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
970 {"fsinhd",      two(0xF000, 0x5402), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
971 {"fsinhl",      two(0xF000, 0x4002), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
972 {"fsinhp",      two(0xF000, 0x4C02), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
973 {"fsinhs",      two(0xF000, 0x4402), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
974 {"fsinhw",      two(0xF000, 0x5002), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
975 {"fsinhx",      two(0xF000, 0x0002), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
976 {"fsinhx",      two(0xF000, 0x4802), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
977 {"fsinhx",      two(0xF000, 0x0002), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
978
979 {"fsqrtb",      two(0xF000, 0x5804), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
980 {"fsqrtd",      two(0xF000, 0x5404), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
981 {"fsqrtl",      two(0xF000, 0x4004), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
982 {"fsqrtp",      two(0xF000, 0x4C04), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
983 {"fsqrts",      two(0xF000, 0x4404), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
984 {"fsqrtw",      two(0xF000, 0x5004), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
985 {"fsqrtx",      two(0xF000, 0x0004), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
986 {"fsqrtx",      two(0xF000, 0x4804), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
987 {"fsqrtx",      two(0xF000, 0x0004), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
988
989 {"fssqrtb",     two(0xF000, 0x5841), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
990 {"fssqrtd",     two(0xF000, 0x5441), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
991 {"fssqrtl",     two(0xF000, 0x4041), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
992 {"fssqrtp",     two(0xF000, 0x4C41), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
993 {"fssqrts",     two(0xF000, 0x4441), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
994 {"fssqrtw",     two(0xF000, 0x5041), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
995 {"fssqrtx",     two(0xF000, 0x0041), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
996 {"fssqrtx",     two(0xF000, 0x4841), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
997 {"fssqrtx",     two(0xF000, 0x0041), two(0xF1C0, 0xE07F), "IiFt",   m68040up },
998
999 {"fdsqrtb",     two(0xF000, 0x5845), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
1000 {"fdsqrtd",     two(0xF000, 0x5445), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
1001 {"fdsqrtl",     two(0xF000, 0x4045), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
1002 {"fdsqrtp",     two(0xF000, 0x4C45), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
1003 {"fdsqrts",     two(0xF000, 0x4445), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
1004 {"fdsqrtw",     two(0xF000, 0x5045), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
1005 {"fdsqrtx",     two(0xF000, 0x0045), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
1006 {"fdsqrtx",     two(0xF000, 0x4845), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
1007 {"fdsqrtx",     two(0xF000, 0x0045), two(0xF1C0, 0xE07F), "IiFt",   m68040up },
1008
1009 {"fsubb",       two(0xF000, 0x5828), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
1010 {"fsubd",       two(0xF000, 0x5428), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
1011 {"fsubl",       two(0xF000, 0x4028), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
1012 {"fsubp",       two(0xF000, 0x4C28), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
1013 {"fsubs",       two(0xF000, 0x4428), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
1014 {"fsubw",       two(0xF000, 0x5028), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
1015 {"fsubx",       two(0xF000, 0x0028), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
1016 {"fsubx",       two(0xF000, 0x4828), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
1017 {"fsubx",       two(0xF000, 0x0028), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
1018
1019 {"fssubb",      two(0xF000, 0x5868), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
1020 {"fssubd",      two(0xF000, 0x5468), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
1021 {"fssubl",      two(0xF000, 0x4068), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
1022 {"fssubp",      two(0xF000, 0x4C68), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
1023 {"fssubs",      two(0xF000, 0x4468), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
1024 {"fssubw",      two(0xF000, 0x5068), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
1025 {"fssubx",      two(0xF000, 0x0068), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
1026 {"fssubx",      two(0xF000, 0x4868), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
1027 {"fssubx",      two(0xF000, 0x0068), two(0xF1C0, 0xE07F), "IiFt",   m68040up },
1028
1029 {"fdsubb",      two(0xF000, 0x586c), two(0xF1C0, 0xFC7F), "Ii;bF7", m68040up },
1030 {"fdsubd",      two(0xF000, 0x546c), two(0xF1C0, 0xFC7F), "Ii;FF7", m68040up },
1031 {"fdsubl",      two(0xF000, 0x406c), two(0xF1C0, 0xFC7F), "Ii;lF7", m68040up },
1032 {"fdsubp",      two(0xF000, 0x4C6c), two(0xF1C0, 0xFC7F), "Ii;pF7", m68040up },
1033 {"fdsubs",      two(0xF000, 0x446c), two(0xF1C0, 0xFC7F), "Ii;fF7", m68040up },
1034 {"fdsubw",      two(0xF000, 0x506c), two(0xF1C0, 0xFC7F), "Ii;wF7", m68040up },
1035 {"fdsubx",      two(0xF000, 0x006c), two(0xF1C0, 0xE07F), "IiF8F7", m68040up },
1036 {"fdsubx",      two(0xF000, 0x486c), two(0xF1C0, 0xFC7F), "Ii;xF7", m68040up },
1037 {"fdsubx",      two(0xF000, 0x006c), two(0xF1C0, 0xE07F), "IiFt",   m68040up },
1038
1039 {"ftanb",       two(0xF000, 0x580F), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
1040 {"ftand",       two(0xF000, 0x540F), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
1041 {"ftanl",       two(0xF000, 0x400F), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
1042 {"ftanp",       two(0xF000, 0x4C0F), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
1043 {"ftans",       two(0xF000, 0x440F), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
1044 {"ftanw",       two(0xF000, 0x500F), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
1045 {"ftanx",       two(0xF000, 0x000F), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
1046 {"ftanx",       two(0xF000, 0x480F), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
1047 {"ftanx",       two(0xF000, 0x000F), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
1048
1049 {"ftanhb",      two(0xF000, 0x5809), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
1050 {"ftanhd",      two(0xF000, 0x5409), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
1051 {"ftanhl",      two(0xF000, 0x4009), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
1052 {"ftanhp",      two(0xF000, 0x4C09), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
1053 {"ftanhs",      two(0xF000, 0x4409), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
1054 {"ftanhw",      two(0xF000, 0x5009), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
1055 {"ftanhx",      two(0xF000, 0x0009), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
1056 {"ftanhx",      two(0xF000, 0x4809), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
1057 {"ftanhx",      two(0xF000, 0x0009), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
1058
1059 {"ftentoxb",    two(0xF000, 0x5812), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
1060 {"ftentoxd",    two(0xF000, 0x5412), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
1061 {"ftentoxl",    two(0xF000, 0x4012), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
1062 {"ftentoxp",    two(0xF000, 0x4C12), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
1063 {"ftentoxs",    two(0xF000, 0x4412), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
1064 {"ftentoxw",    two(0xF000, 0x5012), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
1065 {"ftentoxx",    two(0xF000, 0x0012), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
1066 {"ftentoxx",    two(0xF000, 0x4812), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
1067 {"ftentoxx",    two(0xF000, 0x0012), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
1068
1069 {"ftrapeq",     two(0xF07C, 0x0001), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1070 {"ftrapf",      two(0xF07C, 0x0000), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1071 {"ftrapge",     two(0xF07C, 0x0013), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1072 {"ftrapgl",     two(0xF07C, 0x0016), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1073 {"ftrapgle",    two(0xF07C, 0x0017), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1074 {"ftrapgt",     two(0xF07C, 0x0012), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1075 {"ftraple",     two(0xF07C, 0x0015), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1076 {"ftraplt",     two(0xF07C, 0x0014), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1077 {"ftrapne",     two(0xF07C, 0x000E), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1078 {"ftrapnge",    two(0xF07C, 0x001C), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1079 {"ftrapngl",    two(0xF07C, 0x0019), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1080 {"ftrapngle",   two(0xF07C, 0x0018), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1081 {"ftrapngt",    two(0xF07C, 0x001D), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1082 {"ftrapnle",    two(0xF07C, 0x001A), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1083 {"ftrapnlt",    two(0xF07C, 0x001B), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1084 {"ftrapoge",    two(0xF07C, 0x0003), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1085 {"ftrapogl",    two(0xF07C, 0x0006), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1086 {"ftrapogt",    two(0xF07C, 0x0002), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1087 {"ftrapole",    two(0xF07C, 0x0005), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1088 {"ftrapolt",    two(0xF07C, 0x0004), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1089 {"ftrapor",     two(0xF07C, 0x0007), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1090 {"ftrapseq",    two(0xF07C, 0x0011), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1091 {"ftrapsf",     two(0xF07C, 0x0010), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1092 {"ftrapsne",    two(0xF07C, 0x001E), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1093 {"ftrapst",     two(0xF07C, 0x001F), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1094 {"ftrapt",      two(0xF07C, 0x000F), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1095 {"ftrapueq",    two(0xF07C, 0x0009), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1096 {"ftrapuge",    two(0xF07C, 0x000B), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1097 {"ftrapugt",    two(0xF07C, 0x000A), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1098 {"ftrapule",    two(0xF07C, 0x000D), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1099 {"ftrapult",    two(0xF07C, 0x000C), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1100 {"ftrapun",     two(0xF07C, 0x0008), two(0xF1FF, 0xFFFF), "Ii", mfloat },
1101         
1102 {"ftrapeqw",    two(0xF07A, 0x0001), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1103 {"ftrapfw",     two(0xF07A, 0x0000), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1104 {"ftrapgew",    two(0xF07A, 0x0013), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1105 {"ftrapglw",    two(0xF07A, 0x0016), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1106 {"ftrapglew",   two(0xF07A, 0x0017), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1107 {"ftrapgtw",    two(0xF07A, 0x0012), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1108 {"ftraplew",    two(0xF07A, 0x0015), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1109 {"ftrapltw",    two(0xF07A, 0x0014), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1110 {"ftrapnew",    two(0xF07A, 0x000E), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1111 {"ftrapngew",   two(0xF07A, 0x001C), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1112 {"ftrapnglw",   two(0xF07A, 0x0019), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1113 {"ftrapnglew",  two(0xF07A, 0x0018), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1114 {"ftrapngtw",   two(0xF07A, 0x001D), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1115 {"ftrapnlew",   two(0xF07A, 0x001A), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1116 {"ftrapnltw",   two(0xF07A, 0x001B), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1117 {"ftrapogew",   two(0xF07A, 0x0003), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1118 {"ftrapoglw",   two(0xF07A, 0x0006), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1119 {"ftrapogtw",   two(0xF07A, 0x0002), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1120 {"ftrapolew",   two(0xF07A, 0x0005), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1121 {"ftrapoltw",   two(0xF07A, 0x0004), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1122 {"ftraporw",    two(0xF07A, 0x0007), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1123 {"ftrapseqw",   two(0xF07A, 0x0011), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1124 {"ftrapsfw",    two(0xF07A, 0x0010), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1125 {"ftrapsnew",   two(0xF07A, 0x001E), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1126 {"ftrapstw",    two(0xF07A, 0x001F), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1127 {"ftraptw",     two(0xF07A, 0x000F), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1128 {"ftrapueqw",   two(0xF07A, 0x0009), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1129 {"ftrapugew",   two(0xF07A, 0x000B), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1130 {"ftrapugtw",   two(0xF07A, 0x000A), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1131 {"ftrapulew",   two(0xF07A, 0x000D), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1132 {"ftrapultw",   two(0xF07A, 0x000C), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1133 {"ftrapunw",    two(0xF07A, 0x0008), two(0xF1FF, 0xFFFF), "Ii^w", mfloat },
1134
1135 {"ftrapeql",    two(0xF07B, 0x0001), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1136 {"ftrapfl",     two(0xF07B, 0x0000), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1137 {"ftrapgel",    two(0xF07B, 0x0013), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1138 {"ftrapgll",    two(0xF07B, 0x0016), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1139 {"ftrapglel",   two(0xF07B, 0x0017), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1140 {"ftrapgtl",    two(0xF07B, 0x0012), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1141 {"ftraplel",    two(0xF07B, 0x0015), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1142 {"ftrapltl",    two(0xF07B, 0x0014), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1143 {"ftrapnel",    two(0xF07B, 0x000E), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1144 {"ftrapngel",   two(0xF07B, 0x001C), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1145 {"ftrapngll",   two(0xF07B, 0x0019), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1146 {"ftrapnglel",  two(0xF07B, 0x0018), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1147 {"ftrapngtl",   two(0xF07B, 0x001D), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1148 {"ftrapnlel",   two(0xF07B, 0x001A), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1149 {"ftrapnltl",   two(0xF07B, 0x001B), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1150 {"ftrapogel",   two(0xF07B, 0x0003), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1151 {"ftrapogll",   two(0xF07B, 0x0006), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1152 {"ftrapogtl",   two(0xF07B, 0x0002), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1153 {"ftrapolel",   two(0xF07B, 0x0005), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1154 {"ftrapoltl",   two(0xF07B, 0x0004), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1155 {"ftraporl",    two(0xF07B, 0x0007), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1156 {"ftrapseql",   two(0xF07B, 0x0011), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1157 {"ftrapsfl",    two(0xF07B, 0x0010), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1158 {"ftrapsnel",   two(0xF07B, 0x001E), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1159 {"ftrapstl",    two(0xF07B, 0x001F), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1160 {"ftraptl",     two(0xF07B, 0x000F), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1161 {"ftrapueql",   two(0xF07B, 0x0009), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1162 {"ftrapugel",   two(0xF07B, 0x000B), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1163 {"ftrapugtl",   two(0xF07B, 0x000A), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1164 {"ftrapulel",   two(0xF07B, 0x000D), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1165 {"ftrapultl",   two(0xF07B, 0x000C), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1166 {"ftrapunl",    two(0xF07B, 0x0008), two(0xF1FF, 0xFFFF), "Ii^l", mfloat },
1167
1168 {"ftstb",       two(0xF000, 0x583A), two(0xF1C0, 0xFC7F), "Ii;b", mfloat },
1169 {"ftstd",       two(0xF000, 0x543A), two(0xF1C0, 0xFC7F), "Ii;F", mfloat },
1170 {"ftstl",       two(0xF000, 0x403A), two(0xF1C0, 0xFC7F), "Ii;l", mfloat },
1171 {"ftstp",       two(0xF000, 0x4C3A), two(0xF1C0, 0xFC7F), "Ii;p", mfloat },
1172 {"ftsts",       two(0xF000, 0x443A), two(0xF1C0, 0xFC7F), "Ii;f", mfloat },
1173 {"ftstw",       two(0xF000, 0x503A), two(0xF1C0, 0xFC7F), "Ii;w", mfloat },
1174 {"ftstx",       two(0xF000, 0x003A), two(0xF1C0, 0xE07F), "IiF8", mfloat },
1175 {"ftstx",       two(0xF000, 0x483A), two(0xF1C0, 0xFC7F), "Ii;x", mfloat },
1176
1177 {"ftwotoxb",    two(0xF000, 0x5811), two(0xF1C0, 0xFC7F), "Ii;bF7", mfloat },
1178 {"ftwotoxd",    two(0xF000, 0x5411), two(0xF1C0, 0xFC7F), "Ii;FF7", mfloat },
1179 {"ftwotoxl",    two(0xF000, 0x4011), two(0xF1C0, 0xFC7F), "Ii;lF7", mfloat },
1180 {"ftwotoxp",    two(0xF000, 0x4C11), two(0xF1C0, 0xFC7F), "Ii;pF7", mfloat },
1181 {"ftwotoxs",    two(0xF000, 0x4411), two(0xF1C0, 0xFC7F), "Ii;fF7", mfloat },
1182 {"ftwotoxw",    two(0xF000, 0x5011), two(0xF1C0, 0xFC7F), "Ii;wF7", mfloat },
1183 {"ftwotoxx",    two(0xF000, 0x0011), two(0xF1C0, 0xE07F), "IiF8F7", mfloat },
1184 {"ftwotoxx",    two(0xF000, 0x4811), two(0xF1C0, 0xFC7F), "Ii;xF7", mfloat },
1185 {"ftwotoxx",    two(0xF000, 0x0011), two(0xF1C0, 0xE07F), "IiFt",   mfloat },
1186
1187 {"illegal",     one(0045374),           one(0177777), "",     m68000up },
1188
1189 {"jmp",         one(0047300),           one(0177700), "!s",   m68000up },
1190
1191 {"jra",         one(0060000),           one(0177400), "Bg", m68000up },
1192 {"jra",         one(0047300),           one(0177700), "!s", m68000up },
1193
1194 {"jsr",         one(0047200),           one(0177700), "!s",   m68000up },
1195
1196 {"jbsr",        one(0060400),           one(0177400), "Bg", m68000up },
1197 {"jbsr",        one(0047200),           one(0177700), "!s", m68000up },
1198
1199 {"lea",         one(0040700),           one(0170700), "!sAd", m68000up },
1200
1201 {"lpstop",      two(0174000,0000700), two(0177777,0177777), "", cpu32|m68060 },
1202
1203 {"linkw",       one(0047120),   one(0177770), "As#w", m68000up },
1204 {"linkl",       one(0044010),   one(0177770), "As#l", m68020up | cpu32 },
1205 {"link",        one(0047120),   one(0177770), "As#w", m68000up },
1206 {"link",        one(0044010),   one(0177770), "As#l", m68020up | cpu32 },
1207
1208 {"lslb",        one(0160410),           one(0170770), "QdDs", m68000up },
1209 {"lslb",        one(0160450),           one(0170770), "DdDs", m68000up },
1210 {"lslw",        one(0160510),           one(0170770), "QdDs", m68000up },
1211 {"lslw",        one(0160550),           one(0170770), "DdDs", m68000up },
1212 {"lslw",        one(0161700),           one(0177700), "~s",   m68000up },
1213 {"lsll",        one(0160610),           one(0170770), "QdDs", m68000up },
1214 {"lsll",        one(0160650),           one(0170770), "DdDs", m68000up },
1215
1216 {"lsrb",        one(0160010),           one(0170770), "QdDs", m68000up },
1217 {"lsrb",        one(0160050),           one(0170770), "DdDs", m68000up },
1218 {"lsrw",        one(0160110),           one(0170770), "QdDs", m68000up },
1219 {"lsrw",        one(0160150),           one(0170770), "DdDs", m68000up },
1220 {"lsrw",        one(0161300),           one(0177700), "~s",   m68000up },
1221 {"lsrl",        one(0160210),           one(0170770), "QdDs", m68000up },
1222 {"lsrl",        one(0160250),           one(0170770), "DdDs", m68000up },
1223
1224 {"moveal",      one(0020100),           one(0170700), "*lAd", m68000up },
1225 {"moveaw",      one(0030100),           one(0170700), "*wAd", m68000up },
1226
1227 {"movec",       one(0047173),           one(0177777), "R1Jj", m68010up },
1228 {"movec",       one(0047173),           one(0177777), "R1#j", m68010up },
1229 {"movec",       one(0047172),           one(0177777), "JjR1", m68010up },
1230 {"movec",       one(0047172),           one(0177777), "#jR1", m68010up },
1231
1232 {"movemw",      one(0044200),           one(0177700), "Lw&s", m68000up },
1233 {"movemw",      one(0044240),           one(0177770), "lw-s", m68000up },
1234 {"movemw",      one(0046200),           one(0177700), "!sLw", m68000up },
1235 {"movemw",      one(0046230),           one(0177770), "+sLw", m68000up },
1236 {"movemw",      one(0044200),           one(0177700), "#w&s", m68000up },
1237 {"movemw",      one(0044240),           one(0177770), "#w-s", m68000up },
1238 {"movemw",      one(0046200),           one(0177700), "!s#w", m68000up },
1239 {"movemw",      one(0046230),           one(0177770), "+s#w", m68000up },
1240 {"moveml",      one(0044300),           one(0177700), "Lw&s", m68000up },
1241 {"moveml",      one(0044340),           one(0177770), "lw-s", m68000up },
1242 {"moveml",      one(0046300),           one(0177700), "!sLw", m68000up },
1243 {"moveml",      one(0046330),           one(0177770), "+sLw", m68000up },
1244 {"moveml",      one(0044300),           one(0177700), "#w&s", m68000up },
1245 {"moveml",      one(0044340),           one(0177770), "#w-s", m68000up },
1246 {"moveml",      one(0046300),           one(0177700), "!s#w", m68000up },
1247 {"moveml",      one(0046330),           one(0177770), "+s#w", m68000up },
1248
1249 {"movepw",      one(0000410),           one(0170770), "dsDd", m68000up },
1250 {"movepw",      one(0000610),           one(0170770), "Ddds", m68000up },
1251 {"movepl",      one(0000510),           one(0170770), "dsDd", m68000up },
1252 {"movepl",      one(0000710),           one(0170770), "Ddds", m68000up },
1253
1254 {"moveq",       one(0070000),           one(0170400), "MsDd", m68000up },
1255
1256 /* The move opcode can generate the movea and moveq instructions.  */
1257 {"moveb",       one(0010000),           one(0170000), ";b$d", m68000up },
1258 {"movew",       one(0030000),           one(0170000), "*w$d", m68000up },
1259 {"movew",       one(0030100),           one(0170700), "*wAd", m68000up },
1260 {"movew",       one(0040300),           one(0177700), "Ss$s", m68000up },
1261 {"movew",       one(0041300),           one(0177700), "Cs$s", m68010up },
1262 {"movew",       one(0042300),           one(0177700), ";wCd", m68000up },
1263 {"movew",       one(0043300),           one(0177700), ";wSd", m68000up },
1264 {"movel",       one(0070000),           one(0170400), "MsDd", m68000up },
1265 {"movel",       one(0020000),           one(0170000), "*l$d", m68000up },
1266 {"movel",       one(0020100),           one(0170700), "*lAd", m68000up },
1267 {"movel",       one(0047140),           one(0177770), "AsUd", m68000up },
1268 {"movel",       one(0047150),           one(0177770), "UdAs", m68000up },
1269 {"move",        one(0030000),           one(0170000), "*w$d", m68000up },
1270 {"move",        one(0030100),           one(0170700), "*wAd", m68000up },
1271 {"move",        one(0040300),           one(0177700), "Ss$s", m68000up },
1272 {"move",        one(0041300),           one(0177700), "Cs$s", m68010up },
1273 {"move",        one(0042300),           one(0177700), ";wCd", m68000up },
1274 {"move",        one(0043300),           one(0177700), ";wSd", m68000up },
1275 {"move",        one(0047140),           one(0177770), "AsUd", m68000up },
1276 {"move",        one(0047150),           one(0177770), "UdAs", m68000up },
1277
1278 {"movesb",      two(0007000, 0),     two(0177700, 07777), "~sR1", m68010up },
1279 {"movesb",      two(0007000, 04000), two(0177700, 07777), "R1~s", m68010up },
1280 {"movesw",      two(0007100, 0),     two(0177700, 07777), "~sR1", m68010up },
1281 {"movesw",      two(0007100, 04000), two(0177700, 07777), "R1~s", m68010up },
1282 {"movesl",      two(0007200, 0),     two(0177700, 07777), "~sR1", m68010up },
1283 {"movesl",      two(0007200, 04000), two(0177700, 07777), "R1~s", m68010up },
1284
1285 {"move16",      two(0xf620, 0x8000), two(0xfff8, 0x8fff), "+s+1", m68040up },
1286 {"move16",      one(0xf600),            one(0xfff8), "+s_L", m68040up },
1287 {"move16",      one(0xf608),            one(0xfff8), "_L+s", m68040up },
1288 {"move16",      one(0xf610),            one(0xfff8), "as_L", m68040up },
1289 {"move16",      one(0xf618),            one(0xfff8), "_Las", m68040up },
1290
1291 {"mulsw",       one(0140700),           one(0170700), ";wDd", m68000up },
1292 {"mulsl", two(0046000,004000), two(0177700,0107770), ";lD1", m68020up|cpu32 },
1293 {"mulsl", two(0046000,006000), two(0177700,0107770), ";lD3D1",m68020up|cpu32 },
1294
1295 {"muluw",       one(0140300),           one(0170700), ";wDd", m68000up },
1296 {"mulul", two(0046000,000000), two(0177700,0107770), ";lD1", m68020up|cpu32 },
1297 {"mulul", two(0046000,002000), two(0177700,0107770), ";lD3D1",m68020up|cpu32 },
1298
1299 {"nbcd",        one(0044000),           one(0177700), "$s", m68000up },
1300
1301 {"negb",        one(0042000),           one(0177700), "$s", m68000up },
1302 {"negw",        one(0042100),           one(0177700), "$s", m68000up },
1303 {"negl",        one(0042200),           one(0177700), "$s", m68000up },
1304
1305 {"negxb",       one(0040000),           one(0177700), "$s", m68000up },
1306 {"negxw",       one(0040100),           one(0177700), "$s", m68000up },
1307 {"negxl",       one(0040200),           one(0177700), "$s", m68000up },
1308
1309 {"nop",         one(0047161),           one(0177777), "", m68000up },
1310
1311 {"notb",        one(0043000),           one(0177700), "$s", m68000up },
1312 {"notw",        one(0043100),           one(0177700), "$s", m68000up },
1313 {"notl",        one(0043200),           one(0177700), "$s", m68000up },
1314
1315 {"orib",        one(0000000),           one(0177700), "#b$s", m68000up },
1316 {"orib",        one(0000074),           one(0177777), "#bCs", m68000up },
1317 {"oriw",        one(0000100),           one(0177700), "#w$s", m68000up },
1318 {"oriw",        one(0000174),           one(0177777), "#wSs", m68000up },
1319 {"oril",        one(0000200),           one(0177700), "#l$s", m68000up },
1320 {"ori",         one(0000074),           one(0177777), "#bCs", m68000up },
1321 {"ori",         one(0000100),           one(0177700), "#w$s", m68000up },
1322 {"ori",         one(0000174),           one(0177777), "#wSs", m68000up },
1323
1324 /* The or opcode can generate the ori instruction.  */
1325 {"orb",         one(0000000),           one(0177700), "#b$s", m68000up },
1326 {"orb",         one(0000074),           one(0177777), "#bCs", m68000up },
1327 {"orb",         one(0100000),           one(0170700), ";bDd", m68000up },
1328 {"orb",         one(0100400),           one(0170700), "Dd~s", m68000up },
1329 {"orw",         one(0000100),           one(0177700), "#w$s", m68000up },
1330 {"orw",         one(0000174),           one(0177777), "#wSs", m68000up },
1331 {"orw",         one(0100100),           one(0170700), ";wDd", m68000up },
1332 {"orw",         one(0100500),           one(0170700), "Dd~s", m68000up },
1333 {"orl",         one(0000200),           one(0177700), "#l$s", m68000up },
1334 {"orl",         one(0100200),           one(0170700), ";lDd", m68000up },
1335 {"orl",         one(0100600),           one(0170700), "Dd~s", m68000up },
1336 {"or",          one(0000074),           one(0177777), "#bCs", m68000up },
1337 {"or",          one(0000100),           one(0177700), "#w$s", m68000up },
1338 {"or",          one(0000174),           one(0177777), "#wSs", m68000up },
1339 {"or",          one(0100100),           one(0170700), ";wDd", m68000up },
1340 {"or",          one(0100500),           one(0170700), "Dd~s", m68000up },
1341
1342 {"pack",        one(0100500),           one(0170770), "DsDd#w", m68020up },
1343 {"pack",        one(0100510),           one(0170770), "-s-d#w", m68020up },
1344
1345 {"pbac",        one(0xf087),            one(0xffbf), "Bc", m68851 },
1346 {"pbacw",       one(0xf087),            one(0xffff), "BW", m68851 },
1347 {"pbas",        one(0xf086),            one(0xffbf), "Bc", m68851 },
1348 {"pbasw",       one(0xf086),            one(0xffff), "BW", m68851 },
1349 {"pbbc",        one(0xf081),            one(0xffbf), "Bc", m68851 },
1350 {"pbbcw",       one(0xf081),            one(0xffff), "BW", m68851 },
1351 {"pbbs",        one(0xf080),            one(0xffbf), "Bc", m68851 },
1352 {"pbbsw",       one(0xf080),            one(0xffff), "BW", m68851 },
1353 {"pbcc",        one(0xf08f),            one(0xffbf), "Bc", m68851 },
1354 {"pbccw",       one(0xf08f),            one(0xffff), "BW", m68851 },
1355 {"pbcs",        one(0xf08e),            one(0xffbf), "Bc", m68851 },
1356 {"pbcsw",       one(0xf08e),            one(0xffff), "BW", m68851 },
1357 {"pbgc",        one(0xf08d),            one(0xffbf), "Bc", m68851 },
1358 {"pbgcw",       one(0xf08d),            one(0xffff), "BW", m68851 },
1359 {"pbgs",        one(0xf08c),            one(0xffbf), "Bc", m68851 },
1360 {"pbgsw",       one(0xf08c),            one(0xffff), "BW", m68851 },
1361 {"pbic",        one(0xf08b),            one(0xffbf), "Bc", m68851 },
1362 {"pbicw",       one(0xf08b),            one(0xffff), "BW", m68851 },
1363 {"pbis",        one(0xf08a),            one(0xffbf), "Bc", m68851 },
1364 {"pbisw",       one(0xf08a),            one(0xffff), "BW", m68851 },
1365 {"pblc",        one(0xf083),            one(0xffbf), "Bc", m68851 },
1366 {"pblcw",       one(0xf083),            one(0xffff), "BW", m68851 },
1367 {"pbls",        one(0xf082),            one(0xffbf), "Bc", m68851 },
1368 {"pblsw",       one(0xf082),            one(0xffff), "BW", m68851 },
1369 {"pbsc",        one(0xf085),            one(0xffbf), "Bc", m68851 },
1370 {"pbscw",       one(0xf085),            one(0xffff), "BW", m68851 },
1371 {"pbss",        one(0xf084),            one(0xffbf), "Bc", m68851 },
1372 {"pbssw",       one(0xf084),            one(0xffff), "BW", m68851 },
1373 {"pbwc",        one(0xf089),            one(0xffbf), "Bc", m68851 },
1374 {"pbwcw",       one(0xf089),            one(0xffff), "BW", m68851 },
1375 {"pbws",        one(0xf088),            one(0xffbf), "Bc", m68851 },
1376 {"pbwsw",       one(0xf088),            one(0xffff), "BW", m68851 },
1377
1378 {"pdbac",       two(0xf048, 0x0007),    two(0xfff8, 0xffff), "DsBw", m68851 },
1379 {"pdbas",       two(0xf048, 0x0006),    two(0xfff8, 0xffff), "DsBw", m68851 },
1380 {"pdbbc",       two(0xf048, 0x0001),    two(0xfff8, 0xffff), "DsBw", m68851 },
1381 {"pdbbs",       two(0xf048, 0x0000),    two(0xfff8, 0xffff), "DsBw", m68851 },
1382 {"pdbcc",       two(0xf048, 0x000f),    two(0xfff8, 0xffff), "DsBw", m68851 },
1383 {"pdbcs",       two(0xf048, 0x000e),    two(0xfff8, 0xffff), "DsBw", m68851 },
1384 {"pdbgc",       two(0xf048, 0x000d),    two(0xfff8, 0xffff), "DsBw", m68851 },
1385 {"pdbgs",       two(0xf048, 0x000c),    two(0xfff8, 0xffff), "DsBw", m68851 },
1386 {"pdbic",       two(0xf048, 0x000b),    two(0xfff8, 0xffff), "DsBw", m68851 },
1387 {"pdbis",       two(0xf048, 0x000a),    two(0xfff8, 0xffff), "DsBw", m68851 },
1388 {"pdblc",       two(0xf048, 0x0003),    two(0xfff8, 0xffff), "DsBw", m68851 },
1389 {"pdbls",       two(0xf048, 0x0002),    two(0xfff8, 0xffff), "DsBw", m68851 },
1390 {"pdbsc",       two(0xf048, 0x0005),    two(0xfff8, 0xffff), "DsBw", m68851 },
1391 {"pdbss",       two(0xf048, 0x0004),    two(0xfff8, 0xffff), "DsBw", m68851 },
1392 {"pdbwc",       two(0xf048, 0x0009),    two(0xfff8, 0xffff), "DsBw", m68851 },
1393 {"pdbws",       two(0xf048, 0x0008),    two(0xfff8, 0xffff), "DsBw", m68851 },
1394
1395 {"pea",         one(0044100),           one(0177700), "!s", m68000up },
1396
1397 {"pflusha",     two(0xf000,0x2400), two(0xffff,0xffff), "", m68030 | m68851 },
1398 {"pflusha",     one(0xf518),            one(0xfff8), "", m68040up },
1399
1400 {"pflush",   two(0xf000,0x3010), two(0xffc0,0xfe10), "T3T9", m68030|m68851 },
1401 {"pflush",   two(0xf000,0x3810), two(0xffc0,0xfe10), "T3T9&s", m68030|m68851 },
1402 {"pflush",   two(0xf000,0x3008), two(0xffc0,0xfe18), "D3T9", m68030|m68851 },
1403 {"pflush",   two(0xf000,0x3808), two(0xffc0,0xfe18), "D3T9&s", m68030|m68851 },
1404 {"pflush",   two(0xf000,0x3000), two(0xffc0,0xfe1e), "f3T9", m68030|m68851 },
1405 {"pflush",   two(0xf000,0x3800), two(0xffc0,0xfe1e), "f3T9&s", m68030|m68851 },
1406 {"pflush",      one(0xf508),            one(0xfff8), "as", m68040up },
1407 {"pflush",      one(0xf508),            one(0xfff8), "As", m68040up },
1408
1409 {"pflushan",    one(0xf510),            one(0xfff8), "", m68040up },
1410 {"pflushn",     one(0xf500),            one(0xfff8), "as", m68040up },
1411 {"pflushn",     one(0xf500),            one(0xfff8), "As", m68040up },
1412
1413 {"pflushr",     two(0xf000, 0xa000), two(0xffc0, 0xffff), "|s", m68851 },
1414
1415 {"pflushs",     two(0xf000, 0x3410), two(0xfff8, 0xfe10), "T3T9", m68851 },
1416 {"pflushs",     two(0xf000, 0x3c10), two(0xfff8, 0xfe10), "T3T9&s", m68851 },
1417 {"pflushs",     two(0xf000, 0x3408), two(0xfff8, 0xfe18), "D3T9", m68851 },
1418 {"pflushs",     two(0xf000, 0x3c08), two(0xfff8, 0xfe18), "D3T9&s", m68851 },
1419 {"pflushs",     two(0xf000, 0x3400), two(0xfff8, 0xfe1e), "f3T9", m68851 },
1420 {"pflushs",     two(0xf000, 0x3c00), two(0xfff8, 0xfe1e), "f3T9&s", m68851 },
1421
1422 {"ploadr",     two(0xf000,0x2210), two(0xffc0,0xfff0), "T3&s", m68030|m68851 },
1423 {"ploadr",     two(0xf000,0x2208), two(0xffc0,0xfff8), "D3&s", m68030|m68851 },
1424 {"ploadr",     two(0xf000,0x2200), two(0xffc0,0xfffe), "f3&s", m68030|m68851 },
1425 {"ploadw",     two(0xf000,0x2010), two(0xffc0,0xfff0), "T3&s", m68030|m68851 },
1426 {"ploadw",     two(0xf000,0x2008), two(0xffc0,0xfff8), "D3&s", m68030|m68851 },
1427 {"ploadw",     two(0xf000,0x2000), two(0xffc0,0xfffe), "f3&s", m68030|m68851 },
1428
1429 {"plpar",       one(0xf5c8),            one(0xfff8), "as", m68060 },
1430 {"plpaw",       one(0xf588),            one(0xfff8), "as", m68060 },
1431
1432 {"pmove",      two(0xf000,0x4000), two(0xffc0,0xe3ff), "*sP8", m68030|m68851 },
1433 {"pmove",      two(0xf000,0x4200), two(0xffc0,0xe3ff), "P8%s", m68030|m68851 },
1434 {"pmove",      two(0xf000,0x4000), two(0xffc0,0xe3ff), "|sW8", m68030|m68851 },
1435 {"pmove",      two(0xf000,0x4200), two(0xffc0,0xe3ff), "W8~s", m68030|m68851 },
1436 {"pmove",      two(0xf000,0x6200), two(0xffc0,0xe3e3), "*sX3", m68851 },
1437 {"pmove",      two(0xf000,0x6000), two(0xffc0,0xe3e3), "X3%s", m68851 },
1438 {"pmove",      two(0xf000,0x6000), two(0xffc0,0xffff), "*sY8", m68030|m68851 },
1439 {"pmove",      two(0xf000,0x6200), two(0xffc0,0xffff), "Y8%s", m68030|m68851 },
1440 {"pmove",      two(0xf000,0x6600), two(0xffc0,0xffff), "Z8%s", m68851 },
1441 {"pmove",      two(0xf000,0x0800), two(0xffc0,0xfbff), "*s38", m68030 },
1442 {"pmove",      two(0xf000,0x0a00), two(0xffc0,0xfbff), "38%s", m68030 },
1443
1444 {"pmovefd",     two(0xf000, 0x4300),    two(0xffc0, 0xe3ff), "P8%s", m68030 },
1445 {"pmovefd",     two(0xf000, 0x4300),    two(0xffc0, 0xe3ff), "W8~s", m68030 },
1446 {"pmovefd",     two(0xf000, 0x0900),    two(0xffc0, 0xfbff), "*s38", m68030 },
1447 {"pmovefd",     two(0xf000, 0x0b00),    two(0xffc0, 0xfbff), "38%s", m68030 },
1448
1449 {"prestore",    one(0xf140),            one(0xffc0), "&s", m68851 },
1450 {"prestore",    one(0xf158),            one(0xfff8), "+s", m68851 },
1451
1452 {"psave",       one(0xf100),            one(0xffc0), "&s", m68851 },
1453 {"psave",       one(0xf120),            one(0xfff8), "-s", m68851 },
1454
1455 {"psac",        two(0xf040, 0x0007),    two(0xffc0, 0xffff), "$s", m68851 },
1456 {"psas",        two(0xf040, 0x0006),    two(0xffc0, 0xffff), "$s", m68851 },
1457 {"psbc",        two(0xf040, 0x0001),    two(0xffc0, 0xffff), "$s", m68851 },
1458 {"psbs",        two(0xf040, 0x0000),    two(0xffc0, 0xffff), "$s", m68851 },
1459 {"pscc",        two(0xf040, 0x000f),    two(0xffc0, 0xffff), "$s", m68851 },
1460 {"pscs",        two(0xf040, 0x000e),    two(0xffc0, 0xffff), "$s", m68851 },
1461 {"psgc",        two(0xf040, 0x000d),    two(0xffc0, 0xffff), "$s", m68851 },
1462 {"psgs",        two(0xf040, 0x000c),    two(0xffc0, 0xffff), "$s", m68851 },
1463 {"psic",        two(0xf040, 0x000b),    two(0xffc0, 0xffff), "$s", m68851 },
1464 {"psis",        two(0xf040, 0x000a),    two(0xffc0, 0xffff), "$s", m68851 },
1465 {"pslc",        two(0xf040, 0x0003),    two(0xffc0, 0xffff), "$s", m68851 },
1466 {"psls",        two(0xf040, 0x0002),    two(0xffc0, 0xffff), "$s", m68851 },
1467 {"pssc",        two(0xf040, 0x0005),    two(0xffc0, 0xffff), "$s", m68851 },
1468 {"psss",        two(0xf040, 0x0004),    two(0xffc0, 0xffff), "$s", m68851 },
1469 {"pswc",        two(0xf040, 0x0009),    two(0xffc0, 0xffff), "$s", m68851 },
1470 {"psws",        two(0xf040, 0x0008),    two(0xffc0, 0xffff), "$s", m68851 },
1471
1472 {"ptestr", two(0xf000,0x8210), two(0xffc0, 0xe3f0), "T3&st8", m68030|m68851 },
1473 {"ptestr", two(0xf000,0x8310), two(0xffc0,0xe310), "T3&st8A9", m68030|m68851 },
1474 {"ptestr", two(0xf000,0x8208), two(0xffc0,0xe3f8), "D3&st8", m68030|m68851 },
1475 {"ptestr", two(0xf000,0x8308), two(0xffc0,0xe318), "D3&st8A9", m68030|m68851 },
1476 {"ptestr", two(0xf000,0x8200), two(0xffc0,0xe3fe), "f3&st8", m68030|m68851 },
1477 {"ptestr", two(0xf000,0x8300), two(0xffc0,0xe31e), "f3&st8A9", m68030|m68851 },
1478 {"ptestr",      one(0xf568),            one(0xfff8), "as", m68040 },
1479
1480 {"ptestw", two(0xf000,0x8010), two(0xffc0,0xe3f0), "T3&st8", m68030|m68851 },
1481 {"ptestw", two(0xf000,0x8110), two(0xffc0,0xe310), "T3&st8A9", m68030|m68851 },
1482 {"ptestw", two(0xf000,0x8008), two(0xffc0,0xe3f8), "D3&st8", m68030|m68851 },
1483 {"ptestw", two(0xf000,0x8108), two(0xffc0,0xe318), "D3&st8A9", m68030|m68851 },
1484 {"ptestw", two(0xf000,0x8000), two(0xffc0,0xe3fe), "f3&st8", m68030|m68851 },
1485 {"ptestw", two(0xf000,0x8100), two(0xffc0,0xe31e), "f3&st8A9", m68030|m68851 },
1486 {"ptestw",      one(0xf548),            one(0xfff8), "as", m68040 },
1487
1488 {"ptrapacw",    two(0xf07a, 0x0007),    two(0xffff, 0xffff), "#w", m68851 },
1489 {"ptrapacl",    two(0xf07b, 0x0007),    two(0xffff, 0xffff), "#l", m68851 },
1490 {"ptrapac",     two(0xf07c, 0x0007),    two(0xffff, 0xffff), "",   m68851 },
1491
1492 {"ptrapasw",    two(0xf07a, 0x0006),    two(0xffff, 0xffff), "#w", m68851 },
1493 {"ptrapasl",    two(0xf07b, 0x0006),    two(0xffff, 0xffff), "#l", m68851 },
1494 {"ptrapas",     two(0xf07c, 0x0006),    two(0xffff, 0xffff), "",   m68851 },
1495
1496 {"ptrapbcw",    two(0xf07a, 0x0001),    two(0xffff, 0xffff), "#w", m68851 },
1497 {"ptrapbcl",    two(0xf07b, 0x0001),    two(0xffff, 0xffff), "#l", m68851 },
1498 {"ptrapbc",     two(0xf07c, 0x0001),    two(0xffff, 0xffff), "",   m68851 },
1499
1500 {"ptrapbsw",    two(0xf07a, 0x0000),    two(0xffff, 0xffff), "#w", m68851 },
1501 {"ptrapbsl",    two(0xf07b, 0x0000),    two(0xffff, 0xffff), "#l", m68851 },
1502 {"ptrapbs",     two(0xf07c, 0x0000),    two(0xffff, 0xffff), "",   m68851 },
1503
1504 {"ptrapccw",    two(0xf07a, 0x000f),    two(0xffff, 0xffff), "#w", m68851 },
1505 {"ptrapccl",    two(0xf07b, 0x000f),    two(0xffff, 0xffff), "#l", m68851 },
1506 {"ptrapcc",     two(0xf07c, 0x000f),    two(0xffff, 0xffff), "",   m68851 },
1507
1508 {"ptrapcsw",    two(0xf07a, 0x000e),    two(0xffff, 0xffff), "#w", m68851 },
1509 {"ptrapcsl",    two(0xf07b, 0x000e),    two(0xffff, 0xffff), "#l", m68851 },
1510 {"ptrapcs",     two(0xf07c, 0x000e),    two(0xffff, 0xffff), "",   m68851 },
1511
1512 {"ptrapgcw",    two(0xf07a, 0x000d),    two(0xffff, 0xffff), "#w", m68851 },
1513 {"ptrapgcl",    two(0xf07b, 0x000d),    two(0xffff, 0xffff), "#l", m68851 },
1514 {"ptrapgc",     two(0xf07c, 0x000d),    two(0xffff, 0xffff), "",   m68851 },
1515
1516 {"ptrapgsw",    two(0xf07a, 0x000c),    two(0xffff, 0xffff), "#w", m68851 },
1517 {"ptrapgsl",    two(0xf07b, 0x000c),    two(0xffff, 0xffff), "#l", m68851 },
1518 {"ptrapgs",     two(0xf07c, 0x000c),    two(0xffff, 0xffff), "",   m68851 },
1519
1520 {"ptrapicw",    two(0xf07a, 0x000b),    two(0xffff, 0xffff), "#w", m68851 },
1521 {"ptrapicl",    two(0xf07b, 0x000b),    two(0xffff, 0xffff), "#l", m68851 },
1522 {"ptrapic",     two(0xf07c, 0x000b),    two(0xffff, 0xffff), "",   m68851 },
1523
1524 {"ptrapisw",    two(0xf07a, 0x000a),    two(0xffff, 0xffff), "#w", m68851 },
1525 {"ptrapisl",    two(0xf07b, 0x000a),    two(0xffff, 0xffff), "#l", m68851 },
1526 {"ptrapis",     two(0xf07c, 0x000a),    two(0xffff, 0xffff), "",   m68851 },
1527
1528 {"ptraplcw",    two(0xf07a, 0x0003),    two(0xffff, 0xffff), "#w", m68851 },
1529 {"ptraplcl",    two(0xf07b, 0x0003),    two(0xffff, 0xffff), "#l", m68851 },
1530 {"ptraplc",     two(0xf07c, 0x0003),    two(0xffff, 0xffff), "",   m68851 },
1531
1532 {"ptraplsw",    two(0xf07a, 0x0002),    two(0xffff, 0xffff), "#w", m68851 },
1533 {"ptraplsl",    two(0xf07b, 0x0002),    two(0xffff, 0xffff), "#l", m68851 },
1534 {"ptrapls",     two(0xf07c, 0x0002),    two(0xffff, 0xffff), "",   m68851 },
1535
1536 {"ptrapscw",    two(0xf07a, 0x0005),    two(0xffff, 0xffff), "#w", m68851 },
1537 {"ptrapscl",    two(0xf07b, 0x0005),    two(0xffff, 0xffff), "#l", m68851 },
1538 {"ptrapsc",     two(0xf07c, 0x0005),    two(0xffff, 0xffff), "",   m68851 },
1539
1540 {"ptrapssw",    two(0xf07a, 0x0004),    two(0xffff, 0xffff), "#w", m68851 },
1541 {"ptrapssl",    two(0xf07b, 0x0004),    two(0xffff, 0xffff), "#l", m68851 },
1542 {"ptrapss",     two(0xf07c, 0x0004),    two(0xffff, 0xffff), "",   m68851 },
1543
1544 {"ptrapwcw",    two(0xf07a, 0x0009),    two(0xffff, 0xffff), "#w", m68851 },
1545 {"ptrapwcl",    two(0xf07b, 0x0009),    two(0xffff, 0xffff), "#l", m68851 },
1546 {"ptrapwc",     two(0xf07c, 0x0009),    two(0xffff, 0xffff), "",   m68851 },
1547
1548 {"ptrapwsw",    two(0xf07a, 0x0008),    two(0xffff, 0xffff), "#w", m68851 },
1549 {"ptrapwsl",    two(0xf07b, 0x0008),    two(0xffff, 0xffff), "#l", m68851 },
1550 {"ptrapws",     two(0xf07c, 0x0008),    two(0xffff, 0xffff), "",   m68851 },
1551
1552 {"pvalid",      two(0xf000, 0x2800),    two(0xffc0, 0xffff), "Vs&s", m68851 },
1553 {"pvalid",      two(0xf000, 0x2c00),    two(0xffc0, 0xfff8), "A3&s", m68851 },
1554
1555 {"reset",       one(0047160),           one(0177777), "", m68000up },
1556
1557 {"rolb",        one(0160430),           one(0170770), "QdDs", m68000up },
1558 {"rolb",        one(0160470),           one(0170770), "DdDs", m68000up },
1559 {"rolw",        one(0160530),           one(0170770), "QdDs", m68000up },
1560 {"rolw",        one(0160570),           one(0170770), "DdDs", m68000up },
1561 {"rolw",        one(0163700),           one(0177700), "~s",   m68000up },
1562 {"roll",        one(0160630),           one(0170770), "QdDs", m68000up },
1563 {"roll",        one(0160670),           one(0170770), "DdDs", m68000up },
1564
1565 {"rorb",        one(0160030),           one(0170770), "QdDs", m68000up },
1566 {"rorb",        one(0160070),           one(0170770), "DdDs", m68000up },
1567 {"rorw",        one(0160130),           one(0170770), "QdDs", m68000up },
1568 {"rorw",        one(0160170),           one(0170770), "DdDs", m68000up },
1569 {"rorw",        one(0163300),           one(0177700), "~s",   m68000up },
1570 {"rorl",        one(0160230),           one(0170770), "QdDs", m68000up },
1571 {"rorl",        one(0160270),           one(0170770), "DdDs", m68000up },
1572
1573 {"roxlb",       one(0160420),           one(0170770), "QdDs", m68000up },
1574 {"roxlb",       one(0160460),           one(0170770), "DdDs", m68000up },
1575 {"roxlw",       one(0160520),           one(0170770), "QdDs", m68000up },
1576 {"roxlw",       one(0160560),           one(0170770), "DdDs", m68000up },
1577 {"roxlw",       one(0162700),           one(0177700), "~s",   m68000up },
1578 {"roxll",       one(0160620),           one(0170770), "QdDs", m68000up },
1579 {"roxll",       one(0160660),           one(0170770), "DdDs", m68000up },
1580
1581 {"roxrb",       one(0160020),           one(0170770), "QdDs", m68000up },
1582 {"roxrb",       one(0160060),           one(0170770), "DdDs", m68000up },
1583 {"roxrw",       one(0160120),           one(0170770),"QdDs", m68000up },
1584 {"roxrw",       one(0160160),           one(0170770), "DdDs", m68000up },
1585 {"roxrw",       one(0162300),           one(0177700),"~s",   m68000up },
1586 {"roxrl",       one(0160220),           one(0170770), "QdDs", m68000up },
1587 {"roxrl",       one(0160260),           one(0170770), "DdDs", m68000up },
1588
1589 {"rtd",         one(0047164),           one(0177777), "#w", m68010up },
1590
1591 {"rte",         one(0047163),           one(0177777), "",   m68000up },
1592
1593 {"rtm",         one(0003300),           one(0177760), "Rs", m68020 },
1594
1595 {"rtr",         one(0047167),           one(0177777), "",   m68000up },
1596
1597 {"rts",         one(0047165),           one(0177777), "",   m68000up },
1598
1599 {"sbcd",        one(0100400),           one(0170770), "DsDd", m68000up },
1600 {"sbcd",        one(0100410),           one(0170770), "-s-d", m68000up },
1601
1602 {"scc",         one(0052300),           one(0177700), "$s", m68000up },
1603 {"scs",         one(0052700),           one(0177700), "$s", m68000up },
1604 {"seq",         one(0053700),           one(0177700), "$s", m68000up },
1605 {"sf",          one(0050700),           one(0177700), "$s", m68000up },
1606 {"sge",         one(0056300),           one(0177700), "$s", m68000up },
1607 {"sgt",         one(0057300),           one(0177700), "$s", m68000up },
1608 {"shi",         one(0051300),           one(0177700), "$s", m68000up },
1609 {"sle",         one(0057700),           one(0177700), "$s", m68000up },
1610 {"sls",         one(0051700),           one(0177700), "$s", m68000up },
1611 {"slt",         one(0056700),           one(0177700), "$s", m68000up },
1612 {"smi",         one(0055700),           one(0177700), "$s", m68000up },
1613 {"sne",         one(0053300),           one(0177700), "$s", m68000up },
1614 {"spl",         one(0055300),           one(0177700), "$s", m68000up },
1615 {"st",          one(0050300),           one(0177700), "$s", m68000up },
1616 {"svc",         one(0054300),           one(0177700), "$s", m68000up },
1617 {"svs",         one(0054700),           one(0177700), "$s", m68000up },
1618
1619 {"stop",        one(0047162),           one(0177777), "#w", m68000up },
1620
1621 {"subal",       one(0110700),           one(0170700), "*lAd", m68000up },
1622 {"subaw",       one(0110300),           one(0170700), "*wAd", m68000up },
1623
1624 {"subib",       one(0002000),           one(0177700), "#b$s", m68000up },
1625 {"subiw",       one(0002100),           one(0177700), "#w$s", m68000up },
1626 {"subil",       one(0002200),           one(0177700), "#l$s", m68000up },
1627
1628 {"subqb",       one(0050400),           one(0170700), "Qd%s", m68000up },
1629 {"subqw",       one(0050500),           one(0170700), "Qd%s", m68000up },
1630 {"subql",       one(0050600),           one(0170700), "Qd%s", m68000up },
1631
1632 /* The sub opcode can generate the suba, subi, and subq instructions.  */
1633 {"subb",        one(0050400),           one(0170700), "Qd%s", m68000up },
1634 {"subb",        one(0002000),           one(0177700), "#b$s", m68000up },
1635 {"subb",        one(0110000),           one(0170700), ";bDd", m68000up },
1636 {"subb",        one(0110400),           one(0170700), "Dd~s", m68000up },
1637 {"subw",        one(0050500),           one(0170700), "Qd%s", m68000up },
1638 {"subw",        one(0002100),           one(0177700), "#w$s", m68000up },
1639 {"subw",        one(0110300),           one(0170700), "*wAd", m68000up },
1640 {"subw",        one(0110100),           one(0170700), "*wDd", m68000up },
1641 {"subw",        one(0110500),           one(0170700), "Dd~s", m68000up },
1642 {"subl",        one(0050600),           one(0170700), "Qd%s", m68000up },
1643 {"subl",        one(0002200),           one(0177700), "#l$s", m68000up },
1644 {"subl",        one(0110700),           one(0170700), "*lAd", m68000up },
1645 {"subl",        one(0110200),           one(0170700), "*lDd", m68000up },
1646 {"subl",        one(0110600),           one(0170700), "Dd~s", m68000up },
1647
1648 {"subxb",       one(0110400),           one(0170770), "DsDd", m68000up },
1649 {"subxb",       one(0110410),           one(0170770), "-s-d", m68000up },
1650 {"subxw",       one(0110500),           one(0170770), "DsDd", m68000up },
1651 {"subxw",       one(0110510),           one(0170770), "-s-d", m68000up },
1652 {"subxl",       one(0110600),           one(0170770), "DsDd", m68000up },
1653 {"subxl",       one(0110610),           one(0170770), "-s-d", m68000up },
1654
1655 {"swap",        one(0044100),           one(0177770), "Ds", m68000up },
1656
1657 {"tas",         one(0045300),           one(0177700), "$s", m68000up },
1658
1659 #define TBL1(name,signed,round,size)                                    \
1660   {name, two(0174000, (signed<<11)|(!round<<10)|(size<<6)|0000400),     \
1661      two(0177700,0107777), "`sD1", cpu32 },                             \
1662   {name, two(0174000, (signed<<11)|(!round<<10)|(size<<6)),             \
1663      two(0177770,0107770), "DsD3D1", cpu32 }
1664 #define TBL(name1, name2, name3, s, r) \
1665   TBL1(name1, s, r, 0), TBL1(name2, s, r, 1), TBL1(name3, s, r, 2)
1666 TBL("tblsb", "tblsw", "tblsl", 1, 1),
1667 TBL("tblsnb", "tblsnw", "tblsnl", 1, 0),
1668 TBL("tblub", "tbluw", "tblul", 0, 1),
1669 TBL("tblunb", "tblunw", "tblunl", 0, 0),
1670
1671 {"trap",        one(0047100),           one(0177760), "Ts", m68000up },
1672
1673 {"trapcc",      one(0052374),           one(0177777), "", m68020up | cpu32 },
1674 {"trapcs",      one(0052774),           one(0177777), "", m68020up | cpu32 },
1675 {"trapeq",      one(0053774),           one(0177777), "", m68020up | cpu32 },
1676 {"trapf",       one(0050774),           one(0177777), "", m68020up | cpu32 },
1677 {"trapge",      one(0056374),           one(0177777), "", m68020up | cpu32 },
1678 {"trapgt",      one(0057374),           one(0177777), "", m68020up | cpu32 },
1679 {"traphi",      one(0051374),           one(0177777), "", m68020up | cpu32 },
1680 {"traple",      one(0057774),           one(0177777), "", m68020up | cpu32 },
1681 {"trapls",      one(0051774),           one(0177777), "", m68020up | cpu32 },
1682 {"traplt",      one(0056774),           one(0177777), "", m68020up | cpu32 },
1683 {"trapmi",      one(0055774),           one(0177777), "", m68020up | cpu32 },
1684 {"trapne",      one(0053374),           one(0177777), "", m68020up | cpu32 },
1685 {"trappl",      one(0055374),           one(0177777), "", m68020up | cpu32 },
1686 {"trapt",       one(0050374),           one(0177777), "", m68020up | cpu32 },
1687 {"trapvc",      one(0054374),           one(0177777), "", m68020up | cpu32 },
1688 {"trapvs",      one(0054774),           one(0177777), "", m68020up | cpu32 },
1689
1690 {"trapccw",     one(0052372),           one(0177777), "#w", m68020up | cpu32 },
1691 {"trapcsw",     one(0052772),           one(0177777), "#w", m68020up | cpu32 },
1692 {"trapeqw",     one(0053772),           one(0177777), "#w", m68020up | cpu32 },
1693 {"trapfw",      one(0050772),           one(0177777), "#w", m68020up | cpu32 },
1694 {"trapgew",     one(0056372),           one(0177777), "#w", m68020up | cpu32 },
1695 {"trapgtw",     one(0057372),           one(0177777), "#w", m68020up | cpu32 },
1696 {"traphiw",     one(0051372),           one(0177777), "#w", m68020up | cpu32 },
1697 {"traplew",     one(0057772),           one(0177777), "#w", m68020up | cpu32 },
1698 {"traplsw",     one(0051772),           one(0177777), "#w", m68020up | cpu32 },
1699 {"trapltw",     one(0056772),           one(0177777), "#w", m68020up | cpu32 },
1700 {"trapmiw",     one(0055772),           one(0177777), "#w", m68020up | cpu32 },
1701 {"trapnew",     one(0053372),           one(0177777), "#w", m68020up | cpu32 },
1702 {"trapplw",     one(0055372),           one(0177777), "#w", m68020up | cpu32 },
1703 {"traptw",      one(0050372),           one(0177777), "#w", m68020up | cpu32 },
1704 {"trapvcw",     one(0054372),           one(0177777), "#w", m68020up | cpu32 },
1705 {"trapvsw",     one(0054772),           one(0177777), "#w", m68020up | cpu32 },
1706
1707 {"trapccl",     one(0052373),           one(0177777), "#l", m68020up | cpu32 },
1708 {"trapcsl",     one(0052773),           one(0177777), "#l", m68020up | cpu32 },
1709 {"trapeql",     one(0053773),           one(0177777), "#l", m68020up | cpu32 },
1710 {"trapfl",      one(0050773),           one(0177777), "#l", m68020up | cpu32 },
1711 {"trapgel",     one(0056373),           one(0177777), "#l", m68020up | cpu32 },
1712 {"trapgtl",     one(0057373),           one(0177777), "#l", m68020up | cpu32 },
1713 {"traphil",     one(0051373),           one(0177777), "#l", m68020up | cpu32 },
1714 {"traplel",     one(0057773),           one(0177777), "#l", m68020up | cpu32 },
1715 {"traplsl",     one(0051773),           one(0177777), "#l", m68020up | cpu32 },
1716 {"trapltl",     one(0056773),           one(0177777), "#l", m68020up | cpu32 },
1717 {"trapmil",     one(0055773),           one(0177777), "#l", m68020up | cpu32 },
1718 {"trapnel",     one(0053373),           one(0177777), "#l", m68020up | cpu32 },
1719 {"trappll",     one(0055373),           one(0177777), "#l", m68020up | cpu32 },
1720 {"traptl",      one(0050373),           one(0177777), "#l", m68020up | cpu32 },
1721 {"trapvcl",     one(0054373),           one(0177777), "#l", m68020up | cpu32 },
1722 {"trapvsl",     one(0054773),           one(0177777), "#l", m68020up | cpu32 },
1723
1724 {"trapv",       one(0047166),           one(0177777), "", m68000up },
1725
1726 {"tstb",        one(0045000),           one(0177700), ";b", m68000up },
1727 {"tstw",        one(0045100),           one(0177700), "*w", m68000up },
1728 {"tstl",        one(0045200),           one(0177700), "*l", m68000up },
1729
1730 {"unlk",        one(0047130),           one(0177770), "As", m68000up },
1731
1732 {"unpk",        one(0100600),           one(0170770), "DsDd#w", m68020up },
1733 {"unpk",        one(0100610),           one(0170770), "-s-d#w", m68020up },
1734 };
1735
1736 const int m68k_numopcodes = sizeof m68k_opcodes / sizeof m68k_opcodes[0];
1737
1738 /* These aliases used to be in the above table, each one duplicating
1739    all of the entries for its primary exactly.  This table was
1740    constructed by mechanical processing of the opcode table, with a
1741    small number of tweaks done by hand.  There are probably a lot more
1742    aliases above that could be moved down here, except for very minor
1743    differences.  */
1744
1745 const struct m68k_opcode_alias m68k_opcode_aliases[] =
1746 {
1747   { "add",      "addw", },
1748   { "adda",     "addaw", },
1749   { "addi",     "addiw", },
1750   { "addq",     "addqw", },
1751   { "addx",     "addxw", },
1752   { "asl",      "aslw", },
1753   { "asr",      "asrw", },
1754   { "bhi",      "bhiw", },
1755   { "bls",      "blsw", },
1756   { "bcc",      "bccw", },
1757   { "bcs",      "bcsw", },
1758   { "bne",      "bnew", },
1759   { "beq",      "beqw", },
1760   { "bvc",      "bvcw", },
1761   { "bvs",      "bvsw", },
1762   { "bpl",      "bplw", },
1763   { "bmi",      "bmiw", },
1764   { "bge",      "bgew", },
1765   { "blt",      "bltw", },
1766   { "bgt",      "bgtw", },
1767   { "ble",      "blew", },
1768   { "bra",      "braw", },
1769   { "bsr",      "bsrw", },
1770   { "bhib",     "bhis", },
1771   { "blsb",     "blss", },
1772   { "bccb",     "bccs", },
1773   { "bcsb",     "bcss", },
1774   { "bneb",     "bnes", },
1775   { "beqb",     "beqs", },
1776   { "bvcb",     "bvcs", },
1777   { "bvsb",     "bvss", },
1778   { "bplb",     "bpls", },
1779   { "bmib",     "bmis", },
1780   { "bgeb",     "bges", },
1781   { "bltb",     "blts", },
1782   { "bgtb",     "bgts", },
1783   { "bleb",     "bles", },
1784   { "brab",     "bras", },
1785   { "bsrb",     "bsrs", },
1786   { "bhs",      "bccw" },
1787   { "bhss",     "bccs" },
1788   { "bhsb",     "bccs" },
1789   { "bhsw",     "bccw" },
1790   { "bhsl",     "bccl" },
1791   { "jfnlt",    "bcc", },       /* apparently a sun alias */
1792   { "jfngt",    "ble", },       /* apparently a sun alias */
1793   { "jfeq",     "beqs", },      /* apparently a sun alias */
1794   { "bchgb",    "bchg", },
1795   { "bchgl",    "bchg", },
1796   { "bclrb",    "bclr", },
1797   { "bclrl",    "bclr", },
1798   { "bsetb",    "bset", },
1799   { "bsetl",    "bset", },
1800   { "btstb",    "btst", },
1801   { "btstl",    "btst", },
1802   { "cas2",     "cas2w", },
1803   { "cas",      "casw", },
1804   { "chk2",     "chk2w", },
1805   { "chk",      "chkw", },
1806   { "clr",      "clrw", },
1807   { "cmp2",     "cmp2w", },
1808   { "cmpa",     "cmpaw", },
1809   { "cmpi",     "cmpiw", },
1810   { "cmpm",     "cmpmw", },
1811   { "cmp",      "cmpw", },
1812   { "dbhs",     "dbcc" },
1813   { "dbra",     "dbf", },
1814   { "tdivsl",   "divsl", },
1815   { "divs",     "divsw", },
1816   { "divu",     "divuw", },
1817   { "ext",      "extw", },
1818   { "extbw",    "extw", },
1819   { "extwl",    "extl", },
1820   { "fbneq",    "fbne", },
1821   { "fbsneq",   "fbsne", },
1822   { "fdbneq",   "fdbne", },
1823   { "fdbsneq",  "fdbsne", },
1824   { "fmovecr",  "fmovecrx", },
1825   { "fmovm",    "fmovem", },
1826   { "fsneq",    "fsne", },
1827   { "fssneq",   "fssne", },
1828   { "ftrapneq", "ftrapne", },
1829   { "ftrapsneq", "ftrapsne", },
1830   { "fjneq",    "fjne", },
1831   { "fjsneq",   "fjsne", },
1832   { "jmpl",     "jmp", },
1833   { "jmps",     "jmp", },
1834   { "jsrl",     "jsr", },
1835   { "jsrs",     "jsr", },
1836   { "leal",     "lea", },
1837   { "lsl",      "lslw", },
1838   { "lsr",      "lsrw", },
1839   { "movea",    "moveaw", },
1840   { "movem",    "movemw", },
1841   { "movml",    "moveml", },
1842   { "movmw",    "movemw", },
1843   { "movm",     "movemw", },
1844   { "movep",    "movepw", },
1845   { "movpw",    "movepw", },
1846   { "moves",    "movesw" },
1847   { "muls",     "mulsw", },
1848   { "mulu",     "muluw", },
1849   { "nbcdb",    "nbcd" },
1850   { "neg",      "negw", },
1851   { "negx",     "negxw", },
1852   { "not",      "notw", },
1853   { "peal",     "pea", },
1854   { "rol",      "rolw", },
1855   { "ror",      "rorw", },
1856   { "roxl",     "roxlw", },
1857   { "roxr",     "roxrw", },
1858   { "sbcdb",    "sbcd", },
1859   { "sccb",     "scc", },
1860   { "scsb",     "scs", },
1861   { "seqb",     "seq", },
1862   { "sfb",      "sf", },
1863   { "sgeb",     "sge", },
1864   { "sgtb",     "sgt", },
1865   { "shib",     "shi", },
1866   { "sleb",     "sle", },
1867   { "slsb",     "sls", },
1868   { "sltb",     "slt", },
1869   { "smib",     "smi", },
1870   { "sneb",     "sne", },
1871   { "splb",     "spl", },
1872   { "stb",      "st", },
1873   { "svcb",     "svc", },
1874   { "svsb",     "svs", },
1875   { "sfge",     "sge", },
1876   { "sfgt",     "sgt", },
1877   { "sfle",     "sle", },
1878   { "sflt",     "slt", },
1879   { "sfneq",    "sne", },
1880   { "suba",     "subaw", },
1881   { "subi",     "subiw", },
1882   { "subq",     "subqw", },
1883   { "sub",      "subw", },
1884   { "subx",     "subxw", },
1885   { "swapw",    "swap", },
1886   { "tasb",     "tas", },
1887   { "tpcc",     "trapcc", },
1888   { "tcc",      "trapcc", },
1889   { "tst",      "tstw", },
1890   { "jbra",     "jra", },
1891   { "jbhi",     "jhi", },
1892   { "jbls",     "jls", },
1893   { "jbcc",     "jcc", },
1894   { "jbcs",     "jcs", },
1895   { "jbne",     "jne", },
1896   { "jbeq",     "jeq", },
1897   { "jbvc",     "jvc", },
1898   { "jbvs",     "jvs", },
1899   { "jbpl",     "jpl", },
1900   { "jbmi",     "jmi", },
1901   { "jbge",     "jge", },
1902   { "jblt",     "jlt", },
1903   { "jbgt",     "jgt", },
1904   { "jble",     "jle", },
1905   { "movql",    "moveq", },
1906   { "moveql",   "moveq", },
1907   { "movl",     "movel", },
1908   { "movq",     "moveq", },
1909   { "moval",    "moveal", },
1910   { "movaw",    "moveaw", },
1911   { "movb",     "moveb", },
1912   { "movc",     "movec", },
1913   { "movecl",   "movec", },
1914   { "movpl",    "movepl", },
1915   { "movw",     "movew", },
1916   { "movsb",    "movesb", },
1917   { "movsl",    "movesl", },
1918   { "movsw",    "movesw", },
1919
1920   { "tdivul",   "divul", },     /* for m68k-svr4 */
1921   { "fmovb",    "fmoveb", },
1922   { "fsmovb",   "fsmoveb", },
1923   { "fdmovb",   "fdmoveb", },
1924   { "fmovd",    "fmoved", },
1925   { "fsmovd",   "fsmoved", },
1926   { "fmovl",    "fmovel", },
1927   { "fsmovl",   "fsmovel", },
1928   { "fdmovl",   "fdmovel", },
1929   { "fmovp",    "fmovep", },
1930   { "fsmovp",   "fsmovep", },
1931   { "fdmovp",   "fdmovep", },
1932   { "fmovs",    "fmoves", },
1933   { "fsmovs",   "fsmoves", },
1934   { "fdmovs",   "fdmoves", },
1935   { "fmovw",    "fmovew", },
1936   { "fsmovw",   "fsmovew", },
1937   { "fdmovw",   "fdmovew", },
1938   { "fmovx",    "fmovex", },
1939   { "fsmovx",   "fsmovex", },
1940   { "fdmovx",   "fdmovex", },
1941   { "fmovcr",   "fmovecr", },
1942   { "fmovcrx",  "fmovecrx", },
1943   { "ftestb",   "ftstb", },
1944   { "ftestd",   "ftstd", },
1945   { "ftestl",   "ftstl", },
1946   { "ftestp",   "ftstp", },
1947   { "ftests",   "ftsts", },
1948   { "ftestw",   "ftstw", },
1949   { "ftestx",   "ftstx", },
1950 };
1951
1952 const int m68k_numaliases =
1953   sizeof m68k_opcode_aliases / sizeof m68k_opcode_aliases[0];