Update year range in copyright notice of all files.
[external/binutils.git] / opcodes / aarch64-asm-2.c
1 /* This file is automatically generated by aarch64-gen.  Do not edit!  */
2 /* Copyright (C) 2012-2017 Free Software Foundation, Inc.
3    Contributed by ARM Ltd.
4
5    This file is part of the GNU opcodes library.
6
7    This library is free software; you can redistribute it and/or modify
8    it under the terms of the GNU General Public License as published by
9    the Free Software Foundation; either version 3, or (at your option)
10    any later version.
11
12    It is distributed in the hope that it will be useful, but WITHOUT
13    ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14    or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public
15    License for more details.
16
17    You should have received a copy of the GNU General Public License
18    along with this program; see the file COPYING3. If not,
19    see <http://www.gnu.org/licenses/>.  */
20
21 #include "sysdep.h"
22 #include "aarch64-asm.h"
23
24
25 const aarch64_opcode *
26 aarch64_find_real_opcode (const aarch64_opcode *opcode)
27 {
28   /* Use the index as the key to locate the real opcode.  */
29   int key = opcode - aarch64_opcode_table;
30   int value;
31   switch (key)
32     {
33     case 3:     /* ngc */
34     case 2:     /* sbc */
35       value = 2;        /* --> sbc.  */
36       break;
37     case 5:     /* ngcs */
38     case 4:     /* sbcs */
39       value = 4;        /* --> sbcs.  */
40       break;
41     case 8:     /* cmn */
42     case 7:     /* adds */
43       value = 7;        /* --> adds.  */
44       break;
45     case 11:    /* cmp */
46     case 10:    /* subs */
47       value = 10;       /* --> subs.  */
48       break;
49     case 13:    /* mov */
50     case 12:    /* add */
51       value = 12;       /* --> add.  */
52       break;
53     case 15:    /* cmn */
54     case 14:    /* adds */
55       value = 14;       /* --> adds.  */
56       break;
57     case 18:    /* cmp */
58     case 17:    /* subs */
59       value = 17;       /* --> subs.  */
60       break;
61     case 21:    /* cmn */
62     case 20:    /* adds */
63       value = 20;       /* --> adds.  */
64       break;
65     case 23:    /* neg */
66     case 22:    /* sub */
67       value = 22;       /* --> sub.  */
68       break;
69     case 26:    /* negs */
70     case 25:    /* cmp */
71     case 24:    /* subs */
72       value = 24;       /* --> subs.  */
73       break;
74     case 151:   /* mov */
75     case 150:   /* umov */
76       value = 150;      /* --> umov.  */
77       break;
78     case 153:   /* mov */
79     case 152:   /* ins */
80       value = 152;      /* --> ins.  */
81       break;
82     case 155:   /* mov */
83     case 154:   /* ins */
84       value = 154;      /* --> ins.  */
85       break;
86     case 237:   /* mvn */
87     case 236:   /* not */
88       value = 236;      /* --> not.  */
89       break;
90     case 312:   /* mov */
91     case 311:   /* orr */
92       value = 311;      /* --> orr.  */
93       break;
94     case 383:   /* sxtl */
95     case 382:   /* sshll */
96       value = 382;      /* --> sshll.  */
97       break;
98     case 385:   /* sxtl2 */
99     case 384:   /* sshll2 */
100       value = 384;      /* --> sshll2.  */
101       break;
102     case 407:   /* uxtl */
103     case 406:   /* ushll */
104       value = 406;      /* --> ushll.  */
105       break;
106     case 409:   /* uxtl2 */
107     case 408:   /* ushll2 */
108       value = 408;      /* --> ushll2.  */
109       break;
110     case 530:   /* mov */
111     case 529:   /* dup */
112       value = 529;      /* --> dup.  */
113       break;
114     case 617:   /* sxtw */
115     case 616:   /* sxth */
116     case 615:   /* sxtb */
117     case 618:   /* asr */
118     case 614:   /* sbfx */
119     case 613:   /* sbfiz */
120     case 612:   /* sbfm */
121       value = 612;      /* --> sbfm.  */
122       break;
123     case 621:   /* bfc */
124     case 622:   /* bfxil */
125     case 620:   /* bfi */
126     case 619:   /* bfm */
127       value = 619;      /* --> bfm.  */
128       break;
129     case 627:   /* uxth */
130     case 626:   /* uxtb */
131     case 629:   /* lsr */
132     case 628:   /* lsl */
133     case 625:   /* ubfx */
134     case 624:   /* ubfiz */
135     case 623:   /* ubfm */
136       value = 623;      /* --> ubfm.  */
137       break;
138     case 659:   /* cset */
139     case 658:   /* cinc */
140     case 657:   /* csinc */
141       value = 657;      /* --> csinc.  */
142       break;
143     case 662:   /* csetm */
144     case 661:   /* cinv */
145     case 660:   /* csinv */
146       value = 660;      /* --> csinv.  */
147       break;
148     case 664:   /* cneg */
149     case 663:   /* csneg */
150       value = 663;      /* --> csneg.  */
151       break;
152     case 682:   /* rev */
153     case 683:   /* rev64 */
154       value = 682;      /* --> rev.  */
155       break;
156     case 708:   /* lsl */
157     case 707:   /* lslv */
158       value = 707;      /* --> lslv.  */
159       break;
160     case 710:   /* lsr */
161     case 709:   /* lsrv */
162       value = 709;      /* --> lsrv.  */
163       break;
164     case 712:   /* asr */
165     case 711:   /* asrv */
166       value = 711;      /* --> asrv.  */
167       break;
168     case 714:   /* ror */
169     case 713:   /* rorv */
170       value = 713;      /* --> rorv.  */
171       break;
172     case 725:   /* mul */
173     case 724:   /* madd */
174       value = 724;      /* --> madd.  */
175       break;
176     case 727:   /* mneg */
177     case 726:   /* msub */
178       value = 726;      /* --> msub.  */
179       break;
180     case 729:   /* smull */
181     case 728:   /* smaddl */
182       value = 728;      /* --> smaddl.  */
183       break;
184     case 731:   /* smnegl */
185     case 730:   /* smsubl */
186       value = 730;      /* --> smsubl.  */
187       break;
188     case 734:   /* umull */
189     case 733:   /* umaddl */
190       value = 733;      /* --> umaddl.  */
191       break;
192     case 736:   /* umnegl */
193     case 735:   /* umsubl */
194       value = 735;      /* --> umsubl.  */
195       break;
196     case 747:   /* ror */
197     case 746:   /* extr */
198       value = 746;      /* --> extr.  */
199       break;
200     case 960:   /* bic */
201     case 959:   /* and */
202       value = 959;      /* --> and.  */
203       break;
204     case 962:   /* mov */
205     case 961:   /* orr */
206       value = 961;      /* --> orr.  */
207       break;
208     case 965:   /* tst */
209     case 964:   /* ands */
210       value = 964;      /* --> ands.  */
211       break;
212     case 970:   /* uxtw */
213     case 969:   /* mov */
214     case 968:   /* orr */
215       value = 968;      /* --> orr.  */
216       break;
217     case 972:   /* mvn */
218     case 971:   /* orn */
219       value = 971;      /* --> orn.  */
220       break;
221     case 976:   /* tst */
222     case 975:   /* ands */
223       value = 975;      /* --> ands.  */
224       break;
225     case 1102:  /* staddb */
226     case 1006:  /* ldaddb */
227       value = 1006;     /* --> ldaddb.  */
228       break;
229     case 1103:  /* staddh */
230     case 1007:  /* ldaddh */
231       value = 1007;     /* --> ldaddh.  */
232       break;
233     case 1104:  /* stadd */
234     case 1008:  /* ldadd */
235       value = 1008;     /* --> ldadd.  */
236       break;
237     case 1105:  /* staddlb */
238     case 1010:  /* ldaddlb */
239       value = 1010;     /* --> ldaddlb.  */
240       break;
241     case 1106:  /* staddlh */
242     case 1013:  /* ldaddlh */
243       value = 1013;     /* --> ldaddlh.  */
244       break;
245     case 1107:  /* staddl */
246     case 1016:  /* ldaddl */
247       value = 1016;     /* --> ldaddl.  */
248       break;
249     case 1108:  /* stclrb */
250     case 1018:  /* ldclrb */
251       value = 1018;     /* --> ldclrb.  */
252       break;
253     case 1109:  /* stclrh */
254     case 1019:  /* ldclrh */
255       value = 1019;     /* --> ldclrh.  */
256       break;
257     case 1110:  /* stclr */
258     case 1020:  /* ldclr */
259       value = 1020;     /* --> ldclr.  */
260       break;
261     case 1111:  /* stclrlb */
262     case 1022:  /* ldclrlb */
263       value = 1022;     /* --> ldclrlb.  */
264       break;
265     case 1112:  /* stclrlh */
266     case 1025:  /* ldclrlh */
267       value = 1025;     /* --> ldclrlh.  */
268       break;
269     case 1113:  /* stclrl */
270     case 1028:  /* ldclrl */
271       value = 1028;     /* --> ldclrl.  */
272       break;
273     case 1114:  /* steorb */
274     case 1030:  /* ldeorb */
275       value = 1030;     /* --> ldeorb.  */
276       break;
277     case 1115:  /* steorh */
278     case 1031:  /* ldeorh */
279       value = 1031;     /* --> ldeorh.  */
280       break;
281     case 1116:  /* steor */
282     case 1032:  /* ldeor */
283       value = 1032;     /* --> ldeor.  */
284       break;
285     case 1117:  /* steorlb */
286     case 1034:  /* ldeorlb */
287       value = 1034;     /* --> ldeorlb.  */
288       break;
289     case 1118:  /* steorlh */
290     case 1037:  /* ldeorlh */
291       value = 1037;     /* --> ldeorlh.  */
292       break;
293     case 1119:  /* steorl */
294     case 1040:  /* ldeorl */
295       value = 1040;     /* --> ldeorl.  */
296       break;
297     case 1120:  /* stsetb */
298     case 1042:  /* ldsetb */
299       value = 1042;     /* --> ldsetb.  */
300       break;
301     case 1121:  /* stseth */
302     case 1043:  /* ldseth */
303       value = 1043;     /* --> ldseth.  */
304       break;
305     case 1122:  /* stset */
306     case 1044:  /* ldset */
307       value = 1044;     /* --> ldset.  */
308       break;
309     case 1123:  /* stsetlb */
310     case 1046:  /* ldsetlb */
311       value = 1046;     /* --> ldsetlb.  */
312       break;
313     case 1124:  /* stsetlh */
314     case 1049:  /* ldsetlh */
315       value = 1049;     /* --> ldsetlh.  */
316       break;
317     case 1125:  /* stsetl */
318     case 1052:  /* ldsetl */
319       value = 1052;     /* --> ldsetl.  */
320       break;
321     case 1126:  /* stsmaxb */
322     case 1054:  /* ldsmaxb */
323       value = 1054;     /* --> ldsmaxb.  */
324       break;
325     case 1127:  /* stsmaxh */
326     case 1055:  /* ldsmaxh */
327       value = 1055;     /* --> ldsmaxh.  */
328       break;
329     case 1128:  /* stsmax */
330     case 1056:  /* ldsmax */
331       value = 1056;     /* --> ldsmax.  */
332       break;
333     case 1129:  /* stsmaxlb */
334     case 1058:  /* ldsmaxlb */
335       value = 1058;     /* --> ldsmaxlb.  */
336       break;
337     case 1130:  /* stsmaxlh */
338     case 1061:  /* ldsmaxlh */
339       value = 1061;     /* --> ldsmaxlh.  */
340       break;
341     case 1131:  /* stsmaxl */
342     case 1064:  /* ldsmaxl */
343       value = 1064;     /* --> ldsmaxl.  */
344       break;
345     case 1132:  /* stsminb */
346     case 1066:  /* ldsminb */
347       value = 1066;     /* --> ldsminb.  */
348       break;
349     case 1133:  /* stsminh */
350     case 1067:  /* ldsminh */
351       value = 1067;     /* --> ldsminh.  */
352       break;
353     case 1134:  /* stsmin */
354     case 1068:  /* ldsmin */
355       value = 1068;     /* --> ldsmin.  */
356       break;
357     case 1135:  /* stsminlb */
358     case 1070:  /* ldsminlb */
359       value = 1070;     /* --> ldsminlb.  */
360       break;
361     case 1136:  /* stsminlh */
362     case 1073:  /* ldsminlh */
363       value = 1073;     /* --> ldsminlh.  */
364       break;
365     case 1137:  /* stsminl */
366     case 1076:  /* ldsminl */
367       value = 1076;     /* --> ldsminl.  */
368       break;
369     case 1138:  /* stumaxb */
370     case 1078:  /* ldumaxb */
371       value = 1078;     /* --> ldumaxb.  */
372       break;
373     case 1139:  /* stumaxh */
374     case 1079:  /* ldumaxh */
375       value = 1079;     /* --> ldumaxh.  */
376       break;
377     case 1140:  /* stumax */
378     case 1080:  /* ldumax */
379       value = 1080;     /* --> ldumax.  */
380       break;
381     case 1141:  /* stumaxlb */
382     case 1082:  /* ldumaxlb */
383       value = 1082;     /* --> ldumaxlb.  */
384       break;
385     case 1142:  /* stumaxlh */
386     case 1085:  /* ldumaxlh */
387       value = 1085;     /* --> ldumaxlh.  */
388       break;
389     case 1143:  /* stumaxl */
390     case 1088:  /* ldumaxl */
391       value = 1088;     /* --> ldumaxl.  */
392       break;
393     case 1144:  /* stuminb */
394     case 1090:  /* lduminb */
395       value = 1090;     /* --> lduminb.  */
396       break;
397     case 1145:  /* stuminh */
398     case 1091:  /* lduminh */
399       value = 1091;     /* --> lduminh.  */
400       break;
401     case 1146:  /* stumin */
402     case 1092:  /* ldumin */
403       value = 1092;     /* --> ldumin.  */
404       break;
405     case 1147:  /* stuminlb */
406     case 1094:  /* lduminlb */
407       value = 1094;     /* --> lduminlb.  */
408       break;
409     case 1148:  /* stuminlh */
410     case 1097:  /* lduminlh */
411       value = 1097;     /* --> lduminlh.  */
412       break;
413     case 1149:  /* stuminl */
414     case 1100:  /* lduminl */
415       value = 1100;     /* --> lduminl.  */
416       break;
417     case 1151:  /* mov */
418     case 1150:  /* movn */
419       value = 1150;     /* --> movn.  */
420       break;
421     case 1153:  /* mov */
422     case 1152:  /* movz */
423       value = 1152;     /* --> movz.  */
424       break;
425     case 1191:  /* autibsp */
426     case 1190:  /* autibz */
427     case 1189:  /* autiasp */
428     case 1188:  /* autiaz */
429     case 1187:  /* pacibsp */
430     case 1186:  /* pacibz */
431     case 1185:  /* paciasp */
432     case 1184:  /* paciaz */
433     case 1171:  /* psb */
434     case 1170:  /* esb */
435     case 1169:  /* autib1716 */
436     case 1168:  /* autia1716 */
437     case 1167:  /* pacib1716 */
438     case 1166:  /* pacia1716 */
439     case 1165:  /* xpaclri */
440     case 1164:  /* sevl */
441     case 1163:  /* sev */
442     case 1162:  /* wfi */
443     case 1161:  /* wfe */
444     case 1160:  /* yield */
445     case 1159:  /* nop */
446     case 1158:  /* hint */
447       value = 1158;     /* --> hint.  */
448       break;
449     case 1180:  /* tlbi */
450     case 1179:  /* ic */
451     case 1178:  /* dc */
452     case 1177:  /* at */
453     case 1176:  /* sys */
454       value = 1176;     /* --> sys.  */
455       break;
456     case 1934:  /* bic */
457     case 1239:  /* and */
458       value = 1239;     /* --> and.  */
459       break;
460     case 1222:  /* mov */
461     case 1241:  /* and */
462       value = 1241;     /* --> and.  */
463       break;
464     case 1226:  /* movs */
465     case 1242:  /* ands */
466       value = 1242;     /* --> ands.  */
467       break;
468     case 1935:  /* cmple */
469     case 1277:  /* cmpge */
470       value = 1277;     /* --> cmpge.  */
471       break;
472     case 1938:  /* cmplt */
473     case 1280:  /* cmpgt */
474       value = 1280;     /* --> cmpgt.  */
475       break;
476     case 1936:  /* cmplo */
477     case 1282:  /* cmphi */
478       value = 1282;     /* --> cmphi.  */
479       break;
480     case 1937:  /* cmpls */
481     case 1285:  /* cmphs */
482       value = 1285;     /* --> cmphs.  */
483       break;
484     case 1219:  /* mov */
485     case 1307:  /* cpy */
486       value = 1307;     /* --> cpy.  */
487       break;
488     case 1221:  /* mov */
489     case 1308:  /* cpy */
490       value = 1308;     /* --> cpy.  */
491       break;
492     case 1945:  /* fmov */
493     case 1224:  /* mov */
494     case 1309:  /* cpy */
495       value = 1309;     /* --> cpy.  */
496       break;
497     case 1214:  /* mov */
498     case 1321:  /* dup */
499       value = 1321;     /* --> dup.  */
500       break;
501     case 1216:  /* mov */
502     case 1213:  /* mov */
503     case 1322:  /* dup */
504       value = 1322;     /* --> dup.  */
505       break;
506     case 1944:  /* fmov */
507     case 1218:  /* mov */
508     case 1323:  /* dup */
509       value = 1323;     /* --> dup.  */
510       break;
511     case 1217:  /* mov */
512     case 1324:  /* dupm */
513       value = 1324;     /* --> dupm.  */
514       break;
515     case 1939:  /* eon */
516     case 1326:  /* eor */
517       value = 1326;     /* --> eor.  */
518       break;
519     case 1227:  /* not */
520     case 1328:  /* eor */
521       value = 1328;     /* --> eor.  */
522       break;
523     case 1228:  /* nots */
524     case 1329:  /* eors */
525       value = 1329;     /* --> eors.  */
526       break;
527     case 1940:  /* facle */
528     case 1334:  /* facge */
529       value = 1334;     /* --> facge.  */
530       break;
531     case 1941:  /* faclt */
532     case 1335:  /* facgt */
533       value = 1335;     /* --> facgt.  */
534       break;
535     case 1942:  /* fcmle */
536     case 1344:  /* fcmge */
537       value = 1344;     /* --> fcmge.  */
538       break;
539     case 1943:  /* fcmlt */
540     case 1346:  /* fcmgt */
541       value = 1346;     /* --> fcmgt.  */
542       break;
543     case 1211:  /* fmov */
544     case 1352:  /* fcpy */
545       value = 1352;     /* --> fcpy.  */
546       break;
547     case 1210:  /* fmov */
548     case 1369:  /* fdup */
549       value = 1369;     /* --> fdup.  */
550       break;
551     case 1212:  /* mov */
552     case 1667:  /* orr */
553       value = 1667;     /* --> orr.  */
554       break;
555     case 1946:  /* orn */
556     case 1668:  /* orr */
557       value = 1668;     /* --> orr.  */
558       break;
559     case 1215:  /* mov */
560     case 1670:  /* orr */
561       value = 1670;     /* --> orr.  */
562       break;
563     case 1225:  /* movs */
564     case 1671:  /* orrs */
565       value = 1671;     /* --> orrs.  */
566       break;
567     case 1220:  /* mov */
568     case 1727:  /* sel */
569       value = 1727;     /* --> sel.  */
570       break;
571     case 1223:  /* mov */
572     case 1728:  /* sel */
573       value = 1728;     /* --> sel.  */
574       break;
575     default: return NULL;
576     }
577
578   return aarch64_opcode_table + value;
579 }
580
581 const char*
582 aarch64_insert_operand (const aarch64_operand *self,
583                            const aarch64_opnd_info *info,
584                            aarch64_insn *code, const aarch64_inst *inst)
585 {
586   /* Use the index as the key.  */
587   int key = self - aarch64_operands;
588   switch (key)
589     {
590     case 1:
591     case 2:
592     case 3:
593     case 4:
594     case 5:
595     case 6:
596     case 7:
597     case 8:
598     case 9:
599     case 10:
600     case 11:
601     case 15:
602     case 16:
603     case 17:
604     case 18:
605     case 20:
606     case 21:
607     case 22:
608     case 23:
609     case 24:
610     case 25:
611     case 26:
612     case 27:
613     case 28:
614     case 144:
615     case 145:
616     case 146:
617     case 147:
618     case 148:
619     case 149:
620     case 150:
621     case 151:
622     case 152:
623     case 153:
624     case 166:
625     case 167:
626     case 168:
627     case 169:
628     case 170:
629     case 171:
630     case 172:
631     case 173:
632     case 174:
633     case 175:
634     case 178:
635       return aarch64_ins_regno (self, info, code, inst);
636     case 13:
637       return aarch64_ins_reg_extended (self, info, code, inst);
638     case 14:
639       return aarch64_ins_reg_shifted (self, info, code, inst);
640     case 19:
641       return aarch64_ins_ft (self, info, code, inst);
642     case 29:
643     case 30:
644     case 31:
645       return aarch64_ins_reglane (self, info, code, inst);
646     case 32:
647       return aarch64_ins_reglist (self, info, code, inst);
648     case 33:
649       return aarch64_ins_ldst_reglist (self, info, code, inst);
650     case 34:
651       return aarch64_ins_ldst_reglist_r (self, info, code, inst);
652     case 35:
653       return aarch64_ins_ldst_elemlist (self, info, code, inst);
654     case 36:
655     case 37:
656     case 38:
657     case 48:
658     case 49:
659     case 50:
660     case 51:
661     case 52:
662     case 53:
663     case 54:
664     case 55:
665     case 56:
666     case 57:
667     case 58:
668     case 59:
669     case 60:
670     case 72:
671     case 73:
672     case 74:
673     case 75:
674     case 141:
675     case 143:
676     case 158:
677     case 159:
678     case 160:
679     case 161:
680     case 162:
681     case 163:
682     case 164:
683     case 165:
684       return aarch64_ins_imm (self, info, code, inst);
685     case 39:
686     case 40:
687       return aarch64_ins_advsimd_imm_shift (self, info, code, inst);
688     case 41:
689     case 42:
690     case 43:
691       return aarch64_ins_advsimd_imm_modified (self, info, code, inst);
692     case 47:
693     case 134:
694       return aarch64_ins_fpimm (self, info, code, inst);
695     case 61:
696     case 139:
697       return aarch64_ins_limm (self, info, code, inst);
698     case 62:
699       return aarch64_ins_aimm (self, info, code, inst);
700     case 63:
701       return aarch64_ins_imm_half (self, info, code, inst);
702     case 64:
703       return aarch64_ins_fbits (self, info, code, inst);
704     case 66:
705     case 67:
706     case 68:
707       return aarch64_ins_imm_rotate (self, info, code, inst);
708     case 69:
709     case 70:
710       return aarch64_ins_cond (self, info, code, inst);
711     case 76:
712     case 83:
713       return aarch64_ins_addr_simple (self, info, code, inst);
714     case 77:
715       return aarch64_ins_addr_regoff (self, info, code, inst);
716     case 78:
717     case 79:
718     case 80:
719       return aarch64_ins_addr_simm (self, info, code, inst);
720     case 81:
721       return aarch64_ins_addr_simm10 (self, info, code, inst);
722     case 82:
723       return aarch64_ins_addr_uimm12 (self, info, code, inst);
724     case 84:
725       return aarch64_ins_simd_addr_post (self, info, code, inst);
726     case 85:
727       return aarch64_ins_sysreg (self, info, code, inst);
728     case 86:
729       return aarch64_ins_pstatefield (self, info, code, inst);
730     case 87:
731     case 88:
732     case 89:
733     case 90:
734       return aarch64_ins_sysins_op (self, info, code, inst);
735     case 91:
736     case 92:
737       return aarch64_ins_barrier (self, info, code, inst);
738     case 93:
739       return aarch64_ins_prfop (self, info, code, inst);
740     case 94:
741       return aarch64_ins_hint (self, info, code, inst);
742     case 95:
743     case 96:
744     case 97:
745     case 98:
746       return aarch64_ins_sve_addr_ri_s4xvl (self, info, code, inst);
747     case 99:
748       return aarch64_ins_sve_addr_ri_s6xvl (self, info, code, inst);
749     case 100:
750       return aarch64_ins_sve_addr_ri_s9xvl (self, info, code, inst);
751     case 101:
752     case 102:
753     case 103:
754     case 104:
755       return aarch64_ins_sve_addr_ri_u6 (self, info, code, inst);
756     case 105:
757     case 106:
758     case 107:
759     case 108:
760     case 109:
761     case 110:
762     case 111:
763     case 112:
764     case 113:
765     case 114:
766     case 115:
767     case 116:
768       return aarch64_ins_sve_addr_rr_lsl (self, info, code, inst);
769     case 117:
770     case 118:
771     case 119:
772     case 120:
773     case 121:
774     case 122:
775     case 123:
776     case 124:
777       return aarch64_ins_sve_addr_rz_xtw (self, info, code, inst);
778     case 125:
779     case 126:
780     case 127:
781     case 128:
782       return aarch64_ins_sve_addr_zi_u5 (self, info, code, inst);
783     case 129:
784       return aarch64_ins_sve_addr_zz_lsl (self, info, code, inst);
785     case 130:
786       return aarch64_ins_sve_addr_zz_sxtw (self, info, code, inst);
787     case 131:
788       return aarch64_ins_sve_addr_zz_uxtw (self, info, code, inst);
789     case 132:
790       return aarch64_ins_sve_aimm (self, info, code, inst);
791     case 133:
792       return aarch64_ins_sve_asimm (self, info, code, inst);
793     case 135:
794       return aarch64_ins_sve_float_half_one (self, info, code, inst);
795     case 136:
796       return aarch64_ins_sve_float_half_two (self, info, code, inst);
797     case 137:
798       return aarch64_ins_sve_float_zero_one (self, info, code, inst);
799     case 138:
800       return aarch64_ins_inv_limm (self, info, code, inst);
801     case 140:
802       return aarch64_ins_sve_limm_mov (self, info, code, inst);
803     case 142:
804       return aarch64_ins_sve_scale (self, info, code, inst);
805     case 154:
806     case 155:
807       return aarch64_ins_sve_shlimm (self, info, code, inst);
808     case 156:
809     case 157:
810       return aarch64_ins_sve_shrimm (self, info, code, inst);
811     case 176:
812       return aarch64_ins_sve_index (self, info, code, inst);
813     case 177:
814     case 179:
815       return aarch64_ins_sve_reglist (self, info, code, inst);
816     default: assert (0); abort ();
817     }
818 }