Change LGPL-2.1+ to LGPL-2.1-or-later
[platform/upstream/glib.git] / gio / gasynchelper.h
1 /* GIO - GLib Input, Output and Streaming Library
2  *
3  * Copyright (C) 2006-2007 Red Hat, Inc.
4  *
5  * SPDX-License-Identifier: LGPL-2.1-or-later
6  *
7  * This library is free software; you can redistribute it and/or
8  * modify it under the terms of the GNU Lesser General Public
9  * License as published by the Free Software Foundation; either
10  * version 2.1 of the License, or (at your option) any later version.
11  *
12  * This library is distributed in the hope that it will be useful,
13  * but WITHOUT ANY WARRANTY; without even the implied warranty of
14  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
15  * Lesser General Public License for more details.
16  *
17  * You should have received a copy of the GNU Lesser General
18  * Public License along with this library; if not, see <http://www.gnu.org/licenses/>.
19  *
20  * Author: Alexander Larsson <alexl@redhat.com>
21  */
22
23 #ifndef __G_ASYNC_HELPER_H__
24 #define __G_ASYNC_HELPER_H__
25
26 #include <gio/gio.h>
27
28 #ifdef G_OS_WIN32
29 #include <windows.h>
30 #endif
31
32 G_BEGIN_DECLS
33
34 #ifdef G_OS_WIN32
35 gboolean _g_win32_overlap_wait_result (HANDLE           hfile,
36                                        OVERLAPPED      *overlap,
37                                        DWORD           *transferred,
38                                        GCancellable    *cancellable);
39 #endif
40
41 G_END_DECLS
42
43 #endif /* __G_ASYNC_HELPER_H__ */