* gdbarch.sh (software_single_step): Remove "insert_breakpoints_p" and
[external/binutils.git] / gdb / rs6000-tdep.c
1 /* Target-dependent code for GDB, the GNU debugger.
2
3    Copyright (C) 1986, 1987, 1989, 1991, 1992, 1993, 1994, 1995, 1996, 1997,
4    1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007
5    Free Software Foundation, Inc.
6
7    This file is part of GDB.
8
9    This program is free software; you can redistribute it and/or modify
10    it under the terms of the GNU General Public License as published by
11    the Free Software Foundation; either version 2 of the License, or
12    (at your option) any later version.
13
14    This program is distributed in the hope that it will be useful,
15    but WITHOUT ANY WARRANTY; without even the implied warranty of
16    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17    GNU General Public License for more details.
18
19    You should have received a copy of the GNU General Public License
20    along with this program; if not, write to the Free Software
21    Foundation, Inc., 51 Franklin Street, Fifth Floor,
22    Boston, MA 02110-1301, USA.  */
23
24 #include "defs.h"
25 #include "frame.h"
26 #include "inferior.h"
27 #include "symtab.h"
28 #include "target.h"
29 #include "gdbcore.h"
30 #include "gdbcmd.h"
31 #include "objfiles.h"
32 #include "arch-utils.h"
33 #include "regcache.h"
34 #include "regset.h"
35 #include "doublest.h"
36 #include "value.h"
37 #include "parser-defs.h"
38 #include "osabi.h"
39 #include "infcall.h"
40 #include "sim-regno.h"
41 #include "gdb/sim-ppc.h"
42 #include "reggroups.h"
43 #include "dwarf2-frame.h"
44
45 #include "libbfd.h"             /* for bfd_default_set_arch_mach */
46 #include "coff/internal.h"      /* for libcoff.h */
47 #include "libcoff.h"            /* for xcoff_data */
48 #include "coff/xcoff.h"
49 #include "libxcoff.h"
50
51 #include "elf-bfd.h"
52
53 #include "solib-svr4.h"
54 #include "ppc-tdep.h"
55
56 #include "gdb_assert.h"
57 #include "dis-asm.h"
58
59 #include "trad-frame.h"
60 #include "frame-unwind.h"
61 #include "frame-base.h"
62
63 #include "rs6000-tdep.h"
64
65 /* If the kernel has to deliver a signal, it pushes a sigcontext
66    structure on the stack and then calls the signal handler, passing
67    the address of the sigcontext in an argument register. Usually
68    the signal handler doesn't save this register, so we have to
69    access the sigcontext structure via an offset from the signal handler
70    frame.
71    The following constants were determined by experimentation on AIX 3.2.  */
72 #define SIG_FRAME_PC_OFFSET 96
73 #define SIG_FRAME_LR_OFFSET 108
74 #define SIG_FRAME_FP_OFFSET 284
75
76 /* To be used by skip_prologue. */
77
78 struct rs6000_framedata
79   {
80     int offset;                 /* total size of frame --- the distance
81                                    by which we decrement sp to allocate
82                                    the frame */
83     int saved_gpr;              /* smallest # of saved gpr */
84     int saved_fpr;              /* smallest # of saved fpr */
85     int saved_vr;               /* smallest # of saved vr */
86     int saved_ev;               /* smallest # of saved ev */
87     int alloca_reg;             /* alloca register number (frame ptr) */
88     char frameless;             /* true if frameless functions. */
89     char nosavedpc;             /* true if pc not saved. */
90     int gpr_offset;             /* offset of saved gprs from prev sp */
91     int fpr_offset;             /* offset of saved fprs from prev sp */
92     int vr_offset;              /* offset of saved vrs from prev sp */
93     int ev_offset;              /* offset of saved evs from prev sp */
94     int lr_offset;              /* offset of saved lr */
95     int cr_offset;              /* offset of saved cr */
96     int vrsave_offset;          /* offset of saved vrsave register */
97   };
98
99 /* Description of a single register. */
100
101 struct reg
102   {
103     char *name;                 /* name of register */
104     unsigned char sz32;         /* size on 32-bit arch, 0 if nonexistent */
105     unsigned char sz64;         /* size on 64-bit arch, 0 if nonexistent */
106     unsigned char fpr;          /* whether register is floating-point */
107     unsigned char pseudo;       /* whether register is pseudo */
108     int spr_num;                /* PowerPC SPR number, or -1 if not an SPR.
109                                    This is an ISA SPR number, not a GDB
110                                    register number.  */
111   };
112
113 /* Hook for determining the TOC address when calling functions in the
114    inferior under AIX. The initialization code in rs6000-nat.c sets
115    this hook to point to find_toc_address.  */
116
117 CORE_ADDR (*rs6000_find_toc_address_hook) (CORE_ADDR) = NULL;
118
119 /* Static function prototypes */
120
121 static CORE_ADDR branch_dest (int opcode, int instr, CORE_ADDR pc,
122                               CORE_ADDR safety);
123 static CORE_ADDR skip_prologue (CORE_ADDR, CORE_ADDR,
124                                 struct rs6000_framedata *);
125
126 /* Is REGNO an AltiVec register?  Return 1 if so, 0 otherwise.  */
127 int
128 altivec_register_p (int regno)
129 {
130   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
131   if (tdep->ppc_vr0_regnum < 0 || tdep->ppc_vrsave_regnum < 0)
132     return 0;
133   else
134     return (regno >= tdep->ppc_vr0_regnum && regno <= tdep->ppc_vrsave_regnum);
135 }
136
137
138 /* Return true if REGNO is an SPE register, false otherwise.  */
139 int
140 spe_register_p (int regno)
141 {
142   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
143   
144   /* Is it a reference to EV0 -- EV31, and do we have those?  */
145   if (tdep->ppc_ev0_regnum >= 0
146       && tdep->ppc_ev31_regnum >= 0
147       && tdep->ppc_ev0_regnum <= regno && regno <= tdep->ppc_ev31_regnum)
148     return 1;
149
150   /* Is it a reference to one of the raw upper GPR halves?  */
151   if (tdep->ppc_ev0_upper_regnum >= 0
152       && tdep->ppc_ev0_upper_regnum <= regno
153       && regno < tdep->ppc_ev0_upper_regnum + ppc_num_gprs)
154     return 1;
155
156   /* Is it a reference to the 64-bit accumulator, and do we have that?  */
157   if (tdep->ppc_acc_regnum >= 0
158       && tdep->ppc_acc_regnum == regno)
159     return 1;
160
161   /* Is it a reference to the SPE floating-point status and control register,
162      and do we have that?  */
163   if (tdep->ppc_spefscr_regnum >= 0
164       && tdep->ppc_spefscr_regnum == regno)
165     return 1;
166
167   return 0;
168 }
169
170
171 /* Return non-zero if the architecture described by GDBARCH has
172    floating-point registers (f0 --- f31 and fpscr).  */
173 int
174 ppc_floating_point_unit_p (struct gdbarch *gdbarch)
175 {
176   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
177
178   return (tdep->ppc_fp0_regnum >= 0
179           && tdep->ppc_fpscr_regnum >= 0);
180 }
181
182
183 /* Check that TABLE[GDB_REGNO] is not already initialized, and then
184    set it to SIM_REGNO.
185
186    This is a helper function for init_sim_regno_table, constructing
187    the table mapping GDB register numbers to sim register numbers; we
188    initialize every element in that table to -1 before we start
189    filling it in.  */
190 static void
191 set_sim_regno (int *table, int gdb_regno, int sim_regno)
192 {
193   /* Make sure we don't try to assign any given GDB register a sim
194      register number more than once.  */
195   gdb_assert (table[gdb_regno] == -1);
196   table[gdb_regno] = sim_regno;
197 }
198
199
200 /* Initialize ARCH->tdep->sim_regno, the table mapping GDB register
201    numbers to simulator register numbers, based on the values placed
202    in the ARCH->tdep->ppc_foo_regnum members.  */
203 static void
204 init_sim_regno_table (struct gdbarch *arch)
205 {
206   struct gdbarch_tdep *tdep = gdbarch_tdep (arch);
207   int total_regs = gdbarch_num_regs (arch) + gdbarch_num_pseudo_regs (arch);
208   const struct reg *regs = tdep->regs;
209   int *sim_regno = GDBARCH_OBSTACK_CALLOC (arch, total_regs, int);
210   int i;
211
212   /* Presume that all registers not explicitly mentioned below are
213      unavailable from the sim.  */
214   for (i = 0; i < total_regs; i++)
215     sim_regno[i] = -1;
216
217   /* General-purpose registers.  */
218   for (i = 0; i < ppc_num_gprs; i++)
219     set_sim_regno (sim_regno, tdep->ppc_gp0_regnum + i, sim_ppc_r0_regnum + i);
220   
221   /* Floating-point registers.  */
222   if (tdep->ppc_fp0_regnum >= 0)
223     for (i = 0; i < ppc_num_fprs; i++)
224       set_sim_regno (sim_regno,
225                      tdep->ppc_fp0_regnum + i,
226                      sim_ppc_f0_regnum + i);
227   if (tdep->ppc_fpscr_regnum >= 0)
228     set_sim_regno (sim_regno, tdep->ppc_fpscr_regnum, sim_ppc_fpscr_regnum);
229
230   set_sim_regno (sim_regno, gdbarch_pc_regnum (arch), sim_ppc_pc_regnum);
231   set_sim_regno (sim_regno, tdep->ppc_ps_regnum, sim_ppc_ps_regnum);
232   set_sim_regno (sim_regno, tdep->ppc_cr_regnum, sim_ppc_cr_regnum);
233
234   /* Segment registers.  */
235   if (tdep->ppc_sr0_regnum >= 0)
236     for (i = 0; i < ppc_num_srs; i++)
237       set_sim_regno (sim_regno,
238                      tdep->ppc_sr0_regnum + i,
239                      sim_ppc_sr0_regnum + i);
240
241   /* Altivec registers.  */
242   if (tdep->ppc_vr0_regnum >= 0)
243     {
244       for (i = 0; i < ppc_num_vrs; i++)
245         set_sim_regno (sim_regno,
246                        tdep->ppc_vr0_regnum + i,
247                        sim_ppc_vr0_regnum + i);
248
249       /* FIXME: jimb/2004-07-15: when we have tdep->ppc_vscr_regnum,
250          we can treat this more like the other cases.  */
251       set_sim_regno (sim_regno,
252                      tdep->ppc_vr0_regnum + ppc_num_vrs,
253                      sim_ppc_vscr_regnum);
254     }
255   /* vsave is a special-purpose register, so the code below handles it.  */
256
257   /* SPE APU (E500) registers.  */
258   if (tdep->ppc_ev0_regnum >= 0)
259     for (i = 0; i < ppc_num_gprs; i++)
260       set_sim_regno (sim_regno,
261                      tdep->ppc_ev0_regnum + i,
262                      sim_ppc_ev0_regnum + i);
263   if (tdep->ppc_ev0_upper_regnum >= 0)
264     for (i = 0; i < ppc_num_gprs; i++)
265       set_sim_regno (sim_regno,
266                      tdep->ppc_ev0_upper_regnum + i,
267                      sim_ppc_rh0_regnum + i);
268   if (tdep->ppc_acc_regnum >= 0)
269     set_sim_regno (sim_regno, tdep->ppc_acc_regnum, sim_ppc_acc_regnum);
270   /* spefscr is a special-purpose register, so the code below handles it.  */
271
272   /* Now handle all special-purpose registers.  Verify that they
273      haven't mistakenly been assigned numbers by any of the above
274      code).  */
275   for (i = 0; i < total_regs; i++)
276     if (regs[i].spr_num >= 0)
277       set_sim_regno (sim_regno, i, regs[i].spr_num + sim_ppc_spr0_regnum);
278
279   /* Drop the initialized array into place.  */
280   tdep->sim_regno = sim_regno;
281 }
282
283
284 /* Given a GDB register number REG, return the corresponding SIM
285    register number.  */
286 static int
287 rs6000_register_sim_regno (int reg)
288 {
289   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
290   int sim_regno;
291
292   gdb_assert (0 <= reg && reg <= NUM_REGS + NUM_PSEUDO_REGS);
293   sim_regno = tdep->sim_regno[reg];
294
295   if (sim_regno >= 0)
296     return sim_regno;
297   else
298     return LEGACY_SIM_REGNO_IGNORE;
299 }
300
301 \f
302
303 /* Register set support functions.  */
304
305 static void
306 ppc_supply_reg (struct regcache *regcache, int regnum, 
307                 const gdb_byte *regs, size_t offset)
308 {
309   if (regnum != -1 && offset != -1)
310     regcache_raw_supply (regcache, regnum, regs + offset);
311 }
312
313 static void
314 ppc_collect_reg (const struct regcache *regcache, int regnum,
315                  gdb_byte *regs, size_t offset)
316 {
317   if (regnum != -1 && offset != -1)
318     regcache_raw_collect (regcache, regnum, regs + offset);
319 }
320     
321 /* Supply register REGNUM in the general-purpose register set REGSET
322    from the buffer specified by GREGS and LEN to register cache
323    REGCACHE.  If REGNUM is -1, do this for all registers in REGSET.  */
324
325 void
326 ppc_supply_gregset (const struct regset *regset, struct regcache *regcache,
327                     int regnum, const void *gregs, size_t len)
328 {
329   struct gdbarch *gdbarch = get_regcache_arch (regcache);
330   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
331   const struct ppc_reg_offsets *offsets = regset->descr;
332   size_t offset;
333   int i;
334
335   for (i = tdep->ppc_gp0_regnum, offset = offsets->r0_offset;
336        i < tdep->ppc_gp0_regnum + ppc_num_gprs;
337        i++, offset += 4)
338     {
339       if (regnum == -1 || regnum == i)
340         ppc_supply_reg (regcache, i, gregs, offset);
341     }
342
343   if (regnum == -1 || regnum == PC_REGNUM)
344     ppc_supply_reg (regcache, PC_REGNUM, gregs, offsets->pc_offset);
345   if (regnum == -1 || regnum == tdep->ppc_ps_regnum)
346     ppc_supply_reg (regcache, tdep->ppc_ps_regnum,
347                     gregs, offsets->ps_offset);
348   if (regnum == -1 || regnum == tdep->ppc_cr_regnum)
349     ppc_supply_reg (regcache, tdep->ppc_cr_regnum,
350                     gregs, offsets->cr_offset);
351   if (regnum == -1 || regnum == tdep->ppc_lr_regnum)
352     ppc_supply_reg (regcache, tdep->ppc_lr_regnum,
353                     gregs, offsets->lr_offset);
354   if (regnum == -1 || regnum == tdep->ppc_ctr_regnum)
355     ppc_supply_reg (regcache, tdep->ppc_ctr_regnum,
356                     gregs, offsets->ctr_offset);
357   if (regnum == -1 || regnum == tdep->ppc_xer_regnum)
358     ppc_supply_reg (regcache, tdep->ppc_xer_regnum,
359                     gregs, offsets->cr_offset);
360   if (regnum == -1 || regnum == tdep->ppc_mq_regnum)
361     ppc_supply_reg (regcache, tdep->ppc_mq_regnum, gregs, offsets->mq_offset);
362 }
363
364 /* Supply register REGNUM in the floating-point register set REGSET
365    from the buffer specified by FPREGS and LEN to register cache
366    REGCACHE.  If REGNUM is -1, do this for all registers in REGSET.  */
367
368 void
369 ppc_supply_fpregset (const struct regset *regset, struct regcache *regcache,
370                      int regnum, const void *fpregs, size_t len)
371 {
372   struct gdbarch *gdbarch = get_regcache_arch (regcache);
373   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
374   const struct ppc_reg_offsets *offsets = regset->descr;
375   size_t offset;
376   int i;
377
378   gdb_assert (ppc_floating_point_unit_p (gdbarch));
379
380   offset = offsets->f0_offset;
381   for (i = tdep->ppc_fp0_regnum;
382        i < tdep->ppc_fp0_regnum + ppc_num_fprs;
383        i++, offset += 8)
384     {
385       if (regnum == -1 || regnum == i)
386         ppc_supply_reg (regcache, i, fpregs, offset);
387     }
388
389   if (regnum == -1 || regnum == tdep->ppc_fpscr_regnum)
390     ppc_supply_reg (regcache, tdep->ppc_fpscr_regnum,
391                     fpregs, offsets->fpscr_offset);
392 }
393
394 /* Collect register REGNUM in the general-purpose register set
395    REGSET. from register cache REGCACHE into the buffer specified by
396    GREGS and LEN.  If REGNUM is -1, do this for all registers in
397    REGSET.  */
398
399 void
400 ppc_collect_gregset (const struct regset *regset,
401                      const struct regcache *regcache,
402                      int regnum, void *gregs, size_t len)
403 {
404   struct gdbarch *gdbarch = get_regcache_arch (regcache);
405   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
406   const struct ppc_reg_offsets *offsets = regset->descr;
407   size_t offset;
408   int i;
409
410   offset = offsets->r0_offset;
411   for (i = tdep->ppc_gp0_regnum;
412        i < tdep->ppc_gp0_regnum + ppc_num_gprs;
413        i++, offset += 4)
414     {
415       if (regnum == -1 || regnum == i)
416         ppc_collect_reg (regcache, i, gregs, offset);
417     }
418
419   if (regnum == -1 || regnum == PC_REGNUM)
420     ppc_collect_reg (regcache, PC_REGNUM, gregs, offsets->pc_offset);
421   if (regnum == -1 || regnum == tdep->ppc_ps_regnum)
422     ppc_collect_reg (regcache, tdep->ppc_ps_regnum,
423                      gregs, offsets->ps_offset);
424   if (regnum == -1 || regnum == tdep->ppc_cr_regnum)
425     ppc_collect_reg (regcache, tdep->ppc_cr_regnum,
426                      gregs, offsets->cr_offset);
427   if (regnum == -1 || regnum == tdep->ppc_lr_regnum)
428     ppc_collect_reg (regcache, tdep->ppc_lr_regnum,
429                      gregs, offsets->lr_offset);
430   if (regnum == -1 || regnum == tdep->ppc_ctr_regnum)
431     ppc_collect_reg (regcache, tdep->ppc_ctr_regnum,
432                      gregs, offsets->ctr_offset);
433   if (regnum == -1 || regnum == tdep->ppc_xer_regnum)
434     ppc_collect_reg (regcache, tdep->ppc_xer_regnum,
435                      gregs, offsets->xer_offset);
436   if (regnum == -1 || regnum == tdep->ppc_mq_regnum)
437     ppc_collect_reg (regcache, tdep->ppc_mq_regnum,
438                      gregs, offsets->mq_offset);
439 }
440
441 /* Collect register REGNUM in the floating-point register set
442    REGSET. from register cache REGCACHE into the buffer specified by
443    FPREGS and LEN.  If REGNUM is -1, do this for all registers in
444    REGSET.  */
445
446 void
447 ppc_collect_fpregset (const struct regset *regset,
448                       const struct regcache *regcache,
449                       int regnum, void *fpregs, size_t len)
450 {
451   struct gdbarch *gdbarch = get_regcache_arch (regcache);
452   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
453   const struct ppc_reg_offsets *offsets = regset->descr;
454   size_t offset;
455   int i;
456
457   gdb_assert (ppc_floating_point_unit_p (gdbarch));
458
459   offset = offsets->f0_offset;
460   for (i = tdep->ppc_fp0_regnum;
461        i <= tdep->ppc_fp0_regnum + ppc_num_fprs;
462        i++, offset += 8)
463     {
464       if (regnum == -1 || regnum == i)
465         ppc_collect_reg (regcache, i, fpregs, offset);
466     }
467
468   if (regnum == -1 || regnum == tdep->ppc_fpscr_regnum)
469     ppc_collect_reg (regcache, tdep->ppc_fpscr_regnum,
470                      fpregs, offsets->fpscr_offset);
471 }
472 \f
473
474 /* Read a LEN-byte address from debugged memory address MEMADDR. */
475
476 static CORE_ADDR
477 read_memory_addr (CORE_ADDR memaddr, int len)
478 {
479   return read_memory_unsigned_integer (memaddr, len);
480 }
481
482 static CORE_ADDR
483 rs6000_skip_prologue (CORE_ADDR pc)
484 {
485   struct rs6000_framedata frame;
486   CORE_ADDR limit_pc, func_addr;
487
488   /* See if we can determine the end of the prologue via the symbol table.
489      If so, then return either PC, or the PC after the prologue, whichever
490      is greater.  */
491   if (find_pc_partial_function (pc, NULL, &func_addr, NULL))
492     {
493       CORE_ADDR post_prologue_pc = skip_prologue_using_sal (func_addr);
494       if (post_prologue_pc != 0)
495         return max (pc, post_prologue_pc);
496     }
497
498   /* Can't determine prologue from the symbol table, need to examine
499      instructions.  */
500
501   /* Find an upper limit on the function prologue using the debug
502      information.  If the debug information could not be used to provide
503      that bound, then use an arbitrary large number as the upper bound.  */
504   limit_pc = skip_prologue_using_sal (pc);
505   if (limit_pc == 0)
506     limit_pc = pc + 100;          /* Magic.  */
507
508   pc = skip_prologue (pc, limit_pc, &frame);
509   return pc;
510 }
511
512 static int
513 insn_changes_sp_or_jumps (unsigned long insn)
514 {
515   int opcode = (insn >> 26) & 0x03f;
516   int sd = (insn >> 21) & 0x01f;
517   int a = (insn >> 16) & 0x01f;
518   int subcode = (insn >> 1) & 0x3ff;
519
520   /* Changes the stack pointer.  */
521
522   /* NOTE: There are many ways to change the value of a given register.
523            The ways below are those used when the register is R1, the SP,
524            in a funtion's epilogue.  */
525
526   if (opcode == 31 && subcode == 444 && a == 1)
527     return 1;  /* mr R1,Rn */
528   if (opcode == 14 && sd == 1)
529     return 1;  /* addi R1,Rn,simm */
530   if (opcode == 58 && sd == 1)
531     return 1;  /* ld R1,ds(Rn) */
532
533   /* Transfers control.  */
534
535   if (opcode == 18)
536     return 1;  /* b */
537   if (opcode == 16)
538     return 1;  /* bc */
539   if (opcode == 19 && subcode == 16)
540     return 1;  /* bclr */
541   if (opcode == 19 && subcode == 528)
542     return 1;  /* bcctr */
543
544   return 0;
545 }
546
547 /* Return true if we are in the function's epilogue, i.e. after the
548    instruction that destroyed the function's stack frame.
549
550    1) scan forward from the point of execution:
551        a) If you find an instruction that modifies the stack pointer
552           or transfers control (except a return), execution is not in
553           an epilogue, return.
554        b) Stop scanning if you find a return instruction or reach the
555           end of the function or reach the hard limit for the size of
556           an epilogue.
557    2) scan backward from the point of execution:
558         a) If you find an instruction that modifies the stack pointer,
559             execution *is* in an epilogue, return.
560         b) Stop scanning if you reach an instruction that transfers
561            control or the beginning of the function or reach the hard
562            limit for the size of an epilogue.  */
563
564 static int
565 rs6000_in_function_epilogue_p (struct gdbarch *gdbarch, CORE_ADDR pc)
566 {
567   bfd_byte insn_buf[PPC_INSN_SIZE];
568   CORE_ADDR scan_pc, func_start, func_end, epilogue_start, epilogue_end;
569   unsigned long insn;
570   struct frame_info *curfrm;
571
572   /* Find the search limits based on function boundaries and hard limit.  */
573
574   if (!find_pc_partial_function (pc, NULL, &func_start, &func_end))
575     return 0;
576
577   epilogue_start = pc - PPC_MAX_EPILOGUE_INSTRUCTIONS * PPC_INSN_SIZE;
578   if (epilogue_start < func_start) epilogue_start = func_start;
579
580   epilogue_end = pc + PPC_MAX_EPILOGUE_INSTRUCTIONS * PPC_INSN_SIZE;
581   if (epilogue_end > func_end) epilogue_end = func_end;
582
583   curfrm = get_current_frame ();
584
585   /* Scan forward until next 'blr'.  */
586
587   for (scan_pc = pc; scan_pc < epilogue_end; scan_pc += PPC_INSN_SIZE)
588     {
589       if (!safe_frame_unwind_memory (curfrm, scan_pc, insn_buf, PPC_INSN_SIZE))
590         return 0;
591       insn = extract_unsigned_integer (insn_buf, PPC_INSN_SIZE);
592       if (insn == 0x4e800020)
593         break;
594       if (insn_changes_sp_or_jumps (insn))
595         return 0;
596     }
597
598   /* Scan backward until adjustment to stack pointer (R1).  */
599
600   for (scan_pc = pc - PPC_INSN_SIZE;
601        scan_pc >= epilogue_start;
602        scan_pc -= PPC_INSN_SIZE)
603     {
604       if (!safe_frame_unwind_memory (curfrm, scan_pc, insn_buf, PPC_INSN_SIZE))
605         return 0;
606       insn = extract_unsigned_integer (insn_buf, PPC_INSN_SIZE);
607       if (insn_changes_sp_or_jumps (insn))
608         return 1;
609     }
610
611   return 0;
612 }
613
614
615 /* Fill in fi->saved_regs */
616
617 struct frame_extra_info
618 {
619   /* Functions calling alloca() change the value of the stack
620      pointer. We need to use initial stack pointer (which is saved in
621      r31 by gcc) in such cases. If a compiler emits traceback table,
622      then we should use the alloca register specified in traceback
623      table. FIXME. */
624   CORE_ADDR initial_sp;         /* initial stack pointer. */
625 };
626
627 /* Get the ith function argument for the current function.  */
628 static CORE_ADDR
629 rs6000_fetch_pointer_argument (struct frame_info *frame, int argi, 
630                                struct type *type)
631 {
632   return get_frame_register_unsigned (frame, 3 + argi);
633 }
634
635 /* Calculate the destination of a branch/jump.  Return -1 if not a branch.  */
636
637 static CORE_ADDR
638 branch_dest (int opcode, int instr, CORE_ADDR pc, CORE_ADDR safety)
639 {
640   CORE_ADDR dest;
641   int immediate;
642   int absolute;
643   int ext_op;
644
645   absolute = (int) ((instr >> 1) & 1);
646
647   switch (opcode)
648     {
649     case 18:
650       immediate = ((instr & ~3) << 6) >> 6;     /* br unconditional */
651       if (absolute)
652         dest = immediate;
653       else
654         dest = pc + immediate;
655       break;
656
657     case 16:
658       immediate = ((instr & ~3) << 16) >> 16;   /* br conditional */
659       if (absolute)
660         dest = immediate;
661       else
662         dest = pc + immediate;
663       break;
664
665     case 19:
666       ext_op = (instr >> 1) & 0x3ff;
667
668       if (ext_op == 16)         /* br conditional register */
669         {
670           dest = read_register (gdbarch_tdep (current_gdbarch)->ppc_lr_regnum) & ~3;
671
672           /* If we are about to return from a signal handler, dest is
673              something like 0x3c90.  The current frame is a signal handler
674              caller frame, upon completion of the sigreturn system call
675              execution will return to the saved PC in the frame.  */
676           if (dest < gdbarch_tdep (current_gdbarch)->text_segment_base)
677             {
678               struct frame_info *fi;
679
680               fi = get_current_frame ();
681               if (fi != NULL)
682                 dest = read_memory_addr (get_frame_base (fi) + SIG_FRAME_PC_OFFSET,
683                                          gdbarch_tdep (current_gdbarch)->wordsize);
684             }
685         }
686
687       else if (ext_op == 528)   /* br cond to count reg */
688         {
689           dest = read_register (gdbarch_tdep (current_gdbarch)->ppc_ctr_regnum) & ~3;
690
691           /* If we are about to execute a system call, dest is something
692              like 0x22fc or 0x3b00.  Upon completion the system call
693              will return to the address in the link register.  */
694           if (dest < gdbarch_tdep (current_gdbarch)->text_segment_base)
695             dest = read_register (gdbarch_tdep (current_gdbarch)->ppc_lr_regnum) & ~3;
696         }
697       else
698         return -1;
699       break;
700
701     default:
702       return -1;
703     }
704   return (dest < gdbarch_tdep (current_gdbarch)->text_segment_base) ? safety : dest;
705 }
706
707
708 /* Sequence of bytes for breakpoint instruction.  */
709
710 const static unsigned char *
711 rs6000_breakpoint_from_pc (CORE_ADDR *bp_addr, int *bp_size)
712 {
713   static unsigned char big_breakpoint[] = { 0x7d, 0x82, 0x10, 0x08 };
714   static unsigned char little_breakpoint[] = { 0x08, 0x10, 0x82, 0x7d };
715   *bp_size = 4;
716   if (TARGET_BYTE_ORDER == BFD_ENDIAN_BIG)
717     return big_breakpoint;
718   else
719     return little_breakpoint;
720 }
721
722
723 /* AIX does not support PT_STEP. Simulate it. */
724
725 int
726 rs6000_software_single_step (struct regcache *regcache)
727 {
728   CORE_ADDR dummy;
729   int breakp_sz;
730   const gdb_byte *breakp = rs6000_breakpoint_from_pc (&dummy, &breakp_sz);
731   int ii, insn;
732   CORE_ADDR loc;
733   CORE_ADDR breaks[2];
734   int opcode;
735
736   loc = read_pc ();
737
738   insn = read_memory_integer (loc, 4);
739
740   breaks[0] = loc + breakp_sz;
741   opcode = insn >> 26;
742   breaks[1] = branch_dest (opcode, insn, loc, breaks[0]);
743
744   /* Don't put two breakpoints on the same address. */
745   if (breaks[1] == breaks[0])
746     breaks[1] = -1;
747
748   for (ii = 0; ii < 2; ++ii)
749     {
750       /* ignore invalid breakpoint. */
751       if (breaks[ii] == -1)
752         continue;
753       insert_single_step_breakpoint (breaks[ii]);
754     }
755
756   errno = 0;                    /* FIXME, don't ignore errors! */
757   /* What errors?  {read,write}_memory call error().  */
758   return 1;
759 }
760
761
762 /* return pc value after skipping a function prologue and also return
763    information about a function frame.
764
765    in struct rs6000_framedata fdata:
766    - frameless is TRUE, if function does not have a frame.
767    - nosavedpc is TRUE, if function does not save %pc value in its frame.
768    - offset is the initial size of this stack frame --- the amount by
769    which we decrement the sp to allocate the frame.
770    - saved_gpr is the number of the first saved gpr.
771    - saved_fpr is the number of the first saved fpr.
772    - saved_vr is the number of the first saved vr.
773    - saved_ev is the number of the first saved ev.
774    - alloca_reg is the number of the register used for alloca() handling.
775    Otherwise -1.
776    - gpr_offset is the offset of the first saved gpr from the previous frame.
777    - fpr_offset is the offset of the first saved fpr from the previous frame.
778    - vr_offset is the offset of the first saved vr from the previous frame.
779    - ev_offset is the offset of the first saved ev from the previous frame.
780    - lr_offset is the offset of the saved lr
781    - cr_offset is the offset of the saved cr
782    - vrsave_offset is the offset of the saved vrsave register
783  */
784
785 #define SIGNED_SHORT(x)                                                 \
786   ((sizeof (short) == 2)                                                \
787    ? ((int)(short)(x))                                                  \
788    : ((int)((((x) & 0xffff) ^ 0x8000) - 0x8000)))
789
790 #define GET_SRC_REG(x) (((x) >> 21) & 0x1f)
791
792 /* Limit the number of skipped non-prologue instructions, as the examining
793    of the prologue is expensive.  */
794 static int max_skip_non_prologue_insns = 10;
795
796 /* Return nonzero if the given instruction OP can be part of the prologue
797    of a function and saves a parameter on the stack.  FRAMEP should be
798    set if one of the previous instructions in the function has set the
799    Frame Pointer.  */
800
801 static int
802 store_param_on_stack_p (unsigned long op, int framep, int *r0_contains_arg)
803 {
804   /* Move parameters from argument registers to temporary register.  */
805   if ((op & 0xfc0007fe) == 0x7c000378)         /* mr(.)  Rx,Ry */
806     {
807       /* Rx must be scratch register r0.  */
808       const int rx_regno = (op >> 16) & 31;
809       /* Ry: Only r3 - r10 are used for parameter passing.  */
810       const int ry_regno = GET_SRC_REG (op);
811
812       if (rx_regno == 0 && ry_regno >= 3 && ry_regno <= 10)
813         {
814           *r0_contains_arg = 1;
815           return 1;
816         }
817       else
818         return 0;
819     }
820
821   /* Save a General Purpose Register on stack.  */
822
823   if ((op & 0xfc1f0003) == 0xf8010000 ||       /* std  Rx,NUM(r1) */
824       (op & 0xfc1f0000) == 0xd8010000)         /* stfd Rx,NUM(r1) */
825     {
826       /* Rx: Only r3 - r10 are used for parameter passing.  */
827       const int rx_regno = GET_SRC_REG (op);
828
829       return (rx_regno >= 3 && rx_regno <= 10);
830     }
831            
832   /* Save a General Purpose Register on stack via the Frame Pointer.  */
833
834   if (framep &&
835       ((op & 0xfc1f0000) == 0x901f0000 ||     /* st rx,NUM(r31) */
836        (op & 0xfc1f0000) == 0x981f0000 ||     /* stb Rx,NUM(r31) */
837        (op & 0xfc1f0000) == 0xd81f0000))      /* stfd Rx,NUM(r31) */
838     {
839       /* Rx: Usually, only r3 - r10 are used for parameter passing.
840          However, the compiler sometimes uses r0 to hold an argument.  */
841       const int rx_regno = GET_SRC_REG (op);
842
843       return ((rx_regno >= 3 && rx_regno <= 10)
844               || (rx_regno == 0 && *r0_contains_arg));
845     }
846
847   if ((op & 0xfc1f0000) == 0xfc010000)         /* frsp, fp?,NUM(r1) */
848     {
849       /* Only f2 - f8 are used for parameter passing.  */
850       const int src_regno = GET_SRC_REG (op);
851
852       return (src_regno >= 2 && src_regno <= 8);
853     }
854
855   if (framep && ((op & 0xfc1f0000) == 0xfc1f0000))  /* frsp, fp?,NUM(r31) */
856     {
857       /* Only f2 - f8 are used for parameter passing.  */
858       const int src_regno = GET_SRC_REG (op);
859
860       return (src_regno >= 2 && src_regno <= 8);
861     }
862
863   /* Not an insn that saves a parameter on stack.  */
864   return 0;
865 }
866
867 /* Assuming that INSN is a "bl" instruction located at PC, return
868    nonzero if the destination of the branch is a "blrl" instruction.
869    
870    This sequence is sometimes found in certain function prologues.
871    It allows the function to load the LR register with a value that
872    they can use to access PIC data using PC-relative offsets.  */
873
874 static int
875 bl_to_blrl_insn_p (CORE_ADDR pc, int insn)
876 {
877   const int opcode = 18;
878   const CORE_ADDR dest = branch_dest (opcode, insn, pc, -1);
879   int dest_insn;
880
881   if (dest == -1)
882     return 0;  /* Should never happen, but just return zero to be safe.  */
883   
884   dest_insn = read_memory_integer (dest, 4);
885   if ((dest_insn & 0xfc00ffff) == 0x4c000021) /* blrl */
886     return 1;
887
888   return 0;
889 }
890
891 static CORE_ADDR
892 skip_prologue (CORE_ADDR pc, CORE_ADDR lim_pc, struct rs6000_framedata *fdata)
893 {
894   CORE_ADDR orig_pc = pc;
895   CORE_ADDR last_prologue_pc = pc;
896   CORE_ADDR li_found_pc = 0;
897   gdb_byte buf[4];
898   unsigned long op;
899   long offset = 0;
900   long vr_saved_offset = 0;
901   int lr_reg = -1;
902   int cr_reg = -1;
903   int vr_reg = -1;
904   int ev_reg = -1;
905   long ev_offset = 0;
906   int vrsave_reg = -1;
907   int reg;
908   int framep = 0;
909   int minimal_toc_loaded = 0;
910   int prev_insn_was_prologue_insn = 1;
911   int num_skip_non_prologue_insns = 0;
912   int r0_contains_arg = 0;
913   const struct bfd_arch_info *arch_info = gdbarch_bfd_arch_info (current_gdbarch);
914   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
915
916   memset (fdata, 0, sizeof (struct rs6000_framedata));
917   fdata->saved_gpr = -1;
918   fdata->saved_fpr = -1;
919   fdata->saved_vr = -1;
920   fdata->saved_ev = -1;
921   fdata->alloca_reg = -1;
922   fdata->frameless = 1;
923   fdata->nosavedpc = 1;
924
925   for (;; pc += 4)
926     {
927       /* Sometimes it isn't clear if an instruction is a prologue
928          instruction or not.  When we encounter one of these ambiguous
929          cases, we'll set prev_insn_was_prologue_insn to 0 (false).
930          Otherwise, we'll assume that it really is a prologue instruction. */
931       if (prev_insn_was_prologue_insn)
932         last_prologue_pc = pc;
933
934       /* Stop scanning if we've hit the limit.  */
935       if (pc >= lim_pc)
936         break;
937
938       prev_insn_was_prologue_insn = 1;
939
940       /* Fetch the instruction and convert it to an integer.  */
941       if (target_read_memory (pc, buf, 4))
942         break;
943       op = extract_unsigned_integer (buf, 4);
944
945       if ((op & 0xfc1fffff) == 0x7c0802a6)
946         {                       /* mflr Rx */
947           /* Since shared library / PIC code, which needs to get its
948              address at runtime, can appear to save more than one link
949              register vis:
950
951              *INDENT-OFF*
952              stwu r1,-304(r1)
953              mflr r3
954              bl 0xff570d0 (blrl)
955              stw r30,296(r1)
956              mflr r30
957              stw r31,300(r1)
958              stw r3,308(r1);
959              ...
960              *INDENT-ON*
961
962              remember just the first one, but skip over additional
963              ones.  */
964           if (lr_reg == -1)
965             lr_reg = (op & 0x03e00000);
966           if (lr_reg == 0)
967             r0_contains_arg = 0;
968           continue;
969         }
970       else if ((op & 0xfc1fffff) == 0x7c000026)
971         {                       /* mfcr Rx */
972           cr_reg = (op & 0x03e00000);
973           if (cr_reg == 0)
974             r0_contains_arg = 0;
975           continue;
976
977         }
978       else if ((op & 0xfc1f0000) == 0xd8010000)
979         {                       /* stfd Rx,NUM(r1) */
980           reg = GET_SRC_REG (op);
981           if (fdata->saved_fpr == -1 || fdata->saved_fpr > reg)
982             {
983               fdata->saved_fpr = reg;
984               fdata->fpr_offset = SIGNED_SHORT (op) + offset;
985             }
986           continue;
987
988         }
989       else if (((op & 0xfc1f0000) == 0xbc010000) ||     /* stm Rx, NUM(r1) */
990                (((op & 0xfc1f0000) == 0x90010000 ||     /* st rx,NUM(r1) */
991                  (op & 0xfc1f0003) == 0xf8010000) &&    /* std rx,NUM(r1) */
992                 (op & 0x03e00000) >= 0x01a00000))       /* rx >= r13 */
993         {
994
995           reg = GET_SRC_REG (op);
996           if (fdata->saved_gpr == -1 || fdata->saved_gpr > reg)
997             {
998               fdata->saved_gpr = reg;
999               if ((op & 0xfc1f0003) == 0xf8010000)
1000                 op &= ~3UL;
1001               fdata->gpr_offset = SIGNED_SHORT (op) + offset;
1002             }
1003           continue;
1004
1005         }
1006       else if ((op & 0xffff0000) == 0x60000000)
1007         {
1008           /* nop */
1009           /* Allow nops in the prologue, but do not consider them to
1010              be part of the prologue unless followed by other prologue
1011              instructions. */
1012           prev_insn_was_prologue_insn = 0;
1013           continue;
1014
1015         }
1016       else if ((op & 0xffff0000) == 0x3c000000)
1017         {                       /* addis 0,0,NUM, used
1018                                    for >= 32k frames */
1019           fdata->offset = (op & 0x0000ffff) << 16;
1020           fdata->frameless = 0;
1021           r0_contains_arg = 0;
1022           continue;
1023
1024         }
1025       else if ((op & 0xffff0000) == 0x60000000)
1026         {                       /* ori 0,0,NUM, 2nd ha
1027                                    lf of >= 32k frames */
1028           fdata->offset |= (op & 0x0000ffff);
1029           fdata->frameless = 0;
1030           r0_contains_arg = 0;
1031           continue;
1032
1033         }
1034       else if (lr_reg >= 0 &&
1035                /* std Rx, NUM(r1) || stdu Rx, NUM(r1) */
1036                (((op & 0xffff0000) == (lr_reg | 0xf8010000)) ||
1037                 /* stw Rx, NUM(r1) */
1038                 ((op & 0xffff0000) == (lr_reg | 0x90010000)) ||
1039                 /* stwu Rx, NUM(r1) */
1040                 ((op & 0xffff0000) == (lr_reg | 0x94010000))))
1041         {       /* where Rx == lr */
1042           fdata->lr_offset = offset;
1043           fdata->nosavedpc = 0;
1044           /* Invalidate lr_reg, but don't set it to -1.
1045              That would mean that it had never been set.  */
1046           lr_reg = -2;
1047           if ((op & 0xfc000003) == 0xf8000000 ||        /* std */
1048               (op & 0xfc000000) == 0x90000000)          /* stw */
1049             {
1050               /* Does not update r1, so add displacement to lr_offset.  */
1051               fdata->lr_offset += SIGNED_SHORT (op);
1052             }
1053           continue;
1054
1055         }
1056       else if (cr_reg >= 0 &&
1057                /* std Rx, NUM(r1) || stdu Rx, NUM(r1) */
1058                (((op & 0xffff0000) == (cr_reg | 0xf8010000)) ||
1059                 /* stw Rx, NUM(r1) */
1060                 ((op & 0xffff0000) == (cr_reg | 0x90010000)) ||
1061                 /* stwu Rx, NUM(r1) */
1062                 ((op & 0xffff0000) == (cr_reg | 0x94010000))))
1063         {       /* where Rx == cr */
1064           fdata->cr_offset = offset;
1065           /* Invalidate cr_reg, but don't set it to -1.
1066              That would mean that it had never been set.  */
1067           cr_reg = -2;
1068           if ((op & 0xfc000003) == 0xf8000000 ||
1069               (op & 0xfc000000) == 0x90000000)
1070             {
1071               /* Does not update r1, so add displacement to cr_offset.  */
1072               fdata->cr_offset += SIGNED_SHORT (op);
1073             }
1074           continue;
1075
1076         }
1077       else if ((op & 0xfe80ffff) == 0x42800005 && lr_reg != -1)
1078         {
1079           /* bcl 20,xx,.+4 is used to get the current PC, with or without
1080              prediction bits.  If the LR has already been saved, we can
1081              skip it.  */
1082           continue;
1083         }
1084       else if (op == 0x48000005)
1085         {                       /* bl .+4 used in 
1086                                    -mrelocatable */
1087           continue;
1088
1089         }
1090       else if (op == 0x48000004)
1091         {                       /* b .+4 (xlc) */
1092           break;
1093
1094         }
1095       else if ((op & 0xffff0000) == 0x3fc00000 ||  /* addis 30,0,foo@ha, used
1096                                                       in V.4 -mminimal-toc */
1097                (op & 0xffff0000) == 0x3bde0000)
1098         {                       /* addi 30,30,foo@l */
1099           continue;
1100
1101         }
1102       else if ((op & 0xfc000001) == 0x48000001)
1103         {                       /* bl foo, 
1104                                    to save fprs??? */
1105
1106           fdata->frameless = 0;
1107
1108           /* If the return address has already been saved, we can skip
1109              calls to blrl (for PIC).  */
1110           if (lr_reg != -1 && bl_to_blrl_insn_p (pc, op))
1111             continue;
1112
1113           /* Don't skip over the subroutine call if it is not within
1114              the first three instructions of the prologue and either
1115              we have no line table information or the line info tells
1116              us that the subroutine call is not part of the line
1117              associated with the prologue.  */
1118           if ((pc - orig_pc) > 8)
1119             {
1120               struct symtab_and_line prologue_sal = find_pc_line (orig_pc, 0);
1121               struct symtab_and_line this_sal = find_pc_line (pc, 0);
1122
1123               if ((prologue_sal.line == 0) || (prologue_sal.line != this_sal.line))
1124                 break;
1125             }
1126
1127           op = read_memory_integer (pc + 4, 4);
1128
1129           /* At this point, make sure this is not a trampoline
1130              function (a function that simply calls another functions,
1131              and nothing else).  If the next is not a nop, this branch
1132              was part of the function prologue. */
1133
1134           if (op == 0x4def7b82 || op == 0)      /* crorc 15, 15, 15 */
1135             break;              /* don't skip over 
1136                                    this branch */
1137           continue;
1138
1139         }
1140       /* update stack pointer */
1141       else if ((op & 0xfc1f0000) == 0x94010000)
1142         {               /* stu rX,NUM(r1) ||  stwu rX,NUM(r1) */
1143           fdata->frameless = 0;
1144           fdata->offset = SIGNED_SHORT (op);
1145           offset = fdata->offset;
1146           continue;
1147         }
1148       else if ((op & 0xfc1f016a) == 0x7c01016e)
1149         {                       /* stwux rX,r1,rY */
1150           /* no way to figure out what r1 is going to be */
1151           fdata->frameless = 0;
1152           offset = fdata->offset;
1153           continue;
1154         }
1155       else if ((op & 0xfc1f0003) == 0xf8010001)
1156         {                       /* stdu rX,NUM(r1) */
1157           fdata->frameless = 0;
1158           fdata->offset = SIGNED_SHORT (op & ~3UL);
1159           offset = fdata->offset;
1160           continue;
1161         }
1162       else if ((op & 0xfc1f016a) == 0x7c01016a)
1163         {                       /* stdux rX,r1,rY */
1164           /* no way to figure out what r1 is going to be */
1165           fdata->frameless = 0;
1166           offset = fdata->offset;
1167           continue;
1168         }
1169       else if ((op & 0xffff0000) == 0x38210000)
1170         {                       /* addi r1,r1,SIMM */
1171           fdata->frameless = 0;
1172           fdata->offset += SIGNED_SHORT (op);
1173           offset = fdata->offset;
1174           continue;
1175         }
1176       /* Load up minimal toc pointer.  Do not treat an epilogue restore
1177          of r31 as a minimal TOC load.  */
1178       else if (((op >> 22) == 0x20f     ||      /* l r31,... or l r30,... */
1179                (op >> 22) == 0x3af)             /* ld r31,... or ld r30,... */
1180                && !framep
1181                && !minimal_toc_loaded)
1182         {
1183           minimal_toc_loaded = 1;
1184           continue;
1185
1186           /* move parameters from argument registers to local variable
1187              registers */
1188         }
1189       else if ((op & 0xfc0007fe) == 0x7c000378 &&       /* mr(.)  Rx,Ry */
1190                (((op >> 21) & 31) >= 3) &&              /* R3 >= Ry >= R10 */
1191                (((op >> 21) & 31) <= 10) &&
1192                ((long) ((op >> 16) & 31) >= fdata->saved_gpr)) /* Rx: local var reg */
1193         {
1194           continue;
1195
1196           /* store parameters in stack */
1197         }
1198       /* Move parameters from argument registers to temporary register.  */
1199       else if (store_param_on_stack_p (op, framep, &r0_contains_arg))
1200         {
1201           continue;
1202
1203           /* Set up frame pointer */
1204         }
1205       else if (op == 0x603f0000 /* oril r31, r1, 0x0 */
1206                || op == 0x7c3f0b78)
1207         {                       /* mr r31, r1 */
1208           fdata->frameless = 0;
1209           framep = 1;
1210           fdata->alloca_reg = (tdep->ppc_gp0_regnum + 31);
1211           continue;
1212
1213           /* Another way to set up the frame pointer.  */
1214         }
1215       else if ((op & 0xfc1fffff) == 0x38010000)
1216         {                       /* addi rX, r1, 0x0 */
1217           fdata->frameless = 0;
1218           framep = 1;
1219           fdata->alloca_reg = (tdep->ppc_gp0_regnum
1220                                + ((op & ~0x38010000) >> 21));
1221           continue;
1222         }
1223       /* AltiVec related instructions.  */
1224       /* Store the vrsave register (spr 256) in another register for
1225          later manipulation, or load a register into the vrsave
1226          register.  2 instructions are used: mfvrsave and
1227          mtvrsave.  They are shorthand notation for mfspr Rn, SPR256
1228          and mtspr SPR256, Rn.  */
1229       /* mfspr Rn SPR256 == 011111 nnnnn 0000001000 01010100110
1230          mtspr SPR256 Rn == 011111 nnnnn 0000001000 01110100110  */
1231       else if ((op & 0xfc1fffff) == 0x7c0042a6)    /* mfvrsave Rn */
1232         {
1233           vrsave_reg = GET_SRC_REG (op);
1234           continue;
1235         }
1236       else if ((op & 0xfc1fffff) == 0x7c0043a6)     /* mtvrsave Rn */
1237         {
1238           continue;
1239         }
1240       /* Store the register where vrsave was saved to onto the stack:
1241          rS is the register where vrsave was stored in a previous
1242          instruction.  */
1243       /* 100100 sssss 00001 dddddddd dddddddd */
1244       else if ((op & 0xfc1f0000) == 0x90010000)     /* stw rS, d(r1) */
1245         {
1246           if (vrsave_reg == GET_SRC_REG (op))
1247             {
1248               fdata->vrsave_offset = SIGNED_SHORT (op) + offset;
1249               vrsave_reg = -1;
1250             }
1251           continue;
1252         }
1253       /* Compute the new value of vrsave, by modifying the register
1254          where vrsave was saved to.  */
1255       else if (((op & 0xfc000000) == 0x64000000)    /* oris Ra, Rs, UIMM */
1256                || ((op & 0xfc000000) == 0x60000000))/* ori Ra, Rs, UIMM */
1257         {
1258           continue;
1259         }
1260       /* li r0, SIMM (short for addi r0, 0, SIMM).  This is the first
1261          in a pair of insns to save the vector registers on the
1262          stack.  */
1263       /* 001110 00000 00000 iiii iiii iiii iiii  */
1264       /* 001110 01110 00000 iiii iiii iiii iiii  */
1265       else if ((op & 0xffff0000) == 0x38000000         /* li r0, SIMM */
1266                || (op & 0xffff0000) == 0x39c00000)     /* li r14, SIMM */
1267         {
1268           if ((op & 0xffff0000) == 0x38000000)
1269             r0_contains_arg = 0;
1270           li_found_pc = pc;
1271           vr_saved_offset = SIGNED_SHORT (op);
1272
1273           /* This insn by itself is not part of the prologue, unless
1274              if part of the pair of insns mentioned above. So do not
1275              record this insn as part of the prologue yet.  */
1276           prev_insn_was_prologue_insn = 0;
1277         }
1278       /* Store vector register S at (r31+r0) aligned to 16 bytes.  */      
1279       /* 011111 sssss 11111 00000 00111001110 */
1280       else if ((op & 0xfc1fffff) == 0x7c1f01ce)   /* stvx Vs, R31, R0 */
1281         {
1282           if (pc == (li_found_pc + 4))
1283             {
1284               vr_reg = GET_SRC_REG (op);
1285               /* If this is the first vector reg to be saved, or if
1286                  it has a lower number than others previously seen,
1287                  reupdate the frame info.  */
1288               if (fdata->saved_vr == -1 || fdata->saved_vr > vr_reg)
1289                 {
1290                   fdata->saved_vr = vr_reg;
1291                   fdata->vr_offset = vr_saved_offset + offset;
1292                 }
1293               vr_saved_offset = -1;
1294               vr_reg = -1;
1295               li_found_pc = 0;
1296             }
1297         }
1298       /* End AltiVec related instructions.  */
1299
1300       /* Start BookE related instructions.  */
1301       /* Store gen register S at (r31+uimm).
1302          Any register less than r13 is volatile, so we don't care.  */
1303       /* 000100 sssss 11111 iiiii 01100100001 */
1304       else if (arch_info->mach == bfd_mach_ppc_e500
1305                && (op & 0xfc1f07ff) == 0x101f0321)    /* evstdd Rs,uimm(R31) */
1306         {
1307           if ((op & 0x03e00000) >= 0x01a00000)  /* Rs >= r13 */
1308             {
1309               unsigned int imm;
1310               ev_reg = GET_SRC_REG (op);
1311               imm = (op >> 11) & 0x1f;
1312               ev_offset = imm * 8;
1313               /* If this is the first vector reg to be saved, or if
1314                  it has a lower number than others previously seen,
1315                  reupdate the frame info.  */
1316               if (fdata->saved_ev == -1 || fdata->saved_ev > ev_reg)
1317                 {
1318                   fdata->saved_ev = ev_reg;
1319                   fdata->ev_offset = ev_offset + offset;
1320                 }
1321             }
1322           continue;
1323         }
1324       /* Store gen register rS at (r1+rB).  */
1325       /* 000100 sssss 00001 bbbbb 01100100000 */
1326       else if (arch_info->mach == bfd_mach_ppc_e500
1327                && (op & 0xffe007ff) == 0x13e00320)     /* evstddx RS,R1,Rb */
1328         {
1329           if (pc == (li_found_pc + 4))
1330             {
1331               ev_reg = GET_SRC_REG (op);
1332               /* If this is the first vector reg to be saved, or if
1333                  it has a lower number than others previously seen,
1334                  reupdate the frame info.  */
1335               /* We know the contents of rB from the previous instruction.  */
1336               if (fdata->saved_ev == -1 || fdata->saved_ev > ev_reg)
1337                 {
1338                   fdata->saved_ev = ev_reg;
1339                   fdata->ev_offset = vr_saved_offset + offset;
1340                 }
1341               vr_saved_offset = -1;
1342               ev_reg = -1;
1343               li_found_pc = 0;
1344             }
1345           continue;
1346         }
1347       /* Store gen register r31 at (rA+uimm).  */
1348       /* 000100 11111 aaaaa iiiii 01100100001 */
1349       else if (arch_info->mach == bfd_mach_ppc_e500
1350                && (op & 0xffe007ff) == 0x13e00321)   /* evstdd R31,Ra,UIMM */
1351         {
1352           /* Wwe know that the source register is 31 already, but
1353              it can't hurt to compute it.  */
1354           ev_reg = GET_SRC_REG (op);
1355           ev_offset = ((op >> 11) & 0x1f) * 8;
1356           /* If this is the first vector reg to be saved, or if
1357              it has a lower number than others previously seen,
1358              reupdate the frame info.  */
1359           if (fdata->saved_ev == -1 || fdata->saved_ev > ev_reg)
1360             {
1361               fdata->saved_ev = ev_reg;
1362               fdata->ev_offset = ev_offset + offset;
1363             }
1364
1365           continue;
1366         }
1367       /* Store gen register S at (r31+r0).
1368          Store param on stack when offset from SP bigger than 4 bytes.  */
1369       /* 000100 sssss 11111 00000 01100100000 */
1370       else if (arch_info->mach == bfd_mach_ppc_e500
1371                && (op & 0xfc1fffff) == 0x101f0320)     /* evstddx Rs,R31,R0 */
1372         {
1373           if (pc == (li_found_pc + 4))
1374             {
1375               if ((op & 0x03e00000) >= 0x01a00000)
1376                 {
1377                   ev_reg = GET_SRC_REG (op);
1378                   /* If this is the first vector reg to be saved, or if
1379                      it has a lower number than others previously seen,
1380                      reupdate the frame info.  */
1381                   /* We know the contents of r0 from the previous
1382                      instruction.  */
1383                   if (fdata->saved_ev == -1 || fdata->saved_ev > ev_reg)
1384                     {
1385                       fdata->saved_ev = ev_reg;
1386                       fdata->ev_offset = vr_saved_offset + offset;
1387                     }
1388                   ev_reg = -1;
1389                 }
1390               vr_saved_offset = -1;
1391               li_found_pc = 0;
1392               continue;
1393             }
1394         }
1395       /* End BookE related instructions.  */
1396
1397       else
1398         {
1399           /* Not a recognized prologue instruction.
1400              Handle optimizer code motions into the prologue by continuing
1401              the search if we have no valid frame yet or if the return
1402              address is not yet saved in the frame.  */
1403           if (fdata->frameless == 0 && fdata->nosavedpc == 0)
1404             break;
1405
1406           if (op == 0x4e800020          /* blr */
1407               || op == 0x4e800420)      /* bctr */
1408             /* Do not scan past epilogue in frameless functions or
1409                trampolines.  */
1410             break;
1411           if ((op & 0xf4000000) == 0x40000000) /* bxx */
1412             /* Never skip branches.  */
1413             break;
1414
1415           if (num_skip_non_prologue_insns++ > max_skip_non_prologue_insns)
1416             /* Do not scan too many insns, scanning insns is expensive with
1417                remote targets.  */
1418             break;
1419
1420           /* Continue scanning.  */
1421           prev_insn_was_prologue_insn = 0;
1422           continue;
1423         }
1424     }
1425
1426 #if 0
1427 /* I have problems with skipping over __main() that I need to address
1428  * sometime. Previously, I used to use misc_function_vector which
1429  * didn't work as well as I wanted to be.  -MGO */
1430
1431   /* If the first thing after skipping a prolog is a branch to a function,
1432      this might be a call to an initializer in main(), introduced by gcc2.
1433      We'd like to skip over it as well.  Fortunately, xlc does some extra
1434      work before calling a function right after a prologue, thus we can
1435      single out such gcc2 behaviour.  */
1436
1437
1438   if ((op & 0xfc000001) == 0x48000001)
1439     {                           /* bl foo, an initializer function? */
1440       op = read_memory_integer (pc + 4, 4);
1441
1442       if (op == 0x4def7b82)
1443         {                       /* cror 0xf, 0xf, 0xf (nop) */
1444
1445           /* Check and see if we are in main.  If so, skip over this
1446              initializer function as well.  */
1447
1448           tmp = find_pc_misc_function (pc);
1449           if (tmp >= 0
1450               && strcmp (misc_function_vector[tmp].name, main_name ()) == 0)
1451             return pc + 8;
1452         }
1453     }
1454 #endif /* 0 */
1455
1456   fdata->offset = -fdata->offset;
1457   return last_prologue_pc;
1458 }
1459
1460
1461 /*************************************************************************
1462   Support for creating pushing a dummy frame into the stack, and popping
1463   frames, etc. 
1464 *************************************************************************/
1465
1466
1467 /* All the ABI's require 16 byte alignment.  */
1468 static CORE_ADDR
1469 rs6000_frame_align (struct gdbarch *gdbarch, CORE_ADDR addr)
1470 {
1471   return (addr & -16);
1472 }
1473
1474 /* Pass the arguments in either registers, or in the stack. In RS/6000,
1475    the first eight words of the argument list (that might be less than
1476    eight parameters if some parameters occupy more than one word) are
1477    passed in r3..r10 registers.  float and double parameters are
1478    passed in fpr's, in addition to that.  Rest of the parameters if any
1479    are passed in user stack.  There might be cases in which half of the
1480    parameter is copied into registers, the other half is pushed into
1481    stack.
1482
1483    Stack must be aligned on 64-bit boundaries when synthesizing
1484    function calls.
1485
1486    If the function is returning a structure, then the return address is passed
1487    in r3, then the first 7 words of the parameters can be passed in registers,
1488    starting from r4.  */
1489
1490 static CORE_ADDR
1491 rs6000_push_dummy_call (struct gdbarch *gdbarch, struct value *function,
1492                         struct regcache *regcache, CORE_ADDR bp_addr,
1493                         int nargs, struct value **args, CORE_ADDR sp,
1494                         int struct_return, CORE_ADDR struct_addr)
1495 {
1496   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
1497   int ii;
1498   int len = 0;
1499   int argno;                    /* current argument number */
1500   int argbytes;                 /* current argument byte */
1501   gdb_byte tmp_buffer[50];
1502   int f_argno = 0;              /* current floating point argno */
1503   int wordsize = gdbarch_tdep (current_gdbarch)->wordsize;
1504   CORE_ADDR func_addr = find_function_addr (function, NULL);
1505
1506   struct value *arg = 0;
1507   struct type *type;
1508
1509   CORE_ADDR saved_sp;
1510
1511   /* The calling convention this function implements assumes the
1512      processor has floating-point registers.  We shouldn't be using it
1513      on PPC variants that lack them.  */
1514   gdb_assert (ppc_floating_point_unit_p (current_gdbarch));
1515
1516   /* The first eight words of ther arguments are passed in registers.
1517      Copy them appropriately.  */
1518   ii = 0;
1519
1520   /* If the function is returning a `struct', then the first word
1521      (which will be passed in r3) is used for struct return address.
1522      In that case we should advance one word and start from r4
1523      register to copy parameters.  */
1524   if (struct_return)
1525     {
1526       regcache_raw_write_unsigned (regcache, tdep->ppc_gp0_regnum + 3,
1527                                    struct_addr);
1528       ii++;
1529     }
1530
1531 /* 
1532    effectively indirect call... gcc does...
1533
1534    return_val example( float, int);
1535
1536    eabi: 
1537    float in fp0, int in r3
1538    offset of stack on overflow 8/16
1539    for varargs, must go by type.
1540    power open:
1541    float in r3&r4, int in r5
1542    offset of stack on overflow different 
1543    both: 
1544    return in r3 or f0.  If no float, must study how gcc emulates floats;
1545    pay attention to arg promotion.  
1546    User may have to cast\args to handle promotion correctly 
1547    since gdb won't know if prototype supplied or not.
1548  */
1549
1550   for (argno = 0, argbytes = 0; argno < nargs && ii < 8; ++ii)
1551     {
1552       int reg_size = register_size (current_gdbarch, ii + 3);
1553
1554       arg = args[argno];
1555       type = check_typedef (value_type (arg));
1556       len = TYPE_LENGTH (type);
1557
1558       if (TYPE_CODE (type) == TYPE_CODE_FLT)
1559         {
1560
1561           /* Floating point arguments are passed in fpr's, as well as gpr's.
1562              There are 13 fpr's reserved for passing parameters. At this point
1563              there is no way we would run out of them.  */
1564
1565           gdb_assert (len <= 8);
1566
1567           regcache_cooked_write (regcache,
1568                                  tdep->ppc_fp0_regnum + 1 + f_argno,
1569                                  value_contents (arg));
1570           ++f_argno;
1571         }
1572
1573       if (len > reg_size)
1574         {
1575
1576           /* Argument takes more than one register.  */
1577           while (argbytes < len)
1578             {
1579               gdb_byte word[MAX_REGISTER_SIZE];
1580               memset (word, 0, reg_size);
1581               memcpy (word,
1582                       ((char *) value_contents (arg)) + argbytes,
1583                       (len - argbytes) > reg_size
1584                         ? reg_size : len - argbytes);
1585               regcache_cooked_write (regcache,
1586                                     tdep->ppc_gp0_regnum + 3 + ii,
1587                                     word);
1588               ++ii, argbytes += reg_size;
1589
1590               if (ii >= 8)
1591                 goto ran_out_of_registers_for_arguments;
1592             }
1593           argbytes = 0;
1594           --ii;
1595         }
1596       else
1597         {
1598           /* Argument can fit in one register.  No problem.  */
1599           int adj = TARGET_BYTE_ORDER == BFD_ENDIAN_BIG ? reg_size - len : 0;
1600           gdb_byte word[MAX_REGISTER_SIZE];
1601
1602           memset (word, 0, reg_size);
1603           memcpy (word, value_contents (arg), len);
1604           regcache_cooked_write (regcache, tdep->ppc_gp0_regnum + 3 +ii, word);
1605         }
1606       ++argno;
1607     }
1608
1609 ran_out_of_registers_for_arguments:
1610
1611   saved_sp = read_sp ();
1612
1613   /* Location for 8 parameters are always reserved.  */
1614   sp -= wordsize * 8;
1615
1616   /* Another six words for back chain, TOC register, link register, etc.  */
1617   sp -= wordsize * 6;
1618
1619   /* Stack pointer must be quadword aligned.  */
1620   sp &= -16;
1621
1622   /* If there are more arguments, allocate space for them in 
1623      the stack, then push them starting from the ninth one.  */
1624
1625   if ((argno < nargs) || argbytes)
1626     {
1627       int space = 0, jj;
1628
1629       if (argbytes)
1630         {
1631           space += ((len - argbytes + 3) & -4);
1632           jj = argno + 1;
1633         }
1634       else
1635         jj = argno;
1636
1637       for (; jj < nargs; ++jj)
1638         {
1639           struct value *val = args[jj];
1640           space += ((TYPE_LENGTH (value_type (val))) + 3) & -4;
1641         }
1642
1643       /* Add location required for the rest of the parameters.  */
1644       space = (space + 15) & -16;
1645       sp -= space;
1646
1647       /* This is another instance we need to be concerned about
1648          securing our stack space. If we write anything underneath %sp
1649          (r1), we might conflict with the kernel who thinks he is free
1650          to use this area.  So, update %sp first before doing anything
1651          else.  */
1652
1653       regcache_raw_write_signed (regcache, SP_REGNUM, sp);
1654
1655       /* If the last argument copied into the registers didn't fit there 
1656          completely, push the rest of it into stack.  */
1657
1658       if (argbytes)
1659         {
1660           write_memory (sp + 24 + (ii * 4),
1661                         value_contents (arg) + argbytes,
1662                         len - argbytes);
1663           ++argno;
1664           ii += ((len - argbytes + 3) & -4) / 4;
1665         }
1666
1667       /* Push the rest of the arguments into stack.  */
1668       for (; argno < nargs; ++argno)
1669         {
1670
1671           arg = args[argno];
1672           type = check_typedef (value_type (arg));
1673           len = TYPE_LENGTH (type);
1674
1675
1676           /* Float types should be passed in fpr's, as well as in the
1677              stack.  */
1678           if (TYPE_CODE (type) == TYPE_CODE_FLT && f_argno < 13)
1679             {
1680
1681               gdb_assert (len <= 8);
1682
1683               regcache_cooked_write (regcache,
1684                                      tdep->ppc_fp0_regnum + 1 + f_argno,
1685                                      value_contents (arg));
1686               ++f_argno;
1687             }
1688
1689           write_memory (sp + 24 + (ii * 4), value_contents (arg), len);
1690           ii += ((len + 3) & -4) / 4;
1691         }
1692     }
1693
1694   /* Set the stack pointer.  According to the ABI, the SP is meant to
1695      be set _before_ the corresponding stack space is used.  On AIX,
1696      this even applies when the target has been completely stopped!
1697      Not doing this can lead to conflicts with the kernel which thinks
1698      that it still has control over this not-yet-allocated stack
1699      region.  */
1700   regcache_raw_write_signed (regcache, SP_REGNUM, sp);
1701
1702   /* Set back chain properly.  */
1703   store_unsigned_integer (tmp_buffer, wordsize, saved_sp);
1704   write_memory (sp, tmp_buffer, wordsize);
1705
1706   /* Point the inferior function call's return address at the dummy's
1707      breakpoint.  */
1708   regcache_raw_write_signed (regcache, tdep->ppc_lr_regnum, bp_addr);
1709
1710   /* Set the TOC register, get the value from the objfile reader
1711      which, in turn, gets it from the VMAP table.  */
1712   if (rs6000_find_toc_address_hook != NULL)
1713     {
1714       CORE_ADDR tocvalue = (*rs6000_find_toc_address_hook) (func_addr);
1715       regcache_raw_write_signed (regcache, tdep->ppc_toc_regnum, tocvalue);
1716     }
1717
1718   target_store_registers (-1);
1719   return sp;
1720 }
1721
1722 static enum return_value_convention
1723 rs6000_return_value (struct gdbarch *gdbarch, struct type *valtype,
1724                      struct regcache *regcache, gdb_byte *readbuf,
1725                      const gdb_byte *writebuf)
1726 {
1727   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
1728   gdb_byte buf[8];
1729
1730   /* The calling convention this function implements assumes the
1731      processor has floating-point registers.  We shouldn't be using it
1732      on PowerPC variants that lack them.  */
1733   gdb_assert (ppc_floating_point_unit_p (current_gdbarch));
1734
1735   /* AltiVec extension: Functions that declare a vector data type as a
1736      return value place that return value in VR2.  */
1737   if (TYPE_CODE (valtype) == TYPE_CODE_ARRAY && TYPE_VECTOR (valtype)
1738       && TYPE_LENGTH (valtype) == 16)
1739     {
1740       if (readbuf)
1741         regcache_cooked_read (regcache, tdep->ppc_vr0_regnum + 2, readbuf);
1742       if (writebuf)
1743         regcache_cooked_write (regcache, tdep->ppc_vr0_regnum + 2, writebuf);
1744
1745       return RETURN_VALUE_REGISTER_CONVENTION;
1746     }
1747
1748   /* If the called subprogram returns an aggregate, there exists an
1749      implicit first argument, whose value is the address of a caller-
1750      allocated buffer into which the callee is assumed to store its
1751      return value. All explicit parameters are appropriately
1752      relabeled.  */
1753   if (TYPE_CODE (valtype) == TYPE_CODE_STRUCT
1754       || TYPE_CODE (valtype) == TYPE_CODE_UNION
1755       || TYPE_CODE (valtype) == TYPE_CODE_ARRAY)
1756     return RETURN_VALUE_STRUCT_CONVENTION;
1757
1758   /* Scalar floating-point values are returned in FPR1 for float or
1759      double, and in FPR1:FPR2 for quadword precision.  Fortran
1760      complex*8 and complex*16 are returned in FPR1:FPR2, and
1761      complex*32 is returned in FPR1:FPR4.  */
1762   if (TYPE_CODE (valtype) == TYPE_CODE_FLT
1763       && (TYPE_LENGTH (valtype) == 4 || TYPE_LENGTH (valtype) == 8))
1764     {
1765       struct type *regtype = register_type (gdbarch, tdep->ppc_fp0_regnum);
1766       gdb_byte regval[8];
1767
1768       /* FIXME: kettenis/2007-01-01: Add support for quadword
1769          precision and complex.  */
1770
1771       if (readbuf)
1772         {
1773           regcache_cooked_read (regcache, tdep->ppc_fp0_regnum + 1, regval);
1774           convert_typed_floating (regval, regtype, readbuf, valtype);
1775         }
1776       if (writebuf)
1777         {
1778           convert_typed_floating (writebuf, valtype, regval, regtype);
1779           regcache_cooked_write (regcache, tdep->ppc_fp0_regnum + 1, regval);
1780         }
1781
1782       return RETURN_VALUE_REGISTER_CONVENTION;
1783   }
1784
1785   /* Values of the types int, long, short, pointer, and char (length
1786      is less than or equal to four bytes), as well as bit values of
1787      lengths less than or equal to 32 bits, must be returned right
1788      justified in GPR3 with signed values sign extended and unsigned
1789      values zero extended, as necessary.  */
1790   if (TYPE_LENGTH (valtype) <= tdep->wordsize)
1791     {
1792       if (readbuf)
1793         {
1794           ULONGEST regval;
1795
1796           /* For reading we don't have to worry about sign extension.  */
1797           regcache_cooked_read_unsigned (regcache, tdep->ppc_gp0_regnum + 3,
1798                                          &regval);
1799           store_unsigned_integer (readbuf, TYPE_LENGTH (valtype), regval);
1800         }
1801       if (writebuf)
1802         {
1803           /* For writing, use unpack_long since that should handle any
1804              required sign extension.  */
1805           regcache_cooked_write_unsigned (regcache, tdep->ppc_gp0_regnum + 3,
1806                                           unpack_long (valtype, writebuf));
1807         }
1808
1809       return RETURN_VALUE_REGISTER_CONVENTION;
1810     }
1811
1812   /* Eight-byte non-floating-point scalar values must be returned in
1813      GPR3:GPR4.  */
1814
1815   if (TYPE_LENGTH (valtype) == 8)
1816     {
1817       gdb_assert (TYPE_CODE (valtype) != TYPE_CODE_FLT);
1818       gdb_assert (tdep->wordsize == 4);
1819
1820       if (readbuf)
1821         {
1822           gdb_byte regval[8];
1823
1824           regcache_cooked_read (regcache, tdep->ppc_gp0_regnum + 3, regval);
1825           regcache_cooked_read (regcache, tdep->ppc_gp0_regnum + 4,
1826                                 regval + 4);
1827           memcpy (readbuf, regval, 8);
1828         }
1829       if (writebuf)
1830         {
1831           regcache_cooked_write (regcache, tdep->ppc_gp0_regnum + 3, writebuf);
1832           regcache_cooked_write (regcache, tdep->ppc_gp0_regnum + 4,
1833                                  writebuf + 4);
1834         }
1835
1836       return RETURN_VALUE_REGISTER_CONVENTION;
1837     }
1838
1839   return RETURN_VALUE_STRUCT_CONVENTION;
1840 }
1841
1842 /* Return whether handle_inferior_event() should proceed through code
1843    starting at PC in function NAME when stepping.
1844
1845    The AIX -bbigtoc linker option generates functions @FIX0, @FIX1, etc. to
1846    handle memory references that are too distant to fit in instructions
1847    generated by the compiler.  For example, if 'foo' in the following
1848    instruction:
1849
1850      lwz r9,foo(r2)
1851
1852    is greater than 32767, the linker might replace the lwz with a branch to
1853    somewhere in @FIX1 that does the load in 2 instructions and then branches
1854    back to where execution should continue.
1855
1856    GDB should silently step over @FIX code, just like AIX dbx does.
1857    Unfortunately, the linker uses the "b" instruction for the
1858    branches, meaning that the link register doesn't get set.
1859    Therefore, GDB's usual step_over_function () mechanism won't work.
1860
1861    Instead, use the IN_SOLIB_RETURN_TRAMPOLINE and
1862    SKIP_TRAMPOLINE_CODE hooks in handle_inferior_event() to skip past
1863    @FIX code.  */
1864
1865 int
1866 rs6000_in_solib_return_trampoline (CORE_ADDR pc, char *name)
1867 {
1868   return name && !strncmp (name, "@FIX", 4);
1869 }
1870
1871 /* Skip code that the user doesn't want to see when stepping:
1872
1873    1. Indirect function calls use a piece of trampoline code to do context
1874    switching, i.e. to set the new TOC table.  Skip such code if we are on
1875    its first instruction (as when we have single-stepped to here).
1876
1877    2. Skip shared library trampoline code (which is different from
1878    indirect function call trampolines).
1879
1880    3. Skip bigtoc fixup code.
1881
1882    Result is desired PC to step until, or NULL if we are not in
1883    code that should be skipped.  */
1884
1885 CORE_ADDR
1886 rs6000_skip_trampoline_code (CORE_ADDR pc)
1887 {
1888   unsigned int ii, op;
1889   int rel;
1890   CORE_ADDR solib_target_pc;
1891   struct minimal_symbol *msymbol;
1892
1893   static unsigned trampoline_code[] =
1894   {
1895     0x800b0000,                 /*     l   r0,0x0(r11)  */
1896     0x90410014,                 /*    st   r2,0x14(r1)  */
1897     0x7c0903a6,                 /* mtctr   r0           */
1898     0x804b0004,                 /*     l   r2,0x4(r11)  */
1899     0x816b0008,                 /*     l  r11,0x8(r11)  */
1900     0x4e800420,                 /*  bctr                */
1901     0x4e800020,                 /*    br                */
1902     0
1903   };
1904
1905   /* Check for bigtoc fixup code.  */
1906   msymbol = lookup_minimal_symbol_by_pc (pc);
1907   if (msymbol 
1908       && rs6000_in_solib_return_trampoline (pc, 
1909                                             DEPRECATED_SYMBOL_NAME (msymbol)))
1910     {
1911       /* Double-check that the third instruction from PC is relative "b".  */
1912       op = read_memory_integer (pc + 8, 4);
1913       if ((op & 0xfc000003) == 0x48000000)
1914         {
1915           /* Extract bits 6-29 as a signed 24-bit relative word address and
1916              add it to the containing PC.  */
1917           rel = ((int)(op << 6) >> 6);
1918           return pc + 8 + rel;
1919         }
1920     }
1921
1922   /* If pc is in a shared library trampoline, return its target.  */
1923   solib_target_pc = find_solib_trampoline_target (pc);
1924   if (solib_target_pc)
1925     return solib_target_pc;
1926
1927   for (ii = 0; trampoline_code[ii]; ++ii)
1928     {
1929       op = read_memory_integer (pc + (ii * 4), 4);
1930       if (op != trampoline_code[ii])
1931         return 0;
1932     }
1933   ii = read_register (11);      /* r11 holds destination addr   */
1934   pc = read_memory_addr (ii, gdbarch_tdep (current_gdbarch)->wordsize); /* (r11) value */
1935   return pc;
1936 }
1937
1938 /* Return the size of register REG when words are WORDSIZE bytes long.  If REG
1939    isn't available with that word size, return 0.  */
1940
1941 static int
1942 regsize (const struct reg *reg, int wordsize)
1943 {
1944   return wordsize == 8 ? reg->sz64 : reg->sz32;
1945 }
1946
1947 /* Return the name of register number N, or null if no such register exists
1948    in the current architecture.  */
1949
1950 static const char *
1951 rs6000_register_name (int n)
1952 {
1953   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
1954   const struct reg *reg = tdep->regs + n;
1955
1956   if (!regsize (reg, tdep->wordsize))
1957     return NULL;
1958   return reg->name;
1959 }
1960
1961 /* Return the GDB type object for the "standard" data type
1962    of data in register N.  */
1963
1964 static struct type *
1965 rs6000_register_type (struct gdbarch *gdbarch, int n)
1966 {
1967   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
1968   const struct reg *reg = tdep->regs + n;
1969
1970   if (reg->fpr)
1971     return builtin_type_double;
1972   else
1973     {
1974       int size = regsize (reg, tdep->wordsize);
1975       switch (size)
1976         {
1977         case 0:
1978           return builtin_type_int0;
1979         case 4:
1980           return builtin_type_uint32;
1981         case 8:
1982           if (tdep->ppc_ev0_regnum <= n && n <= tdep->ppc_ev31_regnum)
1983             return builtin_type_vec64;
1984           else
1985             return builtin_type_uint64;
1986           break;
1987         case 16:
1988           return builtin_type_vec128;
1989           break;
1990         default:
1991           internal_error (__FILE__, __LINE__, _("Register %d size %d unknown"),
1992                           n, size);
1993         }
1994     }
1995 }
1996
1997 /* Is REGNUM a member of REGGROUP?  */
1998 static int
1999 rs6000_register_reggroup_p (struct gdbarch *gdbarch, int regnum,
2000                             struct reggroup *group)
2001 {
2002   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
2003   int float_p;
2004   int vector_p;
2005   int general_p;
2006
2007   if (REGISTER_NAME (regnum) == NULL
2008       || *REGISTER_NAME (regnum) == '\0')
2009     return 0;
2010   if (group == all_reggroup)
2011     return 1;
2012
2013   float_p = (regnum == tdep->ppc_fpscr_regnum
2014              || (regnum >= tdep->ppc_fp0_regnum
2015                  && regnum < tdep->ppc_fp0_regnum + 32));
2016   if (group == float_reggroup)
2017     return float_p;
2018
2019   vector_p = ((tdep->ppc_vr0_regnum >= 0
2020                && regnum >= tdep->ppc_vr0_regnum
2021                && regnum < tdep->ppc_vr0_regnum + 32)
2022               || (tdep->ppc_ev0_regnum >= 0
2023                   && regnum >= tdep->ppc_ev0_regnum
2024                   && regnum < tdep->ppc_ev0_regnum + 32)
2025               || regnum == tdep->ppc_vrsave_regnum - 1 /* vscr */
2026               || regnum == tdep->ppc_vrsave_regnum
2027               || regnum == tdep->ppc_acc_regnum
2028               || regnum == tdep->ppc_spefscr_regnum);
2029   if (group == vector_reggroup)
2030     return vector_p;
2031
2032   /* Note that PS aka MSR isn't included - it's a system register (and
2033      besides, due to GCC's CFI foobar you do not want to restore
2034      it).  */
2035   general_p = ((regnum >= tdep->ppc_gp0_regnum
2036                 && regnum < tdep->ppc_gp0_regnum + 32)
2037                || regnum == tdep->ppc_toc_regnum
2038                || regnum == tdep->ppc_cr_regnum
2039                || regnum == tdep->ppc_lr_regnum
2040                || regnum == tdep->ppc_ctr_regnum
2041                || regnum == tdep->ppc_xer_regnum
2042                || regnum == PC_REGNUM);
2043   if (group == general_reggroup)
2044     return general_p;
2045
2046   if (group == save_reggroup || group == restore_reggroup)
2047     return general_p || vector_p || float_p;
2048
2049   return 0;   
2050 }
2051
2052 /* The register format for RS/6000 floating point registers is always
2053    double, we need a conversion if the memory format is float.  */
2054
2055 static int
2056 rs6000_convert_register_p (int regnum, struct type *type)
2057 {
2058   const struct reg *reg = gdbarch_tdep (current_gdbarch)->regs + regnum;
2059   
2060   return (reg->fpr
2061           && TYPE_CODE (type) == TYPE_CODE_FLT
2062           && TYPE_LENGTH (type) != TYPE_LENGTH (builtin_type_double));
2063 }
2064
2065 static void
2066 rs6000_register_to_value (struct frame_info *frame,
2067                           int regnum,
2068                           struct type *type,
2069                           gdb_byte *to)
2070 {
2071   const struct reg *reg = gdbarch_tdep (current_gdbarch)->regs + regnum;
2072   gdb_byte from[MAX_REGISTER_SIZE];
2073   
2074   gdb_assert (reg->fpr);
2075   gdb_assert (TYPE_CODE (type) == TYPE_CODE_FLT);
2076
2077   get_frame_register (frame, regnum, from);
2078   convert_typed_floating (from, builtin_type_double, to, type);
2079 }
2080
2081 static void
2082 rs6000_value_to_register (struct frame_info *frame,
2083                           int regnum,
2084                           struct type *type,
2085                           const gdb_byte *from)
2086 {
2087   const struct reg *reg = gdbarch_tdep (current_gdbarch)->regs + regnum;
2088   gdb_byte to[MAX_REGISTER_SIZE];
2089
2090   gdb_assert (reg->fpr);
2091   gdb_assert (TYPE_CODE (type) == TYPE_CODE_FLT);
2092
2093   convert_typed_floating (from, type, to, builtin_type_double);
2094   put_frame_register (frame, regnum, to);
2095 }
2096
2097 /* Move SPE vector register values between a 64-bit buffer and the two
2098    32-bit raw register halves in a regcache.  This function handles
2099    both splitting a 64-bit value into two 32-bit halves, and joining
2100    two halves into a whole 64-bit value, depending on the function
2101    passed as the MOVE argument.
2102
2103    EV_REG must be the number of an SPE evN vector register --- a
2104    pseudoregister.  REGCACHE must be a regcache, and BUFFER must be a
2105    64-bit buffer.
2106
2107    Call MOVE once for each 32-bit half of that register, passing
2108    REGCACHE, the number of the raw register corresponding to that
2109    half, and the address of the appropriate half of BUFFER.
2110
2111    For example, passing 'regcache_raw_read' as the MOVE function will
2112    fill BUFFER with the full 64-bit contents of EV_REG.  Or, passing
2113    'regcache_raw_supply' will supply the contents of BUFFER to the
2114    appropriate pair of raw registers in REGCACHE.
2115
2116    You may need to cast away some 'const' qualifiers when passing
2117    MOVE, since this function can't tell at compile-time which of
2118    REGCACHE or BUFFER is acting as the source of the data.  If C had
2119    co-variant type qualifiers, ...  */
2120 static void
2121 e500_move_ev_register (void (*move) (struct regcache *regcache,
2122                                      int regnum, gdb_byte *buf),
2123                        struct regcache *regcache, int ev_reg,
2124                        gdb_byte *buffer)
2125 {
2126   struct gdbarch *arch = get_regcache_arch (regcache);
2127   struct gdbarch_tdep *tdep = gdbarch_tdep (arch); 
2128   int reg_index;
2129   gdb_byte *byte_buffer = buffer;
2130
2131   gdb_assert (tdep->ppc_ev0_regnum <= ev_reg
2132               && ev_reg < tdep->ppc_ev0_regnum + ppc_num_gprs);
2133
2134   reg_index = ev_reg - tdep->ppc_ev0_regnum;
2135
2136   if (TARGET_BYTE_ORDER == BFD_ENDIAN_BIG)
2137     {
2138       move (regcache, tdep->ppc_ev0_upper_regnum + reg_index, byte_buffer);
2139       move (regcache, tdep->ppc_gp0_regnum + reg_index, byte_buffer + 4);
2140     }
2141   else
2142     {
2143       move (regcache, tdep->ppc_gp0_regnum + reg_index, byte_buffer);
2144       move (regcache, tdep->ppc_ev0_upper_regnum + reg_index, byte_buffer + 4);
2145     }
2146 }
2147
2148 static void
2149 e500_pseudo_register_read (struct gdbarch *gdbarch, struct regcache *regcache,
2150                            int reg_nr, gdb_byte *buffer)
2151 {
2152   struct gdbarch *regcache_arch = get_regcache_arch (regcache);
2153   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch); 
2154
2155   gdb_assert (regcache_arch == gdbarch);
2156  
2157   if (tdep->ppc_ev0_regnum <= reg_nr
2158       && reg_nr < tdep->ppc_ev0_regnum + ppc_num_gprs)
2159     e500_move_ev_register (regcache_raw_read, regcache, reg_nr, buffer);
2160   else
2161     internal_error (__FILE__, __LINE__,
2162                     _("e500_pseudo_register_read: "
2163                     "called on unexpected register '%s' (%d)"),
2164                     gdbarch_register_name (gdbarch, reg_nr), reg_nr);
2165 }
2166
2167 static void
2168 e500_pseudo_register_write (struct gdbarch *gdbarch, struct regcache *regcache,
2169                             int reg_nr, const gdb_byte *buffer)
2170 {
2171   struct gdbarch *regcache_arch = get_regcache_arch (regcache);
2172   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch); 
2173
2174   gdb_assert (regcache_arch == gdbarch);
2175  
2176   if (tdep->ppc_ev0_regnum <= reg_nr
2177       && reg_nr < tdep->ppc_ev0_regnum + ppc_num_gprs)
2178     e500_move_ev_register ((void (*) (struct regcache *, int, gdb_byte *))
2179                            regcache_raw_write,
2180                            regcache, reg_nr, (gdb_byte *) buffer);
2181   else
2182     internal_error (__FILE__, __LINE__,
2183                     _("e500_pseudo_register_read: "
2184                     "called on unexpected register '%s' (%d)"),
2185                     gdbarch_register_name (gdbarch, reg_nr), reg_nr);
2186 }
2187
2188 /* The E500 needs a custom reggroup function: it has anonymous raw
2189    registers, and default_register_reggroup_p assumes that anonymous
2190    registers are not members of any reggroup.  */
2191 static int
2192 e500_register_reggroup_p (struct gdbarch *gdbarch,
2193                           int regnum,
2194                           struct reggroup *group)
2195 {
2196   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
2197
2198   /* The save and restore register groups need to include the
2199      upper-half registers, even though they're anonymous.  */
2200   if ((group == save_reggroup
2201        || group == restore_reggroup)
2202       && (tdep->ppc_ev0_upper_regnum <= regnum
2203           && regnum < tdep->ppc_ev0_upper_regnum + ppc_num_gprs))
2204     return 1;
2205
2206   /* In all other regards, the default reggroup definition is fine.  */
2207   return default_register_reggroup_p (gdbarch, regnum, group);
2208 }
2209
2210 /* Convert a DBX STABS register number to a GDB register number.  */
2211 static int
2212 rs6000_stab_reg_to_regnum (int num)
2213 {
2214   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
2215
2216   if (0 <= num && num <= 31)
2217     return tdep->ppc_gp0_regnum + num;
2218   else if (32 <= num && num <= 63)
2219     /* FIXME: jimb/2004-05-05: What should we do when the debug info
2220        specifies registers the architecture doesn't have?  Our
2221        callers don't check the value we return.  */
2222     return tdep->ppc_fp0_regnum + (num - 32);
2223   else if (77 <= num && num <= 108)
2224     return tdep->ppc_vr0_regnum + (num - 77);
2225   else if (1200 <= num && num < 1200 + 32)
2226     return tdep->ppc_ev0_regnum + (num - 1200);
2227   else
2228     switch (num)
2229       {
2230       case 64: 
2231         return tdep->ppc_mq_regnum;
2232       case 65:
2233         return tdep->ppc_lr_regnum;
2234       case 66: 
2235         return tdep->ppc_ctr_regnum;
2236       case 76: 
2237         return tdep->ppc_xer_regnum;
2238       case 109:
2239         return tdep->ppc_vrsave_regnum;
2240       case 110:
2241         return tdep->ppc_vrsave_regnum - 1; /* vscr */
2242       case 111:
2243         return tdep->ppc_acc_regnum;
2244       case 112:
2245         return tdep->ppc_spefscr_regnum;
2246       default: 
2247         return num;
2248       }
2249 }
2250
2251
2252 /* Convert a Dwarf 2 register number to a GDB register number.  */
2253 static int
2254 rs6000_dwarf2_reg_to_regnum (int num)
2255 {
2256   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
2257
2258   if (0 <= num && num <= 31)
2259     return tdep->ppc_gp0_regnum + num;
2260   else if (32 <= num && num <= 63)
2261     /* FIXME: jimb/2004-05-05: What should we do when the debug info
2262        specifies registers the architecture doesn't have?  Our
2263        callers don't check the value we return.  */
2264     return tdep->ppc_fp0_regnum + (num - 32);
2265   else if (1124 <= num && num < 1124 + 32)
2266     return tdep->ppc_vr0_regnum + (num - 1124);
2267   else if (1200 <= num && num < 1200 + 32)
2268     return tdep->ppc_ev0_regnum + (num - 1200);
2269   else
2270     switch (num)
2271       {
2272       case 64:
2273         return tdep->ppc_cr_regnum;
2274       case 67:
2275         return tdep->ppc_vrsave_regnum - 1; /* vscr */
2276       case 99:
2277         return tdep->ppc_acc_regnum;
2278       case 100:
2279         return tdep->ppc_mq_regnum;
2280       case 101:
2281         return tdep->ppc_xer_regnum;
2282       case 108:
2283         return tdep->ppc_lr_regnum;
2284       case 109:
2285         return tdep->ppc_ctr_regnum;
2286       case 356:
2287         return tdep->ppc_vrsave_regnum;
2288       case 612:
2289         return tdep->ppc_spefscr_regnum;
2290       default:
2291         return num;
2292       }
2293 }
2294
2295 /* Translate a .eh_frame register to DWARF register, or adjust a
2296    .debug_frame register.  */
2297
2298 static int
2299 rs6000_adjust_frame_regnum (struct gdbarch *gdbarch, int num, int eh_frame_p)
2300 {
2301   /* GCC releases before 3.4 use GCC internal register numbering in
2302      .debug_frame (and .debug_info, et cetera).  The numbering is
2303      different from the standard SysV numbering for everything except
2304      for GPRs and FPRs.  We can not detect this problem in most cases
2305      - to get accurate debug info for variables living in lr, ctr, v0,
2306      et cetera, use a newer version of GCC.  But we must detect
2307      one important case - lr is in column 65 in .debug_frame output,
2308      instead of 108.
2309
2310      GCC 3.4, and the "hammer" branch, have a related problem.  They
2311      record lr register saves in .debug_frame as 108, but still record
2312      the return column as 65.  We fix that up too.
2313
2314      We can do this because 65 is assigned to fpsr, and GCC never
2315      generates debug info referring to it.  To add support for
2316      handwritten debug info that restores fpsr, we would need to add a
2317      producer version check to this.  */
2318   if (!eh_frame_p)
2319     {
2320       if (num == 65)
2321         return 108;
2322       else
2323         return num;
2324     }
2325
2326   /* .eh_frame is GCC specific.  For binary compatibility, it uses GCC
2327      internal register numbering; translate that to the standard DWARF2
2328      register numbering.  */
2329   if (0 <= num && num <= 63)    /* r0-r31,fp0-fp31 */
2330     return num;
2331   else if (68 <= num && num <= 75) /* cr0-cr8 */
2332     return num - 68 + 86;
2333   else if (77 <= num && num <= 108) /* vr0-vr31 */
2334     return num - 77 + 1124;
2335   else
2336     switch (num)
2337       {
2338       case 64: /* mq */
2339         return 100;
2340       case 65: /* lr */
2341         return 108;
2342       case 66: /* ctr */
2343         return 109;
2344       case 76: /* xer */
2345         return 101;
2346       case 109: /* vrsave */
2347         return 356;
2348       case 110: /* vscr */
2349         return 67;
2350       case 111: /* spe_acc */
2351         return 99;
2352       case 112: /* spefscr */
2353         return 612;
2354       default:
2355         return num;
2356       }
2357 }
2358 \f
2359 /* Support for CONVERT_FROM_FUNC_PTR_ADDR (ARCH, ADDR, TARG).
2360
2361    Usually a function pointer's representation is simply the address
2362    of the function. On the RS/6000 however, a function pointer is
2363    represented by a pointer to an OPD entry. This OPD entry contains
2364    three words, the first word is the address of the function, the
2365    second word is the TOC pointer (r2), and the third word is the
2366    static chain value.  Throughout GDB it is currently assumed that a
2367    function pointer contains the address of the function, which is not
2368    easy to fix.  In addition, the conversion of a function address to
2369    a function pointer would require allocation of an OPD entry in the
2370    inferior's memory space, with all its drawbacks.  To be able to
2371    call C++ virtual methods in the inferior (which are called via
2372    function pointers), find_function_addr uses this function to get the
2373    function address from a function pointer.  */
2374
2375 /* Return real function address if ADDR (a function pointer) is in the data
2376    space and is therefore a special function pointer.  */
2377
2378 static CORE_ADDR
2379 rs6000_convert_from_func_ptr_addr (struct gdbarch *gdbarch,
2380                                    CORE_ADDR addr,
2381                                    struct target_ops *targ)
2382 {
2383   struct obj_section *s;
2384
2385   s = find_pc_section (addr);
2386   if (s && s->the_bfd_section->flags & SEC_CODE)
2387     return addr;
2388
2389   /* ADDR is in the data space, so it's a special function pointer. */
2390   return read_memory_addr (addr, gdbarch_tdep (gdbarch)->wordsize);
2391 }
2392 \f
2393
2394 /* Handling the various POWER/PowerPC variants.  */
2395
2396
2397 /* The arrays here called registers_MUMBLE hold information about available
2398    registers.
2399
2400    For each family of PPC variants, I've tried to isolate out the
2401    common registers and put them up front, so that as long as you get
2402    the general family right, GDB will correctly identify the registers
2403    common to that family.  The common register sets are:
2404
2405    For the 60x family: hid0 hid1 iabr dabr pir
2406
2407    For the 505 and 860 family: eie eid nri
2408
2409    For the 403 and 403GC: icdbdr esr dear evpr cdbcr tsr tcr pit tbhi
2410    tblo srr2 srr3 dbsr dbcr iac1 iac2 dac1 dac2 dccr iccr pbl1
2411    pbu1 pbl2 pbu2
2412
2413    Most of these register groups aren't anything formal.  I arrived at
2414    them by looking at the registers that occurred in more than one
2415    processor.
2416    
2417    Note: kevinb/2002-04-30: Support for the fpscr register was added
2418    during April, 2002.  Slot 70 is being used for PowerPC and slot 71
2419    for Power.  For PowerPC, slot 70 was unused and was already in the
2420    PPC_UISA_SPRS which is ideally where fpscr should go.  For Power,
2421    slot 70 was being used for "mq", so the next available slot (71)
2422    was chosen.  It would have been nice to be able to make the
2423    register numbers the same across processor cores, but this wasn't
2424    possible without either 1) renumbering some registers for some
2425    processors or 2) assigning fpscr to a really high slot that's
2426    larger than any current register number.  Doing (1) is bad because
2427    existing stubs would break.  Doing (2) is undesirable because it
2428    would introduce a really large gap between fpscr and the rest of
2429    the registers for most processors.  */
2430
2431 /* Convenience macros for populating register arrays.  */
2432
2433 /* Within another macro, convert S to a string.  */
2434
2435 #define STR(s)  #s
2436
2437 /* Return a struct reg defining register NAME that's 32 bits on 32-bit systems
2438    and 64 bits on 64-bit systems.  */
2439 #define R(name)         { STR(name), 4, 8, 0, 0, -1 }
2440
2441 /* Return a struct reg defining register NAME that's 32 bits on all
2442    systems.  */
2443 #define R4(name)        { STR(name), 4, 4, 0, 0, -1 }
2444
2445 /* Return a struct reg defining register NAME that's 64 bits on all
2446    systems.  */
2447 #define R8(name)        { STR(name), 8, 8, 0, 0, -1 }
2448
2449 /* Return a struct reg defining register NAME that's 128 bits on all
2450    systems.  */
2451 #define R16(name)       { STR(name), 16, 16, 0, 0, -1 }
2452
2453 /* Return a struct reg defining floating-point register NAME.  */
2454 #define F(name)         { STR(name), 8, 8, 1, 0, -1 }
2455
2456 /* Return a struct reg defining a pseudo register NAME that is 64 bits
2457    long on all systems.  */
2458 #define P8(name)        { STR(name), 8, 8, 0, 1, -1 }
2459
2460 /* Return a struct reg defining register NAME that's 32 bits on 32-bit
2461    systems and that doesn't exist on 64-bit systems.  */
2462 #define R32(name)       { STR(name), 4, 0, 0, 0, -1 }
2463
2464 /* Return a struct reg defining register NAME that's 64 bits on 64-bit
2465    systems and that doesn't exist on 32-bit systems.  */
2466 #define R64(name)       { STR(name), 0, 8, 0, 0, -1 }
2467
2468 /* Return a struct reg placeholder for a register that doesn't exist.  */
2469 #define R0              { 0, 0, 0, 0, 0, -1 }
2470
2471 /* Return a struct reg defining an anonymous raw register that's 32
2472    bits on all systems.  */
2473 #define A4              { 0, 4, 4, 0, 0, -1 }
2474
2475 /* Return a struct reg defining an SPR named NAME that is 32 bits on
2476    32-bit systems and 64 bits on 64-bit systems.  */
2477 #define S(name)         { STR(name), 4, 8, 0, 0, ppc_spr_ ## name }
2478   
2479 /* Return a struct reg defining an SPR named NAME that is 32 bits on
2480    all systems.  */
2481 #define S4(name)        { STR(name), 4, 4, 0, 0, ppc_spr_ ## name }
2482   
2483 /* Return a struct reg defining an SPR named NAME that is 32 bits on
2484    all systems, and whose SPR number is NUMBER.  */
2485 #define SN4(name, number) { STR(name), 4, 4, 0, 0, (number) }
2486   
2487 /* Return a struct reg defining an SPR named NAME that's 64 bits on
2488    64-bit systems and that doesn't exist on 32-bit systems.  */
2489 #define S64(name)       { STR(name), 0, 8, 0, 0, ppc_spr_ ## name }
2490   
2491 /* UISA registers common across all architectures, including POWER.  */
2492
2493 #define COMMON_UISA_REGS \
2494   /*  0 */ R(r0), R(r1), R(r2), R(r3), R(r4), R(r5), R(r6), R(r7),  \
2495   /*  8 */ R(r8), R(r9), R(r10),R(r11),R(r12),R(r13),R(r14),R(r15), \
2496   /* 16 */ R(r16),R(r17),R(r18),R(r19),R(r20),R(r21),R(r22),R(r23), \
2497   /* 24 */ R(r24),R(r25),R(r26),R(r27),R(r28),R(r29),R(r30),R(r31), \
2498   /* 32 */ F(f0), F(f1), F(f2), F(f3), F(f4), F(f5), F(f6), F(f7),  \
2499   /* 40 */ F(f8), F(f9), F(f10),F(f11),F(f12),F(f13),F(f14),F(f15), \
2500   /* 48 */ F(f16),F(f17),F(f18),F(f19),F(f20),F(f21),F(f22),F(f23), \
2501   /* 56 */ F(f24),F(f25),F(f26),F(f27),F(f28),F(f29),F(f30),F(f31), \
2502   /* 64 */ R(pc), R(ps)
2503
2504 /* UISA-level SPRs for PowerPC.  */
2505 #define PPC_UISA_SPRS \
2506   /* 66 */ R4(cr),  S(lr), S(ctr), S4(xer), R4(fpscr)
2507
2508 /* UISA-level SPRs for PowerPC without floating point support.  */
2509 #define PPC_UISA_NOFP_SPRS \
2510   /* 66 */ R4(cr),  S(lr), S(ctr), S4(xer), R0
2511
2512 /* Segment registers, for PowerPC.  */
2513 #define PPC_SEGMENT_REGS \
2514   /* 71 */ R32(sr0),  R32(sr1),  R32(sr2),  R32(sr3),  \
2515   /* 75 */ R32(sr4),  R32(sr5),  R32(sr6),  R32(sr7),  \
2516   /* 79 */ R32(sr8),  R32(sr9),  R32(sr10), R32(sr11), \
2517   /* 83 */ R32(sr12), R32(sr13), R32(sr14), R32(sr15)
2518
2519 /* OEA SPRs for PowerPC.  */
2520 #define PPC_OEA_SPRS \
2521   /*  87 */ S4(pvr), \
2522   /*  88 */ S(ibat0u), S(ibat0l), S(ibat1u), S(ibat1l), \
2523   /*  92 */ S(ibat2u), S(ibat2l), S(ibat3u), S(ibat3l), \
2524   /*  96 */ S(dbat0u), S(dbat0l), S(dbat1u), S(dbat1l), \
2525   /* 100 */ S(dbat2u), S(dbat2l), S(dbat3u), S(dbat3l), \
2526   /* 104 */ S(sdr1),   S64(asr),  S(dar),    S4(dsisr), \
2527   /* 108 */ S(sprg0),  S(sprg1),  S(sprg2),  S(sprg3),  \
2528   /* 112 */ S(srr0),   S(srr1),   S(tbl),    S(tbu),    \
2529   /* 116 */ S4(dec),   S(dabr),   S4(ear)
2530
2531 /* AltiVec registers.  */
2532 #define PPC_ALTIVEC_REGS \
2533   /*119*/R16(vr0), R16(vr1), R16(vr2), R16(vr3), R16(vr4), R16(vr5), R16(vr6), R16(vr7),  \
2534   /*127*/R16(vr8), R16(vr9), R16(vr10),R16(vr11),R16(vr12),R16(vr13),R16(vr14),R16(vr15), \
2535   /*135*/R16(vr16),R16(vr17),R16(vr18),R16(vr19),R16(vr20),R16(vr21),R16(vr22),R16(vr23), \
2536   /*143*/R16(vr24),R16(vr25),R16(vr26),R16(vr27),R16(vr28),R16(vr29),R16(vr30),R16(vr31), \
2537   /*151*/R4(vscr), R4(vrsave)
2538
2539
2540 /* On machines supporting the SPE APU, the general-purpose registers
2541    are 64 bits long.  There are SIMD vector instructions to treat them
2542    as pairs of floats, but the rest of the instruction set treats them
2543    as 32-bit registers, and only operates on their lower halves.
2544
2545    In the GDB regcache, we treat their high and low halves as separate
2546    registers.  The low halves we present as the general-purpose
2547    registers, and then we have pseudo-registers that stitch together
2548    the upper and lower halves and present them as pseudo-registers.  */
2549
2550 /* SPE GPR lower halves --- raw registers.  */
2551 #define PPC_SPE_GP_REGS \
2552   /*  0 */ R4(r0), R4(r1), R4(r2), R4(r3), R4(r4), R4(r5), R4(r6), R4(r7),  \
2553   /*  8 */ R4(r8), R4(r9), R4(r10),R4(r11),R4(r12),R4(r13),R4(r14),R4(r15), \
2554   /* 16 */ R4(r16),R4(r17),R4(r18),R4(r19),R4(r20),R4(r21),R4(r22),R4(r23), \
2555   /* 24 */ R4(r24),R4(r25),R4(r26),R4(r27),R4(r28),R4(r29),R4(r30),R4(r31)
2556
2557 /* SPE GPR upper halves --- anonymous raw registers.  */
2558 #define PPC_SPE_UPPER_GP_REGS                   \
2559   /*  0 */ A4, A4, A4, A4, A4, A4, A4, A4,      \
2560   /*  8 */ A4, A4, A4, A4, A4, A4, A4, A4,      \
2561   /* 16 */ A4, A4, A4, A4, A4, A4, A4, A4,      \
2562   /* 24 */ A4, A4, A4, A4, A4, A4, A4, A4
2563
2564 /* SPE GPR vector registers --- pseudo registers based on underlying
2565    gprs and the anonymous upper half raw registers.  */
2566 #define PPC_EV_PSEUDO_REGS \
2567 /* 0*/P8(ev0), P8(ev1), P8(ev2), P8(ev3), P8(ev4), P8(ev5), P8(ev6), P8(ev7), \
2568 /* 8*/P8(ev8), P8(ev9), P8(ev10),P8(ev11),P8(ev12),P8(ev13),P8(ev14),P8(ev15),\
2569 /*16*/P8(ev16),P8(ev17),P8(ev18),P8(ev19),P8(ev20),P8(ev21),P8(ev22),P8(ev23),\
2570 /*24*/P8(ev24),P8(ev25),P8(ev26),P8(ev27),P8(ev28),P8(ev29),P8(ev30),P8(ev31)
2571
2572 /* IBM POWER (pre-PowerPC) architecture, user-level view.  We only cover
2573    user-level SPR's.  */
2574 static const struct reg registers_power[] =
2575 {
2576   COMMON_UISA_REGS,
2577   /* 66 */ R4(cnd), S(lr), S(cnt), S4(xer), S4(mq),
2578   /* 71 */ R4(fpscr)
2579 };
2580
2581 /* PowerPC UISA - a PPC processor as viewed by user-level code.  A UISA-only
2582    view of the PowerPC.  */
2583 static const struct reg registers_powerpc[] =
2584 {
2585   COMMON_UISA_REGS,
2586   PPC_UISA_SPRS,
2587   PPC_ALTIVEC_REGS
2588 };
2589
2590 /* IBM PowerPC 403.
2591
2592    Some notes about the "tcr" special-purpose register:
2593    - On the 403 and 403GC, SPR 986 is named "tcr", and it controls the
2594      403's programmable interval timer, fixed interval timer, and
2595      watchdog timer.
2596    - On the 602, SPR 984 is named "tcr", and it controls the 602's
2597      watchdog timer, and nothing else.
2598
2599    Some of the fields are similar between the two, but they're not
2600    compatible with each other.  Since the two variants have different
2601    registers, with different numbers, but the same name, we can't
2602    splice the register name to get the SPR number.  */
2603 static const struct reg registers_403[] =
2604 {
2605   COMMON_UISA_REGS,
2606   PPC_UISA_SPRS,
2607   PPC_SEGMENT_REGS,
2608   PPC_OEA_SPRS,
2609   /* 119 */ S(icdbdr), S(esr),  S(dear), S(evpr),
2610   /* 123 */ S(cdbcr),  S(tsr),  SN4(tcr, ppc_spr_403_tcr), S(pit),
2611   /* 127 */ S(tbhi),   S(tblo), S(srr2), S(srr3),
2612   /* 131 */ S(dbsr),   S(dbcr), S(iac1), S(iac2),
2613   /* 135 */ S(dac1),   S(dac2), S(dccr), S(iccr),
2614   /* 139 */ S(pbl1),   S(pbu1), S(pbl2), S(pbu2)
2615 };
2616
2617 /* IBM PowerPC 403GC.
2618    See the comments about 'tcr' for the 403, above.  */
2619 static const struct reg registers_403GC[] =
2620 {
2621   COMMON_UISA_REGS,
2622   PPC_UISA_SPRS,
2623   PPC_SEGMENT_REGS,
2624   PPC_OEA_SPRS,
2625   /* 119 */ S(icdbdr), S(esr),  S(dear), S(evpr),
2626   /* 123 */ S(cdbcr),  S(tsr),  SN4(tcr, ppc_spr_403_tcr), S(pit),
2627   /* 127 */ S(tbhi),   S(tblo), S(srr2), S(srr3),
2628   /* 131 */ S(dbsr),   S(dbcr), S(iac1), S(iac2),
2629   /* 135 */ S(dac1),   S(dac2), S(dccr), S(iccr),
2630   /* 139 */ S(pbl1),   S(pbu1), S(pbl2), S(pbu2),
2631   /* 143 */ S(zpr),    S(pid),  S(sgr),  S(dcwr),
2632   /* 147 */ S(tbhu),   S(tblu)
2633 };
2634
2635 /* Motorola PowerPC 505.  */
2636 static const struct reg registers_505[] =
2637 {
2638   COMMON_UISA_REGS,
2639   PPC_UISA_SPRS,
2640   PPC_SEGMENT_REGS,
2641   PPC_OEA_SPRS,
2642   /* 119 */ S(eie), S(eid), S(nri)
2643 };
2644
2645 /* Motorola PowerPC 860 or 850.  */
2646 static const struct reg registers_860[] =
2647 {
2648   COMMON_UISA_REGS,
2649   PPC_UISA_SPRS,
2650   PPC_SEGMENT_REGS,
2651   PPC_OEA_SPRS,
2652   /* 119 */ S(eie), S(eid), S(nri), S(cmpa),
2653   /* 123 */ S(cmpb), S(cmpc), S(cmpd), S(icr),
2654   /* 127 */ S(der), S(counta), S(countb), S(cmpe),
2655   /* 131 */ S(cmpf), S(cmpg), S(cmph), S(lctrl1),
2656   /* 135 */ S(lctrl2), S(ictrl), S(bar), S(ic_cst),
2657   /* 139 */ S(ic_adr), S(ic_dat), S(dc_cst), S(dc_adr),
2658   /* 143 */ S(dc_dat), S(dpdr), S(dpir), S(immr),
2659   /* 147 */ S(mi_ctr), S(mi_ap), S(mi_epn), S(mi_twc),
2660   /* 151 */ S(mi_rpn), S(md_ctr), S(m_casid), S(md_ap),
2661   /* 155 */ S(md_epn), S(m_twb), S(md_twc), S(md_rpn),
2662   /* 159 */ S(m_tw), S(mi_dbcam), S(mi_dbram0), S(mi_dbram1),
2663   /* 163 */ S(md_dbcam), S(md_dbram0), S(md_dbram1)
2664 };
2665
2666 /* Motorola PowerPC 601.  Note that the 601 has different register numbers
2667    for reading and writing RTCU and RTCL.  However, how one reads and writes a
2668    register is the stub's problem.  */
2669 static const struct reg registers_601[] =
2670 {
2671   COMMON_UISA_REGS,
2672   PPC_UISA_SPRS,
2673   PPC_SEGMENT_REGS,
2674   PPC_OEA_SPRS,
2675   /* 119 */ S(hid0), S(hid1), S(iabr), S(dabr),
2676   /* 123 */ S(pir), S(mq), S(rtcu), S(rtcl)
2677 };
2678
2679 /* Motorola PowerPC 602.
2680    See the notes under the 403 about 'tcr'.  */
2681 static const struct reg registers_602[] =
2682 {
2683   COMMON_UISA_REGS,
2684   PPC_UISA_SPRS,
2685   PPC_SEGMENT_REGS,
2686   PPC_OEA_SPRS,
2687   /* 119 */ S(hid0), S(hid1), S(iabr), R0,
2688   /* 123 */ R0, SN4(tcr, ppc_spr_602_tcr), S(ibr), S(esasrr),
2689   /* 127 */ S(sebr), S(ser), S(sp), S(lt)
2690 };
2691
2692 /* Motorola/IBM PowerPC 603 or 603e.  */
2693 static const struct reg registers_603[] =
2694 {
2695   COMMON_UISA_REGS,
2696   PPC_UISA_SPRS,
2697   PPC_SEGMENT_REGS,
2698   PPC_OEA_SPRS,
2699   /* 119 */ S(hid0), S(hid1), S(iabr), R0,
2700   /* 123 */ R0, S(dmiss), S(dcmp), S(hash1),
2701   /* 127 */ S(hash2), S(imiss), S(icmp), S(rpa)
2702 };
2703
2704 /* Motorola PowerPC 604 or 604e.  */
2705 static const struct reg registers_604[] =
2706 {
2707   COMMON_UISA_REGS,
2708   PPC_UISA_SPRS,
2709   PPC_SEGMENT_REGS,
2710   PPC_OEA_SPRS,
2711   /* 119 */ S(hid0), S(hid1), S(iabr), S(dabr),
2712   /* 123 */ S(pir), S(mmcr0), S(pmc1), S(pmc2),
2713   /* 127 */ S(sia), S(sda)
2714 };
2715
2716 /* Motorola/IBM PowerPC 750 or 740.  */
2717 static const struct reg registers_750[] =
2718 {
2719   COMMON_UISA_REGS,
2720   PPC_UISA_SPRS,
2721   PPC_SEGMENT_REGS,
2722   PPC_OEA_SPRS,
2723   /* 119 */ S(hid0), S(hid1), S(iabr), S(dabr),
2724   /* 123 */ R0, S(ummcr0), S(upmc1), S(upmc2),
2725   /* 127 */ S(usia), S(ummcr1), S(upmc3), S(upmc4),
2726   /* 131 */ S(mmcr0), S(pmc1), S(pmc2), S(sia),
2727   /* 135 */ S(mmcr1), S(pmc3), S(pmc4), S(l2cr),
2728   /* 139 */ S(ictc), S(thrm1), S(thrm2), S(thrm3)
2729 };
2730
2731
2732 /* Motorola PowerPC 7400.  */
2733 static const struct reg registers_7400[] =
2734 {
2735   /* gpr0-gpr31, fpr0-fpr31 */
2736   COMMON_UISA_REGS,
2737   /* cr, lr, ctr, xer, fpscr */
2738   PPC_UISA_SPRS,
2739   /* sr0-sr15 */
2740   PPC_SEGMENT_REGS,
2741   PPC_OEA_SPRS,
2742   /* vr0-vr31, vrsave, vscr */
2743   PPC_ALTIVEC_REGS
2744   /* FIXME? Add more registers? */
2745 };
2746
2747 /* Motorola e500.  */
2748 static const struct reg registers_e500[] =
2749 {
2750   /*   0 ..  31 */ PPC_SPE_GP_REGS,
2751   /*  32 ..  63 */ PPC_SPE_UPPER_GP_REGS,
2752   /*  64 ..  65 */ R(pc), R(ps),
2753   /*  66 ..  70 */ PPC_UISA_NOFP_SPRS,
2754   /*  71 ..  72 */ R8(acc), S4(spefscr),
2755   /* NOTE: Add new registers here the end of the raw register
2756      list and just before the first pseudo register.  */
2757   /*  73 .. 104 */ PPC_EV_PSEUDO_REGS
2758 };
2759
2760 /* Information about a particular processor variant.  */
2761
2762 struct variant
2763   {
2764     /* Name of this variant.  */
2765     char *name;
2766
2767     /* English description of the variant.  */
2768     char *description;
2769
2770     /* bfd_arch_info.arch corresponding to variant.  */
2771     enum bfd_architecture arch;
2772
2773     /* bfd_arch_info.mach corresponding to variant.  */
2774     unsigned long mach;
2775
2776     /* Number of real registers.  */
2777     int nregs;
2778
2779     /* Number of pseudo registers.  */
2780     int npregs;
2781
2782     /* Number of total registers (the sum of nregs and npregs).  */
2783     int num_tot_regs;
2784
2785     /* Table of register names; registers[R] is the name of the register
2786        number R.  */
2787     const struct reg *regs;
2788   };
2789
2790 #define tot_num_registers(list) (sizeof (list) / sizeof((list)[0]))
2791
2792 static int
2793 num_registers (const struct reg *reg_list, int num_tot_regs)
2794 {
2795   int i;
2796   int nregs = 0;
2797
2798   for (i = 0; i < num_tot_regs; i++)
2799     if (!reg_list[i].pseudo)
2800       nregs++;
2801        
2802   return nregs;
2803 }
2804
2805 static int
2806 num_pseudo_registers (const struct reg *reg_list, int num_tot_regs)
2807 {
2808   int i;
2809   int npregs = 0;
2810
2811   for (i = 0; i < num_tot_regs; i++)
2812     if (reg_list[i].pseudo)
2813       npregs ++; 
2814
2815   return npregs;
2816 }
2817
2818 /* Information in this table comes from the following web sites:
2819    IBM:       http://www.chips.ibm.com:80/products/embedded/
2820    Motorola:  http://www.mot.com/SPS/PowerPC/
2821
2822    I'm sure I've got some of the variant descriptions not quite right.
2823    Please report any inaccuracies you find to GDB's maintainer.
2824
2825    If you add entries to this table, please be sure to allow the new
2826    value as an argument to the --with-cpu flag, in configure.in.  */
2827
2828 static struct variant variants[] =
2829 {
2830
2831   {"powerpc", "PowerPC user-level", bfd_arch_powerpc,
2832    bfd_mach_ppc, -1, -1, tot_num_registers (registers_powerpc),
2833    registers_powerpc},
2834   {"power", "POWER user-level", bfd_arch_rs6000,
2835    bfd_mach_rs6k, -1, -1, tot_num_registers (registers_power),
2836    registers_power},
2837   {"403", "IBM PowerPC 403", bfd_arch_powerpc,
2838    bfd_mach_ppc_403, -1, -1, tot_num_registers (registers_403),
2839    registers_403},
2840   {"601", "Motorola PowerPC 601", bfd_arch_powerpc,
2841    bfd_mach_ppc_601, -1, -1, tot_num_registers (registers_601),
2842    registers_601},
2843   {"602", "Motorola PowerPC 602", bfd_arch_powerpc,
2844    bfd_mach_ppc_602, -1, -1, tot_num_registers (registers_602),
2845    registers_602},
2846   {"603", "Motorola/IBM PowerPC 603 or 603e", bfd_arch_powerpc,
2847    bfd_mach_ppc_603, -1, -1, tot_num_registers (registers_603),
2848    registers_603},
2849   {"604", "Motorola PowerPC 604 or 604e", bfd_arch_powerpc,
2850    604, -1, -1, tot_num_registers (registers_604),
2851    registers_604},
2852   {"403GC", "IBM PowerPC 403GC", bfd_arch_powerpc,
2853    bfd_mach_ppc_403gc, -1, -1, tot_num_registers (registers_403GC),
2854    registers_403GC},
2855   {"505", "Motorola PowerPC 505", bfd_arch_powerpc,
2856    bfd_mach_ppc_505, -1, -1, tot_num_registers (registers_505),
2857    registers_505},
2858   {"860", "Motorola PowerPC 860 or 850", bfd_arch_powerpc,
2859    bfd_mach_ppc_860, -1, -1, tot_num_registers (registers_860),
2860    registers_860},
2861   {"750", "Motorola/IBM PowerPC 750 or 740", bfd_arch_powerpc,
2862    bfd_mach_ppc_750, -1, -1, tot_num_registers (registers_750),
2863    registers_750},
2864   {"7400", "Motorola/IBM PowerPC 7400 (G4)", bfd_arch_powerpc,
2865    bfd_mach_ppc_7400, -1, -1, tot_num_registers (registers_7400),
2866    registers_7400},
2867   {"e500", "Motorola PowerPC e500", bfd_arch_powerpc,
2868    bfd_mach_ppc_e500, -1, -1, tot_num_registers (registers_e500),
2869    registers_e500},
2870
2871   /* 64-bit */
2872   {"powerpc64", "PowerPC 64-bit user-level", bfd_arch_powerpc,
2873    bfd_mach_ppc64, -1, -1, tot_num_registers (registers_powerpc),
2874    registers_powerpc},
2875   {"620", "Motorola PowerPC 620", bfd_arch_powerpc,
2876    bfd_mach_ppc_620, -1, -1, tot_num_registers (registers_powerpc),
2877    registers_powerpc},
2878   {"630", "Motorola PowerPC 630", bfd_arch_powerpc,
2879    bfd_mach_ppc_630, -1, -1, tot_num_registers (registers_powerpc),
2880    registers_powerpc},
2881   {"a35", "PowerPC A35", bfd_arch_powerpc,
2882    bfd_mach_ppc_a35, -1, -1, tot_num_registers (registers_powerpc),
2883    registers_powerpc},
2884   {"rs64ii", "PowerPC rs64ii", bfd_arch_powerpc,
2885    bfd_mach_ppc_rs64ii, -1, -1, tot_num_registers (registers_powerpc),
2886    registers_powerpc},
2887   {"rs64iii", "PowerPC rs64iii", bfd_arch_powerpc,
2888    bfd_mach_ppc_rs64iii, -1, -1, tot_num_registers (registers_powerpc),
2889    registers_powerpc},
2890
2891   /* FIXME: I haven't checked the register sets of the following.  */
2892   {"rs1", "IBM POWER RS1", bfd_arch_rs6000,
2893    bfd_mach_rs6k_rs1, -1, -1, tot_num_registers (registers_power),
2894    registers_power},
2895   {"rsc", "IBM POWER RSC", bfd_arch_rs6000,
2896    bfd_mach_rs6k_rsc, -1, -1, tot_num_registers (registers_power),
2897    registers_power},
2898   {"rs2", "IBM POWER RS2", bfd_arch_rs6000,
2899    bfd_mach_rs6k_rs2, -1, -1, tot_num_registers (registers_power),
2900    registers_power},
2901
2902   {0, 0, 0, 0, 0, 0, 0, 0}
2903 };
2904
2905 /* Initialize the number of registers and pseudo registers in each variant.  */
2906
2907 static void
2908 init_variants (void)
2909 {
2910   struct variant *v;
2911
2912   for (v = variants; v->name; v++)
2913     {
2914       if (v->nregs == -1)
2915         v->nregs = num_registers (v->regs, v->num_tot_regs);
2916       if (v->npregs == -1)
2917         v->npregs = num_pseudo_registers (v->regs, v->num_tot_regs);
2918     }  
2919 }
2920
2921 /* Return the variant corresponding to architecture ARCH and machine number
2922    MACH.  If no such variant exists, return null.  */
2923
2924 static const struct variant *
2925 find_variant_by_arch (enum bfd_architecture arch, unsigned long mach)
2926 {
2927   const struct variant *v;
2928
2929   for (v = variants; v->name; v++)
2930     if (arch == v->arch && mach == v->mach)
2931       return v;
2932
2933   return NULL;
2934 }
2935
2936 static int
2937 gdb_print_insn_powerpc (bfd_vma memaddr, disassemble_info *info)
2938 {
2939   if (!info->disassembler_options)
2940     info->disassembler_options = "any";
2941
2942   if (TARGET_BYTE_ORDER == BFD_ENDIAN_BIG)
2943     return print_insn_big_powerpc (memaddr, info);
2944   else
2945     return print_insn_little_powerpc (memaddr, info);
2946 }
2947 \f
2948 static CORE_ADDR
2949 rs6000_unwind_pc (struct gdbarch *gdbarch, struct frame_info *next_frame)
2950 {
2951   return frame_unwind_register_unsigned (next_frame, PC_REGNUM);
2952 }
2953
2954 static struct frame_id
2955 rs6000_unwind_dummy_id (struct gdbarch *gdbarch, struct frame_info *next_frame)
2956 {
2957   return frame_id_build (frame_unwind_register_unsigned (next_frame,
2958                                                          SP_REGNUM),
2959                          frame_pc_unwind (next_frame));
2960 }
2961
2962 struct rs6000_frame_cache
2963 {
2964   CORE_ADDR base;
2965   CORE_ADDR initial_sp;
2966   struct trad_frame_saved_reg *saved_regs;
2967 };
2968
2969 static struct rs6000_frame_cache *
2970 rs6000_frame_cache (struct frame_info *next_frame, void **this_cache)
2971 {
2972   struct rs6000_frame_cache *cache;
2973   struct gdbarch *gdbarch = get_frame_arch (next_frame);
2974   struct gdbarch_tdep *tdep = gdbarch_tdep (gdbarch);
2975   struct rs6000_framedata fdata;
2976   int wordsize = tdep->wordsize;
2977   CORE_ADDR func, pc;
2978
2979   if ((*this_cache) != NULL)
2980     return (*this_cache);
2981   cache = FRAME_OBSTACK_ZALLOC (struct rs6000_frame_cache);
2982   (*this_cache) = cache;
2983   cache->saved_regs = trad_frame_alloc_saved_regs (next_frame);
2984
2985   func = frame_func_unwind (next_frame, NORMAL_FRAME);
2986   pc = frame_pc_unwind (next_frame);
2987   skip_prologue (func, pc, &fdata);
2988
2989   /* Figure out the parent's stack pointer.  */
2990
2991   /* NOTE: cagney/2002-04-14: The ->frame points to the inner-most
2992      address of the current frame.  Things might be easier if the
2993      ->frame pointed to the outer-most address of the frame.  In
2994      the mean time, the address of the prev frame is used as the
2995      base address of this frame.  */
2996   cache->base = frame_unwind_register_unsigned (next_frame, SP_REGNUM);
2997
2998   /* If the function appears to be frameless, check a couple of likely
2999      indicators that we have simply failed to find the frame setup.
3000      Two common cases of this are missing symbols (i.e.
3001      frame_func_unwind returns the wrong address or 0), and assembly
3002      stubs which have a fast exit path but set up a frame on the slow
3003      path.
3004
3005      If the LR appears to return to this function, then presume that
3006      we have an ABI compliant frame that we failed to find.  */
3007   if (fdata.frameless && fdata.lr_offset == 0)
3008     {
3009       CORE_ADDR saved_lr;
3010       int make_frame = 0;
3011
3012       saved_lr = frame_unwind_register_unsigned (next_frame,
3013                                                  tdep->ppc_lr_regnum);
3014       if (func == 0 && saved_lr == pc)
3015         make_frame = 1;
3016       else if (func != 0)
3017         {
3018           CORE_ADDR saved_func = get_pc_function_start (saved_lr);
3019           if (func == saved_func)
3020             make_frame = 1;
3021         }
3022
3023       if (make_frame)
3024         {
3025           fdata.frameless = 0;
3026           fdata.lr_offset = tdep->lr_frame_offset;
3027         }
3028     }
3029
3030   if (!fdata.frameless)
3031     /* Frameless really means stackless.  */
3032     cache->base = read_memory_addr (cache->base, wordsize);
3033
3034   trad_frame_set_value (cache->saved_regs, SP_REGNUM, cache->base);
3035
3036   /* if != -1, fdata.saved_fpr is the smallest number of saved_fpr.
3037      All fpr's from saved_fpr to fp31 are saved.  */
3038
3039   if (fdata.saved_fpr >= 0)
3040     {
3041       int i;
3042       CORE_ADDR fpr_addr = cache->base + fdata.fpr_offset;
3043
3044       /* If skip_prologue says floating-point registers were saved,
3045          but the current architecture has no floating-point registers,
3046          then that's strange.  But we have no indices to even record
3047          the addresses under, so we just ignore it.  */
3048       if (ppc_floating_point_unit_p (gdbarch))
3049         for (i = fdata.saved_fpr; i < ppc_num_fprs; i++)
3050           {
3051             cache->saved_regs[tdep->ppc_fp0_regnum + i].addr = fpr_addr;
3052             fpr_addr += 8;
3053           }
3054     }
3055
3056   /* if != -1, fdata.saved_gpr is the smallest number of saved_gpr.
3057      All gpr's from saved_gpr to gpr31 are saved.  */
3058
3059   if (fdata.saved_gpr >= 0)
3060     {
3061       int i;
3062       CORE_ADDR gpr_addr = cache->base + fdata.gpr_offset;
3063       for (i = fdata.saved_gpr; i < ppc_num_gprs; i++)
3064         {
3065           cache->saved_regs[tdep->ppc_gp0_regnum + i].addr = gpr_addr;
3066           gpr_addr += wordsize;
3067         }
3068     }
3069
3070   /* if != -1, fdata.saved_vr is the smallest number of saved_vr.
3071      All vr's from saved_vr to vr31 are saved.  */
3072   if (tdep->ppc_vr0_regnum != -1 && tdep->ppc_vrsave_regnum != -1)
3073     {
3074       if (fdata.saved_vr >= 0)
3075         {
3076           int i;
3077           CORE_ADDR vr_addr = cache->base + fdata.vr_offset;
3078           for (i = fdata.saved_vr; i < 32; i++)
3079             {
3080               cache->saved_regs[tdep->ppc_vr0_regnum + i].addr = vr_addr;
3081               vr_addr += register_size (gdbarch, tdep->ppc_vr0_regnum);
3082             }
3083         }
3084     }
3085
3086   /* if != -1, fdata.saved_ev is the smallest number of saved_ev.
3087      All vr's from saved_ev to ev31 are saved. ????? */
3088   if (tdep->ppc_ev0_regnum != -1 && tdep->ppc_ev31_regnum != -1)
3089     {
3090       if (fdata.saved_ev >= 0)
3091         {
3092           int i;
3093           CORE_ADDR ev_addr = cache->base + fdata.ev_offset;
3094           for (i = fdata.saved_ev; i < ppc_num_gprs; i++)
3095             {
3096               cache->saved_regs[tdep->ppc_ev0_regnum + i].addr = ev_addr;
3097               cache->saved_regs[tdep->ppc_gp0_regnum + i].addr = ev_addr + 4;
3098               ev_addr += register_size (gdbarch, tdep->ppc_ev0_regnum);
3099             }
3100         }
3101     }
3102
3103   /* If != 0, fdata.cr_offset is the offset from the frame that
3104      holds the CR.  */
3105   if (fdata.cr_offset != 0)
3106     cache->saved_regs[tdep->ppc_cr_regnum].addr = cache->base + fdata.cr_offset;
3107
3108   /* If != 0, fdata.lr_offset is the offset from the frame that
3109      holds the LR.  */
3110   if (fdata.lr_offset != 0)
3111     cache->saved_regs[tdep->ppc_lr_regnum].addr = cache->base + fdata.lr_offset;
3112   /* The PC is found in the link register.  */
3113   cache->saved_regs[PC_REGNUM] = cache->saved_regs[tdep->ppc_lr_regnum];
3114
3115   /* If != 0, fdata.vrsave_offset is the offset from the frame that
3116      holds the VRSAVE.  */
3117   if (fdata.vrsave_offset != 0)
3118     cache->saved_regs[tdep->ppc_vrsave_regnum].addr = cache->base + fdata.vrsave_offset;
3119
3120   if (fdata.alloca_reg < 0)
3121     /* If no alloca register used, then fi->frame is the value of the
3122        %sp for this frame, and it is good enough.  */
3123     cache->initial_sp = frame_unwind_register_unsigned (next_frame, SP_REGNUM);
3124   else
3125     cache->initial_sp = frame_unwind_register_unsigned (next_frame,
3126                                                         fdata.alloca_reg);
3127
3128   return cache;
3129 }
3130
3131 static void
3132 rs6000_frame_this_id (struct frame_info *next_frame, void **this_cache,
3133                       struct frame_id *this_id)
3134 {
3135   struct rs6000_frame_cache *info = rs6000_frame_cache (next_frame,
3136                                                         this_cache);
3137   (*this_id) = frame_id_build (info->base,
3138                                frame_func_unwind (next_frame, NORMAL_FRAME));
3139 }
3140
3141 static void
3142 rs6000_frame_prev_register (struct frame_info *next_frame,
3143                                  void **this_cache,
3144                                  int regnum, int *optimizedp,
3145                                  enum lval_type *lvalp, CORE_ADDR *addrp,
3146                                  int *realnump, gdb_byte *valuep)
3147 {
3148   struct rs6000_frame_cache *info = rs6000_frame_cache (next_frame,
3149                                                         this_cache);
3150   trad_frame_get_prev_register (next_frame, info->saved_regs, regnum,
3151                                 optimizedp, lvalp, addrp, realnump, valuep);
3152 }
3153
3154 static const struct frame_unwind rs6000_frame_unwind =
3155 {
3156   NORMAL_FRAME,
3157   rs6000_frame_this_id,
3158   rs6000_frame_prev_register
3159 };
3160
3161 static const struct frame_unwind *
3162 rs6000_frame_sniffer (struct frame_info *next_frame)
3163 {
3164   return &rs6000_frame_unwind;
3165 }
3166
3167 \f
3168
3169 static CORE_ADDR
3170 rs6000_frame_base_address (struct frame_info *next_frame,
3171                                 void **this_cache)
3172 {
3173   struct rs6000_frame_cache *info = rs6000_frame_cache (next_frame,
3174                                                         this_cache);
3175   return info->initial_sp;
3176 }
3177
3178 static const struct frame_base rs6000_frame_base = {
3179   &rs6000_frame_unwind,
3180   rs6000_frame_base_address,
3181   rs6000_frame_base_address,
3182   rs6000_frame_base_address
3183 };
3184
3185 static const struct frame_base *
3186 rs6000_frame_base_sniffer (struct frame_info *next_frame)
3187 {
3188   return &rs6000_frame_base;
3189 }
3190
3191 /* Initialize the current architecture based on INFO.  If possible, re-use an
3192    architecture from ARCHES, which is a list of architectures already created
3193    during this debugging session.
3194
3195    Called e.g. at program startup, when reading a core file, and when reading
3196    a binary file.  */
3197
3198 static struct gdbarch *
3199 rs6000_gdbarch_init (struct gdbarch_info info, struct gdbarch_list *arches)
3200 {
3201   struct gdbarch *gdbarch;
3202   struct gdbarch_tdep *tdep;
3203   int wordsize, from_xcoff_exec, from_elf_exec, i, off;
3204   struct reg *regs;
3205   const struct variant *v;
3206   enum bfd_architecture arch;
3207   unsigned long mach;
3208   bfd abfd;
3209   int sysv_abi;
3210   asection *sect;
3211
3212   from_xcoff_exec = info.abfd && info.abfd->format == bfd_object &&
3213     bfd_get_flavour (info.abfd) == bfd_target_xcoff_flavour;
3214
3215   from_elf_exec = info.abfd && info.abfd->format == bfd_object &&
3216     bfd_get_flavour (info.abfd) == bfd_target_elf_flavour;
3217
3218   sysv_abi = info.abfd && bfd_get_flavour (info.abfd) == bfd_target_elf_flavour;
3219
3220   /* Check word size.  If INFO is from a binary file, infer it from
3221      that, else choose a likely default.  */
3222   if (from_xcoff_exec)
3223     {
3224       if (bfd_xcoff_is_xcoff64 (info.abfd))
3225         wordsize = 8;
3226       else
3227         wordsize = 4;
3228     }
3229   else if (from_elf_exec)
3230     {
3231       if (elf_elfheader (info.abfd)->e_ident[EI_CLASS] == ELFCLASS64)
3232         wordsize = 8;
3233       else
3234         wordsize = 4;
3235     }
3236   else
3237     {
3238       if (info.bfd_arch_info != NULL && info.bfd_arch_info->bits_per_word != 0)
3239         wordsize = info.bfd_arch_info->bits_per_word /
3240           info.bfd_arch_info->bits_per_byte;
3241       else
3242         wordsize = 4;
3243     }
3244
3245   /* Find a candidate among extant architectures.  */
3246   for (arches = gdbarch_list_lookup_by_info (arches, &info);
3247        arches != NULL;
3248        arches = gdbarch_list_lookup_by_info (arches->next, &info))
3249     {
3250       /* Word size in the various PowerPC bfd_arch_info structs isn't
3251          meaningful, because 64-bit CPUs can run in 32-bit mode.  So, perform
3252          separate word size check.  */
3253       tdep = gdbarch_tdep (arches->gdbarch);
3254       if (tdep && tdep->wordsize == wordsize)
3255         return arches->gdbarch;
3256     }
3257
3258   /* None found, create a new architecture from INFO, whose bfd_arch_info
3259      validity depends on the source:
3260        - executable             useless
3261        - rs6000_host_arch()     good
3262        - core file              good
3263        - "set arch"             trust blindly
3264        - GDB startup            useless but harmless */
3265
3266   if (!from_xcoff_exec)
3267     {
3268       arch = info.bfd_arch_info->arch;
3269       mach = info.bfd_arch_info->mach;
3270     }
3271   else
3272     {
3273       arch = bfd_arch_powerpc;
3274       bfd_default_set_arch_mach (&abfd, arch, 0);
3275       info.bfd_arch_info = bfd_get_arch_info (&abfd);
3276       mach = info.bfd_arch_info->mach;
3277     }
3278   tdep = xmalloc (sizeof (struct gdbarch_tdep));
3279   tdep->wordsize = wordsize;
3280
3281   /* For e500 executables, the apuinfo section is of help here.  Such
3282      section contains the identifier and revision number of each
3283      Application-specific Processing Unit that is present on the
3284      chip.  The content of the section is determined by the assembler
3285      which looks at each instruction and determines which unit (and
3286      which version of it) can execute it. In our case we just look for
3287      the existance of the section.  */
3288
3289   if (info.abfd)
3290     {
3291       sect = bfd_get_section_by_name (info.abfd, ".PPC.EMB.apuinfo");
3292       if (sect)
3293         {
3294           arch = info.bfd_arch_info->arch;
3295           mach = bfd_mach_ppc_e500;
3296           bfd_default_set_arch_mach (&abfd, arch, mach);
3297           info.bfd_arch_info = bfd_get_arch_info (&abfd);
3298         }
3299     }
3300
3301   gdbarch = gdbarch_alloc (&info, tdep);
3302
3303   /* Initialize the number of real and pseudo registers in each variant.  */
3304   init_variants ();
3305
3306   /* Choose variant.  */
3307   v = find_variant_by_arch (arch, mach);
3308   if (!v)
3309     return NULL;
3310
3311   tdep->regs = v->regs;
3312
3313   tdep->ppc_gp0_regnum = 0;
3314   tdep->ppc_toc_regnum = 2;
3315   tdep->ppc_ps_regnum = 65;
3316   tdep->ppc_cr_regnum = 66;
3317   tdep->ppc_lr_regnum = 67;
3318   tdep->ppc_ctr_regnum = 68;
3319   tdep->ppc_xer_regnum = 69;
3320   if (v->mach == bfd_mach_ppc_601)
3321     tdep->ppc_mq_regnum = 124;
3322   else if (arch == bfd_arch_rs6000)
3323     tdep->ppc_mq_regnum = 70;
3324   else
3325     tdep->ppc_mq_regnum = -1;
3326   tdep->ppc_fp0_regnum = 32;
3327   tdep->ppc_fpscr_regnum = (arch == bfd_arch_rs6000) ? 71 : 70;
3328   tdep->ppc_sr0_regnum = 71;
3329   tdep->ppc_vr0_regnum = -1;
3330   tdep->ppc_vrsave_regnum = -1;
3331   tdep->ppc_ev0_upper_regnum = -1;
3332   tdep->ppc_ev0_regnum = -1;
3333   tdep->ppc_ev31_regnum = -1;
3334   tdep->ppc_acc_regnum = -1;
3335   tdep->ppc_spefscr_regnum = -1;
3336
3337   set_gdbarch_pc_regnum (gdbarch, 64);
3338   set_gdbarch_sp_regnum (gdbarch, 1);
3339   set_gdbarch_deprecated_fp_regnum (gdbarch, 1);
3340   set_gdbarch_fp0_regnum (gdbarch, 32);
3341   set_gdbarch_register_sim_regno (gdbarch, rs6000_register_sim_regno);
3342   if (sysv_abi && wordsize == 8)
3343     set_gdbarch_return_value (gdbarch, ppc64_sysv_abi_return_value);
3344   else if (sysv_abi && wordsize == 4)
3345     set_gdbarch_return_value (gdbarch, ppc_sysv_abi_return_value);
3346   else
3347     set_gdbarch_return_value (gdbarch, rs6000_return_value);
3348
3349   /* Set lr_frame_offset.  */
3350   if (wordsize == 8)
3351     tdep->lr_frame_offset = 16;
3352   else if (sysv_abi)
3353     tdep->lr_frame_offset = 4;
3354   else
3355     tdep->lr_frame_offset = 8;
3356
3357   if (v->arch == bfd_arch_rs6000)
3358     tdep->ppc_sr0_regnum = -1;
3359   else if (v->arch == bfd_arch_powerpc)
3360     switch (v->mach)
3361       {
3362       case bfd_mach_ppc: 
3363         tdep->ppc_sr0_regnum = -1;
3364         tdep->ppc_vr0_regnum = 71;
3365         tdep->ppc_vrsave_regnum = 104;
3366         break;
3367       case bfd_mach_ppc_7400:
3368         tdep->ppc_vr0_regnum = 119;
3369         tdep->ppc_vrsave_regnum = 152;
3370         break;
3371       case bfd_mach_ppc_e500:
3372         tdep->ppc_toc_regnum = -1;
3373         tdep->ppc_ev0_upper_regnum = 32;
3374         tdep->ppc_ev0_regnum = 73;
3375         tdep->ppc_ev31_regnum = 104;
3376         tdep->ppc_acc_regnum = 71;
3377         tdep->ppc_spefscr_regnum = 72;
3378         tdep->ppc_fp0_regnum = -1;
3379         tdep->ppc_fpscr_regnum = -1;
3380         tdep->ppc_sr0_regnum = -1;
3381         set_gdbarch_pseudo_register_read (gdbarch, e500_pseudo_register_read);
3382         set_gdbarch_pseudo_register_write (gdbarch, e500_pseudo_register_write);
3383         set_gdbarch_register_reggroup_p (gdbarch, e500_register_reggroup_p);
3384         break;
3385
3386       case bfd_mach_ppc64:
3387       case bfd_mach_ppc_620:
3388       case bfd_mach_ppc_630:
3389       case bfd_mach_ppc_a35:
3390       case bfd_mach_ppc_rs64ii:
3391       case bfd_mach_ppc_rs64iii:
3392         /* These processor's register sets don't have segment registers.  */
3393         tdep->ppc_sr0_regnum = -1;
3394         break;
3395       }   
3396   else
3397     internal_error (__FILE__, __LINE__,
3398                     _("rs6000_gdbarch_init: "
3399                     "received unexpected BFD 'arch' value"));
3400
3401   set_gdbarch_have_nonsteppable_watchpoint (gdbarch, 1);
3402
3403   /* Sanity check on registers.  */
3404   gdb_assert (strcmp (tdep->regs[tdep->ppc_gp0_regnum].name, "r0") == 0);
3405
3406   /* Select instruction printer.  */
3407   if (arch == bfd_arch_rs6000)
3408     set_gdbarch_print_insn (gdbarch, print_insn_rs6000);
3409   else
3410     set_gdbarch_print_insn (gdbarch, gdb_print_insn_powerpc);
3411
3412   set_gdbarch_write_pc (gdbarch, generic_target_write_pc);
3413
3414   set_gdbarch_num_regs (gdbarch, v->nregs);
3415   set_gdbarch_num_pseudo_regs (gdbarch, v->npregs);
3416   set_gdbarch_register_name (gdbarch, rs6000_register_name);
3417   set_gdbarch_register_type (gdbarch, rs6000_register_type);
3418   set_gdbarch_register_reggroup_p (gdbarch, rs6000_register_reggroup_p);
3419
3420   set_gdbarch_ptr_bit (gdbarch, wordsize * TARGET_CHAR_BIT);
3421   set_gdbarch_short_bit (gdbarch, 2 * TARGET_CHAR_BIT);
3422   set_gdbarch_int_bit (gdbarch, 4 * TARGET_CHAR_BIT);
3423   set_gdbarch_long_bit (gdbarch, wordsize * TARGET_CHAR_BIT);
3424   set_gdbarch_long_long_bit (gdbarch, 8 * TARGET_CHAR_BIT);
3425   set_gdbarch_float_bit (gdbarch, 4 * TARGET_CHAR_BIT);
3426   set_gdbarch_double_bit (gdbarch, 8 * TARGET_CHAR_BIT);
3427   if (sysv_abi)
3428     set_gdbarch_long_double_bit (gdbarch, 16 * TARGET_CHAR_BIT);
3429   else
3430     set_gdbarch_long_double_bit (gdbarch, 8 * TARGET_CHAR_BIT);
3431   set_gdbarch_char_signed (gdbarch, 0);
3432
3433   set_gdbarch_frame_align (gdbarch, rs6000_frame_align);
3434   if (sysv_abi && wordsize == 8)
3435     /* PPC64 SYSV.  */
3436     set_gdbarch_frame_red_zone_size (gdbarch, 288);
3437   else if (!sysv_abi && wordsize == 4)
3438     /* PowerOpen / AIX 32 bit.  The saved area or red zone consists of
3439        19 4 byte GPRS + 18 8 byte FPRs giving a total of 220 bytes.
3440        Problem is, 220 isn't frame (16 byte) aligned.  Round it up to
3441        224.  */
3442     set_gdbarch_frame_red_zone_size (gdbarch, 224);
3443
3444   set_gdbarch_convert_register_p (gdbarch, rs6000_convert_register_p);
3445   set_gdbarch_register_to_value (gdbarch, rs6000_register_to_value);
3446   set_gdbarch_value_to_register (gdbarch, rs6000_value_to_register);
3447
3448   set_gdbarch_stab_reg_to_regnum (gdbarch, rs6000_stab_reg_to_regnum);
3449   set_gdbarch_dwarf2_reg_to_regnum (gdbarch, rs6000_dwarf2_reg_to_regnum);
3450
3451   if (sysv_abi && wordsize == 4)
3452     set_gdbarch_push_dummy_call (gdbarch, ppc_sysv_abi_push_dummy_call);
3453   else if (sysv_abi && wordsize == 8)
3454     set_gdbarch_push_dummy_call (gdbarch, ppc64_sysv_abi_push_dummy_call);
3455   else
3456     set_gdbarch_push_dummy_call (gdbarch, rs6000_push_dummy_call);
3457
3458   set_gdbarch_skip_prologue (gdbarch, rs6000_skip_prologue);
3459   set_gdbarch_in_function_epilogue_p (gdbarch, rs6000_in_function_epilogue_p);
3460
3461   set_gdbarch_inner_than (gdbarch, core_addr_lessthan);
3462   set_gdbarch_breakpoint_from_pc (gdbarch, rs6000_breakpoint_from_pc);
3463
3464   /* Handle the 64-bit SVR4 minimal-symbol convention of using "FN"
3465      for the descriptor and ".FN" for the entry-point -- a user
3466      specifying "break FN" will unexpectedly end up with a breakpoint
3467      on the descriptor and not the function.  This architecture method
3468      transforms any breakpoints on descriptors into breakpoints on the
3469      corresponding entry point.  */
3470   if (sysv_abi && wordsize == 8)
3471     set_gdbarch_adjust_breakpoint_address (gdbarch, ppc64_sysv_abi_adjust_breakpoint_address);
3472
3473   /* Not sure on this. FIXMEmgo */
3474   set_gdbarch_frame_args_skip (gdbarch, 8);
3475
3476   if (!sysv_abi)
3477     {
3478       /* Handle RS/6000 function pointers (which are really function
3479          descriptors).  */
3480       set_gdbarch_convert_from_func_ptr_addr (gdbarch,
3481         rs6000_convert_from_func_ptr_addr);
3482     }
3483
3484   /* Helpers for function argument information.  */
3485   set_gdbarch_fetch_pointer_argument (gdbarch, rs6000_fetch_pointer_argument);
3486
3487   /* Trampoline.  */
3488   set_gdbarch_in_solib_return_trampoline
3489     (gdbarch, rs6000_in_solib_return_trampoline);
3490   set_gdbarch_skip_trampoline_code (gdbarch, rs6000_skip_trampoline_code);
3491
3492   /* Hook in the DWARF CFI frame unwinder.  */
3493   frame_unwind_append_sniffer (gdbarch, dwarf2_frame_sniffer);
3494   dwarf2_frame_set_adjust_regnum (gdbarch, rs6000_adjust_frame_regnum);
3495
3496   /* Hook in ABI-specific overrides, if they have been registered.  */
3497   gdbarch_init_osabi (info, gdbarch);
3498
3499   switch (info.osabi)
3500     {
3501     case GDB_OSABI_LINUX:
3502       /* FIXME: pgilliam/2005-10-21: Assume all PowerPC 64-bit linux systems
3503          have altivec registers.  If not, ptrace will fail the first time it's
3504          called to access one and will not be called again.  This wart will
3505          be removed when Daniel Jacobowitz's proposal for autodetecting target
3506          registers is implemented. */
3507       if ((v->arch == bfd_arch_powerpc) && ((v->mach)== bfd_mach_ppc64))
3508         {
3509           tdep->ppc_vr0_regnum = 71;
3510           tdep->ppc_vrsave_regnum = 104;
3511         }
3512       /* Fall Thru */
3513     case GDB_OSABI_NETBSD_AOUT:
3514     case GDB_OSABI_NETBSD_ELF:
3515     case GDB_OSABI_UNKNOWN:
3516       set_gdbarch_unwind_pc (gdbarch, rs6000_unwind_pc);
3517       frame_unwind_append_sniffer (gdbarch, rs6000_frame_sniffer);
3518       set_gdbarch_unwind_dummy_id (gdbarch, rs6000_unwind_dummy_id);
3519       frame_base_append_sniffer (gdbarch, rs6000_frame_base_sniffer);
3520       break;
3521     default:
3522       set_gdbarch_believe_pcc_promotion (gdbarch, 1);
3523
3524       set_gdbarch_unwind_pc (gdbarch, rs6000_unwind_pc);
3525       frame_unwind_append_sniffer (gdbarch, rs6000_frame_sniffer);
3526       set_gdbarch_unwind_dummy_id (gdbarch, rs6000_unwind_dummy_id);
3527       frame_base_append_sniffer (gdbarch, rs6000_frame_base_sniffer);
3528     }
3529
3530   init_sim_regno_table (gdbarch);
3531
3532   return gdbarch;
3533 }
3534
3535 static void
3536 rs6000_dump_tdep (struct gdbarch *current_gdbarch, struct ui_file *file)
3537 {
3538   struct gdbarch_tdep *tdep = gdbarch_tdep (current_gdbarch);
3539
3540   if (tdep == NULL)
3541     return;
3542
3543   /* FIXME: Dump gdbarch_tdep.  */
3544 }
3545
3546 /* Initialization code.  */
3547
3548 extern initialize_file_ftype _initialize_rs6000_tdep; /* -Wmissing-prototypes */
3549
3550 void
3551 _initialize_rs6000_tdep (void)
3552 {
3553   gdbarch_register (bfd_arch_rs6000, rs6000_gdbarch_init, rs6000_dump_tdep);
3554   gdbarch_register (bfd_arch_powerpc, rs6000_gdbarch_init, rs6000_dump_tdep);
3555 }