Upload Tizen:Base source
[external/binutils.git] / gas / testsuite / gas / i386 / avx.d
1 #objdump: -dw
2 #name: i386 AVX
3
4 .*:     file format .*
5
6 Disassembly of section .text:
7
8 0+ <_start>:
9 [       ]*[a-f0-9]+:    c5 fc 77                vzeroall 
10 [       ]*[a-f0-9]+:    c5 f8 77                vzeroupper 
11 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%ecx\)
12 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%ecx\)
13 [       ]*[a-f0-9]+:    c4 e2 5d 2d 31          vmaskmovpd \(%ecx\),%ymm4,%ymm6
14 [       ]*[a-f0-9]+:    c4 e2 4d 2f 21          vmaskmovpd %ymm4,%ymm6,\(%ecx\)
15 [       ]*[a-f0-9]+:    c4 e2 5d 2c 31          vmaskmovps \(%ecx\),%ymm4,%ymm6
16 [       ]*[a-f0-9]+:    c4 e2 4d 2e 21          vmaskmovps %ymm4,%ymm6,\(%ecx\)
17 [       ]*[a-f0-9]+:    c4 e3 7d 05 d6 07       vpermilpd \$0x7,%ymm6,%ymm2
18 [       ]*[a-f0-9]+:    c4 e3 7d 05 31 07       vpermilpd \$0x7,\(%ecx\),%ymm6
19 [       ]*[a-f0-9]+:    c4 e3 7d 04 d6 07       vpermilps \$0x7,%ymm6,%ymm2
20 [       ]*[a-f0-9]+:    c4 e3 7d 04 31 07       vpermilps \$0x7,\(%ecx\),%ymm6
21 [       ]*[a-f0-9]+:    c4 e3 7d 09 d6 07       vroundpd \$0x7,%ymm6,%ymm2
22 [       ]*[a-f0-9]+:    c4 e3 7d 09 31 07       vroundpd \$0x7,\(%ecx\),%ymm6
23 [       ]*[a-f0-9]+:    c4 e3 7d 08 d6 07       vroundps \$0x7,%ymm6,%ymm2
24 [       ]*[a-f0-9]+:    c4 e3 7d 08 31 07       vroundps \$0x7,\(%ecx\),%ymm6
25 [       ]*[a-f0-9]+:    c5 cd 58 d4             vaddpd %ymm4,%ymm6,%ymm2
26 [       ]*[a-f0-9]+:    c5 cd 58 11             vaddpd \(%ecx\),%ymm6,%ymm2
27 [       ]*[a-f0-9]+:    c5 cc 58 d4             vaddps %ymm4,%ymm6,%ymm2
28 [       ]*[a-f0-9]+:    c5 cc 58 11             vaddps \(%ecx\),%ymm6,%ymm2
29 [       ]*[a-f0-9]+:    c5 cd d0 d4             vaddsubpd %ymm4,%ymm6,%ymm2
30 [       ]*[a-f0-9]+:    c5 cd d0 11             vaddsubpd \(%ecx\),%ymm6,%ymm2
31 [       ]*[a-f0-9]+:    c5 cf d0 d4             vaddsubps %ymm4,%ymm6,%ymm2
32 [       ]*[a-f0-9]+:    c5 cf d0 11             vaddsubps \(%ecx\),%ymm6,%ymm2
33 [       ]*[a-f0-9]+:    c5 cd 55 d4             vandnpd %ymm4,%ymm6,%ymm2
34 [       ]*[a-f0-9]+:    c5 cd 55 11             vandnpd \(%ecx\),%ymm6,%ymm2
35 [       ]*[a-f0-9]+:    c5 cc 55 d4             vandnps %ymm4,%ymm6,%ymm2
36 [       ]*[a-f0-9]+:    c5 cc 55 11             vandnps \(%ecx\),%ymm6,%ymm2
37 [       ]*[a-f0-9]+:    c5 cd 54 d4             vandpd %ymm4,%ymm6,%ymm2
38 [       ]*[a-f0-9]+:    c5 cd 54 11             vandpd \(%ecx\),%ymm6,%ymm2
39 [       ]*[a-f0-9]+:    c5 cc 54 d4             vandps %ymm4,%ymm6,%ymm2
40 [       ]*[a-f0-9]+:    c5 cc 54 11             vandps \(%ecx\),%ymm6,%ymm2
41 [       ]*[a-f0-9]+:    c5 cd 5e d4             vdivpd %ymm4,%ymm6,%ymm2
42 [       ]*[a-f0-9]+:    c5 cd 5e 11             vdivpd \(%ecx\),%ymm6,%ymm2
43 [       ]*[a-f0-9]+:    c5 cc 5e d4             vdivps %ymm4,%ymm6,%ymm2
44 [       ]*[a-f0-9]+:    c5 cc 5e 11             vdivps \(%ecx\),%ymm6,%ymm2
45 [       ]*[a-f0-9]+:    c5 cd 7c d4             vhaddpd %ymm4,%ymm6,%ymm2
46 [       ]*[a-f0-9]+:    c5 cd 7c 11             vhaddpd \(%ecx\),%ymm6,%ymm2
47 [       ]*[a-f0-9]+:    c5 cf 7c d4             vhaddps %ymm4,%ymm6,%ymm2
48 [       ]*[a-f0-9]+:    c5 cf 7c 11             vhaddps \(%ecx\),%ymm6,%ymm2
49 [       ]*[a-f0-9]+:    c5 cd 7d d4             vhsubpd %ymm4,%ymm6,%ymm2
50 [       ]*[a-f0-9]+:    c5 cd 7d 11             vhsubpd \(%ecx\),%ymm6,%ymm2
51 [       ]*[a-f0-9]+:    c5 cf 7d d4             vhsubps %ymm4,%ymm6,%ymm2
52 [       ]*[a-f0-9]+:    c5 cf 7d 11             vhsubps \(%ecx\),%ymm6,%ymm2
53 [       ]*[a-f0-9]+:    c5 cd 5f d4             vmaxpd %ymm4,%ymm6,%ymm2
54 [       ]*[a-f0-9]+:    c5 cd 5f 11             vmaxpd \(%ecx\),%ymm6,%ymm2
55 [       ]*[a-f0-9]+:    c5 cc 5f d4             vmaxps %ymm4,%ymm6,%ymm2
56 [       ]*[a-f0-9]+:    c5 cc 5f 11             vmaxps \(%ecx\),%ymm6,%ymm2
57 [       ]*[a-f0-9]+:    c5 cd 5d d4             vminpd %ymm4,%ymm6,%ymm2
58 [       ]*[a-f0-9]+:    c5 cd 5d 11             vminpd \(%ecx\),%ymm6,%ymm2
59 [       ]*[a-f0-9]+:    c5 cc 5d d4             vminps %ymm4,%ymm6,%ymm2
60 [       ]*[a-f0-9]+:    c5 cc 5d 11             vminps \(%ecx\),%ymm6,%ymm2
61 [       ]*[a-f0-9]+:    c5 cd 59 d4             vmulpd %ymm4,%ymm6,%ymm2
62 [       ]*[a-f0-9]+:    c5 cd 59 11             vmulpd \(%ecx\),%ymm6,%ymm2
63 [       ]*[a-f0-9]+:    c5 cc 59 d4             vmulps %ymm4,%ymm6,%ymm2
64 [       ]*[a-f0-9]+:    c5 cc 59 11             vmulps \(%ecx\),%ymm6,%ymm2
65 [       ]*[a-f0-9]+:    c5 cd 56 d4             vorpd  %ymm4,%ymm6,%ymm2
66 [       ]*[a-f0-9]+:    c5 cd 56 11             vorpd  \(%ecx\),%ymm6,%ymm2
67 [       ]*[a-f0-9]+:    c5 cc 56 d4             vorps  %ymm4,%ymm6,%ymm2
68 [       ]*[a-f0-9]+:    c5 cc 56 11             vorps  \(%ecx\),%ymm6,%ymm2
69 [       ]*[a-f0-9]+:    c4 e2 4d 0d d4          vpermilpd %ymm4,%ymm6,%ymm2
70 [       ]*[a-f0-9]+:    c4 e2 4d 0d 11          vpermilpd \(%ecx\),%ymm6,%ymm2
71 [       ]*[a-f0-9]+:    c4 e2 4d 0c d4          vpermilps %ymm4,%ymm6,%ymm2
72 [       ]*[a-f0-9]+:    c4 e2 4d 0c 11          vpermilps \(%ecx\),%ymm6,%ymm2
73 [       ]*[a-f0-9]+:    c5 cd 5c d4             vsubpd %ymm4,%ymm6,%ymm2
74 [       ]*[a-f0-9]+:    c5 cd 5c 11             vsubpd \(%ecx\),%ymm6,%ymm2
75 [       ]*[a-f0-9]+:    c5 cc 5c d4             vsubps %ymm4,%ymm6,%ymm2
76 [       ]*[a-f0-9]+:    c5 cc 5c 11             vsubps \(%ecx\),%ymm6,%ymm2
77 [       ]*[a-f0-9]+:    c5 cd 15 d4             vunpckhpd %ymm4,%ymm6,%ymm2
78 [       ]*[a-f0-9]+:    c5 cd 15 11             vunpckhpd \(%ecx\),%ymm6,%ymm2
79 [       ]*[a-f0-9]+:    c5 cc 15 d4             vunpckhps %ymm4,%ymm6,%ymm2
80 [       ]*[a-f0-9]+:    c5 cc 15 11             vunpckhps \(%ecx\),%ymm6,%ymm2
81 [       ]*[a-f0-9]+:    c5 cd 14 d4             vunpcklpd %ymm4,%ymm6,%ymm2
82 [       ]*[a-f0-9]+:    c5 cd 14 11             vunpcklpd \(%ecx\),%ymm6,%ymm2
83 [       ]*[a-f0-9]+:    c5 cc 14 d4             vunpcklps %ymm4,%ymm6,%ymm2
84 [       ]*[a-f0-9]+:    c5 cc 14 11             vunpcklps \(%ecx\),%ymm6,%ymm2
85 [       ]*[a-f0-9]+:    c5 cd 57 d4             vxorpd %ymm4,%ymm6,%ymm2
86 [       ]*[a-f0-9]+:    c5 cd 57 11             vxorpd \(%ecx\),%ymm6,%ymm2
87 [       ]*[a-f0-9]+:    c5 cc 57 d4             vxorps %ymm4,%ymm6,%ymm2
88 [       ]*[a-f0-9]+:    c5 cc 57 11             vxorps \(%ecx\),%ymm6,%ymm2
89 [       ]*[a-f0-9]+:    c5 cd c2 d4 00          vcmpeqpd %ymm4,%ymm6,%ymm2
90 [       ]*[a-f0-9]+:    c5 cd c2 11 00          vcmpeqpd \(%ecx\),%ymm6,%ymm2
91 [       ]*[a-f0-9]+:    c5 cd c2 d4 01          vcmpltpd %ymm4,%ymm6,%ymm2
92 [       ]*[a-f0-9]+:    c5 cd c2 11 01          vcmpltpd \(%ecx\),%ymm6,%ymm2
93 [       ]*[a-f0-9]+:    c5 cd c2 d4 02          vcmplepd %ymm4,%ymm6,%ymm2
94 [       ]*[a-f0-9]+:    c5 cd c2 11 02          vcmplepd \(%ecx\),%ymm6,%ymm2
95 [       ]*[a-f0-9]+:    c5 cd c2 d4 03          vcmpunordpd %ymm4,%ymm6,%ymm2
96 [       ]*[a-f0-9]+:    c5 cd c2 11 03          vcmpunordpd \(%ecx\),%ymm6,%ymm2
97 [       ]*[a-f0-9]+:    c5 cd c2 d4 04          vcmpneqpd %ymm4,%ymm6,%ymm2
98 [       ]*[a-f0-9]+:    c5 cd c2 11 04          vcmpneqpd \(%ecx\),%ymm6,%ymm2
99 [       ]*[a-f0-9]+:    c5 cd c2 d4 05          vcmpnltpd %ymm4,%ymm6,%ymm2
100 [       ]*[a-f0-9]+:    c5 cd c2 11 05          vcmpnltpd \(%ecx\),%ymm6,%ymm2
101 [       ]*[a-f0-9]+:    c5 cd c2 d4 06          vcmpnlepd %ymm4,%ymm6,%ymm2
102 [       ]*[a-f0-9]+:    c5 cd c2 11 06          vcmpnlepd \(%ecx\),%ymm6,%ymm2
103 [       ]*[a-f0-9]+:    c5 cd c2 d4 07          vcmpordpd %ymm4,%ymm6,%ymm2
104 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%ecx\),%ymm6,%ymm2
105 [       ]*[a-f0-9]+:    c5 cd c2 d4 08          vcmpeq_uqpd %ymm4,%ymm6,%ymm2
106 [       ]*[a-f0-9]+:    c5 cd c2 11 08          vcmpeq_uqpd \(%ecx\),%ymm6,%ymm2
107 [       ]*[a-f0-9]+:    c5 cd c2 d4 09          vcmpngepd %ymm4,%ymm6,%ymm2
108 [       ]*[a-f0-9]+:    c5 cd c2 11 09          vcmpngepd \(%ecx\),%ymm6,%ymm2
109 [       ]*[a-f0-9]+:    c5 cd c2 d4 0a          vcmpngtpd %ymm4,%ymm6,%ymm2
110 [       ]*[a-f0-9]+:    c5 cd c2 11 0a          vcmpngtpd \(%ecx\),%ymm6,%ymm2
111 [       ]*[a-f0-9]+:    c5 cd c2 d4 0b          vcmpfalsepd %ymm4,%ymm6,%ymm2
112 [       ]*[a-f0-9]+:    c5 cd c2 11 0b          vcmpfalsepd \(%ecx\),%ymm6,%ymm2
113 [       ]*[a-f0-9]+:    c5 cd c2 d4 0c          vcmpneq_oqpd %ymm4,%ymm6,%ymm2
114 [       ]*[a-f0-9]+:    c5 cd c2 11 0c          vcmpneq_oqpd \(%ecx\),%ymm6,%ymm2
115 [       ]*[a-f0-9]+:    c5 cd c2 d4 0d          vcmpgepd %ymm4,%ymm6,%ymm2
116 [       ]*[a-f0-9]+:    c5 cd c2 11 0d          vcmpgepd \(%ecx\),%ymm6,%ymm2
117 [       ]*[a-f0-9]+:    c5 cd c2 d4 0e          vcmpgtpd %ymm4,%ymm6,%ymm2
118 [       ]*[a-f0-9]+:    c5 cd c2 11 0e          vcmpgtpd \(%ecx\),%ymm6,%ymm2
119 [       ]*[a-f0-9]+:    c5 cd c2 d4 0f          vcmptruepd %ymm4,%ymm6,%ymm2
120 [       ]*[a-f0-9]+:    c5 cd c2 11 0f          vcmptruepd \(%ecx\),%ymm6,%ymm2
121 [       ]*[a-f0-9]+:    c5 cd c2 d4 10          vcmpeq_ospd %ymm4,%ymm6,%ymm2
122 [       ]*[a-f0-9]+:    c5 cd c2 11 10          vcmpeq_ospd \(%ecx\),%ymm6,%ymm2
123 [       ]*[a-f0-9]+:    c5 cd c2 d4 11          vcmplt_oqpd %ymm4,%ymm6,%ymm2
124 [       ]*[a-f0-9]+:    c5 cd c2 11 11          vcmplt_oqpd \(%ecx\),%ymm6,%ymm2
125 [       ]*[a-f0-9]+:    c5 cd c2 d4 12          vcmple_oqpd %ymm4,%ymm6,%ymm2
126 [       ]*[a-f0-9]+:    c5 cd c2 11 12          vcmple_oqpd \(%ecx\),%ymm6,%ymm2
127 [       ]*[a-f0-9]+:    c5 cd c2 d4 13          vcmpunord_spd %ymm4,%ymm6,%ymm2
128 [       ]*[a-f0-9]+:    c5 cd c2 11 13          vcmpunord_spd \(%ecx\),%ymm6,%ymm2
129 [       ]*[a-f0-9]+:    c5 cd c2 d4 14          vcmpneq_uspd %ymm4,%ymm6,%ymm2
130 [       ]*[a-f0-9]+:    c5 cd c2 11 14          vcmpneq_uspd \(%ecx\),%ymm6,%ymm2
131 [       ]*[a-f0-9]+:    c5 cd c2 d4 15          vcmpnlt_uqpd %ymm4,%ymm6,%ymm2
132 [       ]*[a-f0-9]+:    c5 cd c2 11 15          vcmpnlt_uqpd \(%ecx\),%ymm6,%ymm2
133 [       ]*[a-f0-9]+:    c5 cd c2 d4 16          vcmpnle_uqpd %ymm4,%ymm6,%ymm2
134 [       ]*[a-f0-9]+:    c5 cd c2 11 16          vcmpnle_uqpd \(%ecx\),%ymm6,%ymm2
135 [       ]*[a-f0-9]+:    c5 cd c2 d4 17          vcmpord_spd %ymm4,%ymm6,%ymm2
136 [       ]*[a-f0-9]+:    c5 cd c2 11 17          vcmpord_spd \(%ecx\),%ymm6,%ymm2
137 [       ]*[a-f0-9]+:    c5 cd c2 d4 18          vcmpeq_uspd %ymm4,%ymm6,%ymm2
138 [       ]*[a-f0-9]+:    c5 cd c2 11 18          vcmpeq_uspd \(%ecx\),%ymm6,%ymm2
139 [       ]*[a-f0-9]+:    c5 cd c2 d4 19          vcmpnge_uqpd %ymm4,%ymm6,%ymm2
140 [       ]*[a-f0-9]+:    c5 cd c2 11 19          vcmpnge_uqpd \(%ecx\),%ymm6,%ymm2
141 [       ]*[a-f0-9]+:    c5 cd c2 d4 1a          vcmpngt_uqpd %ymm4,%ymm6,%ymm2
142 [       ]*[a-f0-9]+:    c5 cd c2 11 1a          vcmpngt_uqpd \(%ecx\),%ymm6,%ymm2
143 [       ]*[a-f0-9]+:    c5 cd c2 d4 1b          vcmpfalse_ospd %ymm4,%ymm6,%ymm2
144 [       ]*[a-f0-9]+:    c5 cd c2 11 1b          vcmpfalse_ospd \(%ecx\),%ymm6,%ymm2
145 [       ]*[a-f0-9]+:    c5 cd c2 d4 1c          vcmpneq_ospd %ymm4,%ymm6,%ymm2
146 [       ]*[a-f0-9]+:    c5 cd c2 11 1c          vcmpneq_ospd \(%ecx\),%ymm6,%ymm2
147 [       ]*[a-f0-9]+:    c5 cd c2 d4 1d          vcmpge_oqpd %ymm4,%ymm6,%ymm2
148 [       ]*[a-f0-9]+:    c5 cd c2 11 1d          vcmpge_oqpd \(%ecx\),%ymm6,%ymm2
149 [       ]*[a-f0-9]+:    c5 cd c2 d4 1e          vcmpgt_oqpd %ymm4,%ymm6,%ymm2
150 [       ]*[a-f0-9]+:    c5 cd c2 11 1e          vcmpgt_oqpd \(%ecx\),%ymm6,%ymm2
151 [       ]*[a-f0-9]+:    c5 cd c2 d4 1f          vcmptrue_uspd %ymm4,%ymm6,%ymm2
152 [       ]*[a-f0-9]+:    c5 cd c2 11 1f          vcmptrue_uspd \(%ecx\),%ymm6,%ymm2
153 [       ]*[a-f0-9]+:    c5 cc c2 d4 00          vcmpeqps %ymm4,%ymm6,%ymm2
154 [       ]*[a-f0-9]+:    c5 cc c2 11 00          vcmpeqps \(%ecx\),%ymm6,%ymm2
155 [       ]*[a-f0-9]+:    c5 cc c2 d4 01          vcmpltps %ymm4,%ymm6,%ymm2
156 [       ]*[a-f0-9]+:    c5 cc c2 11 01          vcmpltps \(%ecx\),%ymm6,%ymm2
157 [       ]*[a-f0-9]+:    c5 cc c2 d4 02          vcmpleps %ymm4,%ymm6,%ymm2
158 [       ]*[a-f0-9]+:    c5 cc c2 11 02          vcmpleps \(%ecx\),%ymm6,%ymm2
159 [       ]*[a-f0-9]+:    c5 cc c2 d4 03          vcmpunordps %ymm4,%ymm6,%ymm2
160 [       ]*[a-f0-9]+:    c5 cc c2 11 03          vcmpunordps \(%ecx\),%ymm6,%ymm2
161 [       ]*[a-f0-9]+:    c5 cc c2 d4 04          vcmpneqps %ymm4,%ymm6,%ymm2
162 [       ]*[a-f0-9]+:    c5 cc c2 11 04          vcmpneqps \(%ecx\),%ymm6,%ymm2
163 [       ]*[a-f0-9]+:    c5 cc c2 d4 05          vcmpnltps %ymm4,%ymm6,%ymm2
164 [       ]*[a-f0-9]+:    c5 cc c2 11 05          vcmpnltps \(%ecx\),%ymm6,%ymm2
165 [       ]*[a-f0-9]+:    c5 cc c2 d4 06          vcmpnleps %ymm4,%ymm6,%ymm2
166 [       ]*[a-f0-9]+:    c5 cc c2 11 06          vcmpnleps \(%ecx\),%ymm6,%ymm2
167 [       ]*[a-f0-9]+:    c5 cc c2 d4 07          vcmpordps %ymm4,%ymm6,%ymm2
168 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%ecx\),%ymm6,%ymm2
169 [       ]*[a-f0-9]+:    c5 cc c2 d4 08          vcmpeq_uqps %ymm4,%ymm6,%ymm2
170 [       ]*[a-f0-9]+:    c5 cc c2 11 08          vcmpeq_uqps \(%ecx\),%ymm6,%ymm2
171 [       ]*[a-f0-9]+:    c5 cc c2 d4 09          vcmpngeps %ymm4,%ymm6,%ymm2
172 [       ]*[a-f0-9]+:    c5 cc c2 11 09          vcmpngeps \(%ecx\),%ymm6,%ymm2
173 [       ]*[a-f0-9]+:    c5 cc c2 d4 0a          vcmpngtps %ymm4,%ymm6,%ymm2
174 [       ]*[a-f0-9]+:    c5 cc c2 11 0a          vcmpngtps \(%ecx\),%ymm6,%ymm2
175 [       ]*[a-f0-9]+:    c5 cc c2 d4 0b          vcmpfalseps %ymm4,%ymm6,%ymm2
176 [       ]*[a-f0-9]+:    c5 cc c2 11 0b          vcmpfalseps \(%ecx\),%ymm6,%ymm2
177 [       ]*[a-f0-9]+:    c5 cc c2 d4 0c          vcmpneq_oqps %ymm4,%ymm6,%ymm2
178 [       ]*[a-f0-9]+:    c5 cc c2 11 0c          vcmpneq_oqps \(%ecx\),%ymm6,%ymm2
179 [       ]*[a-f0-9]+:    c5 cc c2 d4 0d          vcmpgeps %ymm4,%ymm6,%ymm2
180 [       ]*[a-f0-9]+:    c5 cc c2 11 0d          vcmpgeps \(%ecx\),%ymm6,%ymm2
181 [       ]*[a-f0-9]+:    c5 cc c2 d4 0e          vcmpgtps %ymm4,%ymm6,%ymm2
182 [       ]*[a-f0-9]+:    c5 cc c2 11 0e          vcmpgtps \(%ecx\),%ymm6,%ymm2
183 [       ]*[a-f0-9]+:    c5 cc c2 d4 0f          vcmptrueps %ymm4,%ymm6,%ymm2
184 [       ]*[a-f0-9]+:    c5 cc c2 11 0f          vcmptrueps \(%ecx\),%ymm6,%ymm2
185 [       ]*[a-f0-9]+:    c5 cc c2 d4 10          vcmpeq_osps %ymm4,%ymm6,%ymm2
186 [       ]*[a-f0-9]+:    c5 cc c2 11 10          vcmpeq_osps \(%ecx\),%ymm6,%ymm2
187 [       ]*[a-f0-9]+:    c5 cc c2 d4 11          vcmplt_oqps %ymm4,%ymm6,%ymm2
188 [       ]*[a-f0-9]+:    c5 cc c2 11 11          vcmplt_oqps \(%ecx\),%ymm6,%ymm2
189 [       ]*[a-f0-9]+:    c5 cc c2 d4 12          vcmple_oqps %ymm4,%ymm6,%ymm2
190 [       ]*[a-f0-9]+:    c5 cc c2 11 12          vcmple_oqps \(%ecx\),%ymm6,%ymm2
191 [       ]*[a-f0-9]+:    c5 cc c2 d4 13          vcmpunord_sps %ymm4,%ymm6,%ymm2
192 [       ]*[a-f0-9]+:    c5 cc c2 11 13          vcmpunord_sps \(%ecx\),%ymm6,%ymm2
193 [       ]*[a-f0-9]+:    c5 cc c2 d4 14          vcmpneq_usps %ymm4,%ymm6,%ymm2
194 [       ]*[a-f0-9]+:    c5 cc c2 11 14          vcmpneq_usps \(%ecx\),%ymm6,%ymm2
195 [       ]*[a-f0-9]+:    c5 cc c2 d4 15          vcmpnlt_uqps %ymm4,%ymm6,%ymm2
196 [       ]*[a-f0-9]+:    c5 cc c2 11 15          vcmpnlt_uqps \(%ecx\),%ymm6,%ymm2
197 [       ]*[a-f0-9]+:    c5 cc c2 d4 16          vcmpnle_uqps %ymm4,%ymm6,%ymm2
198 [       ]*[a-f0-9]+:    c5 cc c2 11 16          vcmpnle_uqps \(%ecx\),%ymm6,%ymm2
199 [       ]*[a-f0-9]+:    c5 cc c2 d4 17          vcmpord_sps %ymm4,%ymm6,%ymm2
200 [       ]*[a-f0-9]+:    c5 cc c2 11 17          vcmpord_sps \(%ecx\),%ymm6,%ymm2
201 [       ]*[a-f0-9]+:    c5 cc c2 d4 18          vcmpeq_usps %ymm4,%ymm6,%ymm2
202 [       ]*[a-f0-9]+:    c5 cc c2 11 18          vcmpeq_usps \(%ecx\),%ymm6,%ymm2
203 [       ]*[a-f0-9]+:    c5 cc c2 d4 19          vcmpnge_uqps %ymm4,%ymm6,%ymm2
204 [       ]*[a-f0-9]+:    c5 cc c2 11 19          vcmpnge_uqps \(%ecx\),%ymm6,%ymm2
205 [       ]*[a-f0-9]+:    c5 cc c2 d4 1a          vcmpngt_uqps %ymm4,%ymm6,%ymm2
206 [       ]*[a-f0-9]+:    c5 cc c2 11 1a          vcmpngt_uqps \(%ecx\),%ymm6,%ymm2
207 [       ]*[a-f0-9]+:    c5 cc c2 d4 1b          vcmpfalse_osps %ymm4,%ymm6,%ymm2
208 [       ]*[a-f0-9]+:    c5 cc c2 11 1b          vcmpfalse_osps \(%ecx\),%ymm6,%ymm2
209 [       ]*[a-f0-9]+:    c5 cc c2 d4 1c          vcmpneq_osps %ymm4,%ymm6,%ymm2
210 [       ]*[a-f0-9]+:    c5 cc c2 11 1c          vcmpneq_osps \(%ecx\),%ymm6,%ymm2
211 [       ]*[a-f0-9]+:    c5 cc c2 d4 1d          vcmpge_oqps %ymm4,%ymm6,%ymm2
212 [       ]*[a-f0-9]+:    c5 cc c2 11 1d          vcmpge_oqps \(%ecx\),%ymm6,%ymm2
213 [       ]*[a-f0-9]+:    c5 cc c2 d4 1e          vcmpgt_oqps %ymm4,%ymm6,%ymm2
214 [       ]*[a-f0-9]+:    c5 cc c2 11 1e          vcmpgt_oqps \(%ecx\),%ymm6,%ymm2
215 [       ]*[a-f0-9]+:    c5 cc c2 d4 1f          vcmptrue_usps %ymm4,%ymm6,%ymm2
216 [       ]*[a-f0-9]+:    c5 cc c2 11 1f          vcmptrue_usps \(%ecx\),%ymm6,%ymm2
217 [       ]*[a-f0-9]+:    c5 ff e6 e4             vcvtpd2dq %ymm4,%xmm4
218 [       ]*[a-f0-9]+:    c5 ff e6 21             vcvtpd2dqy \(%ecx\),%xmm4
219 [       ]*[a-f0-9]+:    c5 fd 5a e4             vcvtpd2ps %ymm4,%xmm4
220 [       ]*[a-f0-9]+:    c5 fd 5a 21             vcvtpd2psy \(%ecx\),%xmm4
221 [       ]*[a-f0-9]+:    c5 fd e6 e4             vcvttpd2dq %ymm4,%xmm4
222 [       ]*[a-f0-9]+:    c5 fd e6 21             vcvttpd2dqy \(%ecx\),%xmm4
223 [       ]*[a-f0-9]+:    c5 fc 5b f4             vcvtdq2ps %ymm4,%ymm6
224 [       ]*[a-f0-9]+:    c5 fc 5b 21             vcvtdq2ps \(%ecx\),%ymm4
225 [       ]*[a-f0-9]+:    c5 fd 5b f4             vcvtps2dq %ymm4,%ymm6
226 [       ]*[a-f0-9]+:    c5 fd 5b 21             vcvtps2dq \(%ecx\),%ymm4
227 [       ]*[a-f0-9]+:    c5 fe 5b f4             vcvttps2dq %ymm4,%ymm6
228 [       ]*[a-f0-9]+:    c5 fe 5b 21             vcvttps2dq \(%ecx\),%ymm4
229 [       ]*[a-f0-9]+:    c5 fd 28 f4             vmovapd %ymm4,%ymm6
230 [       ]*[a-f0-9]+:    c5 fd 28 21             vmovapd \(%ecx\),%ymm4
231 [       ]*[a-f0-9]+:    c5 fc 28 f4             vmovaps %ymm4,%ymm6
232 [       ]*[a-f0-9]+:    c5 fc 28 21             vmovaps \(%ecx\),%ymm4
233 [       ]*[a-f0-9]+:    c5 fd 6f f4             vmovdqa %ymm4,%ymm6
234 [       ]*[a-f0-9]+:    c5 fd 6f 21             vmovdqa \(%ecx\),%ymm4
235 [       ]*[a-f0-9]+:    c5 fe 6f f4             vmovdqu %ymm4,%ymm6
236 [       ]*[a-f0-9]+:    c5 fe 6f 21             vmovdqu \(%ecx\),%ymm4
237 [       ]*[a-f0-9]+:    c5 ff 12 f4             vmovddup %ymm4,%ymm6
238 [       ]*[a-f0-9]+:    c5 ff 12 21             vmovddup \(%ecx\),%ymm4
239 [       ]*[a-f0-9]+:    c5 fe 16 f4             vmovshdup %ymm4,%ymm6
240 [       ]*[a-f0-9]+:    c5 fe 16 21             vmovshdup \(%ecx\),%ymm4
241 [       ]*[a-f0-9]+:    c5 fe 12 f4             vmovsldup %ymm4,%ymm6
242 [       ]*[a-f0-9]+:    c5 fe 12 21             vmovsldup \(%ecx\),%ymm4
243 [       ]*[a-f0-9]+:    c5 fd 10 f4             vmovupd %ymm4,%ymm6
244 [       ]*[a-f0-9]+:    c5 fd 10 21             vmovupd \(%ecx\),%ymm4
245 [       ]*[a-f0-9]+:    c5 fc 10 f4             vmovups %ymm4,%ymm6
246 [       ]*[a-f0-9]+:    c5 fc 10 21             vmovups \(%ecx\),%ymm4
247 [       ]*[a-f0-9]+:    c4 e2 7d 17 f4          vptest %ymm4,%ymm6
248 [       ]*[a-f0-9]+:    c4 e2 7d 17 21          vptest \(%ecx\),%ymm4
249 [       ]*[a-f0-9]+:    c5 fc 53 f4             vrcpps %ymm4,%ymm6
250 [       ]*[a-f0-9]+:    c5 fc 53 21             vrcpps \(%ecx\),%ymm4
251 [       ]*[a-f0-9]+:    c5 fc 52 f4             vrsqrtps %ymm4,%ymm6
252 [       ]*[a-f0-9]+:    c5 fc 52 21             vrsqrtps \(%ecx\),%ymm4
253 [       ]*[a-f0-9]+:    c5 fd 51 f4             vsqrtpd %ymm4,%ymm6
254 [       ]*[a-f0-9]+:    c5 fd 51 21             vsqrtpd \(%ecx\),%ymm4
255 [       ]*[a-f0-9]+:    c5 fc 51 f4             vsqrtps %ymm4,%ymm6
256 [       ]*[a-f0-9]+:    c5 fc 51 21             vsqrtps \(%ecx\),%ymm4
257 [       ]*[a-f0-9]+:    c4 e2 7d 0f f4          vtestpd %ymm4,%ymm6
258 [       ]*[a-f0-9]+:    c4 e2 7d 0f 21          vtestpd \(%ecx\),%ymm4
259 [       ]*[a-f0-9]+:    c4 e2 7d 0e f4          vtestps %ymm4,%ymm6
260 [       ]*[a-f0-9]+:    c4 e2 7d 0e 21          vtestps \(%ecx\),%ymm4
261 [       ]*[a-f0-9]+:    c5 fd 28 f4             vmovapd %ymm4,%ymm6
262 [       ]*[a-f0-9]+:    c5 fd 29 21             vmovapd %ymm4,\(%ecx\)
263 [       ]*[a-f0-9]+:    c5 fc 28 f4             vmovaps %ymm4,%ymm6
264 [       ]*[a-f0-9]+:    c5 fc 29 21             vmovaps %ymm4,\(%ecx\)
265 [       ]*[a-f0-9]+:    c5 fd 6f f4             vmovdqa %ymm4,%ymm6
266 [       ]*[a-f0-9]+:    c5 fd 7f 21             vmovdqa %ymm4,\(%ecx\)
267 [       ]*[a-f0-9]+:    c5 fe 6f f4             vmovdqu %ymm4,%ymm6
268 [       ]*[a-f0-9]+:    c5 fe 7f 21             vmovdqu %ymm4,\(%ecx\)
269 [       ]*[a-f0-9]+:    c5 fd 10 f4             vmovupd %ymm4,%ymm6
270 [       ]*[a-f0-9]+:    c5 fd 11 21             vmovupd %ymm4,\(%ecx\)
271 [       ]*[a-f0-9]+:    c5 fc 10 f4             vmovups %ymm4,%ymm6
272 [       ]*[a-f0-9]+:    c5 fc 11 21             vmovups %ymm4,\(%ecx\)
273 [       ]*[a-f0-9]+:    c5 ff f0 21             vlddqu \(%ecx\),%ymm4
274 [       ]*[a-f0-9]+:    c5 fd e7 21             vmovntdq %ymm4,\(%ecx\)
275 [       ]*[a-f0-9]+:    c5 fd 2b 21             vmovntpd %ymm4,\(%ecx\)
276 [       ]*[a-f0-9]+:    c5 fc 2b 21             vmovntps %ymm4,\(%ecx\)
277 [       ]*[a-f0-9]+:    c4 e3 4d 0d d4 07       vblendpd \$0x7,%ymm4,%ymm6,%ymm2
278 [       ]*[a-f0-9]+:    c4 e3 4d 0d 11 07       vblendpd \$0x7,\(%ecx\),%ymm6,%ymm2
279 [       ]*[a-f0-9]+:    c4 e3 4d 0c d4 07       vblendps \$0x7,%ymm4,%ymm6,%ymm2
280 [       ]*[a-f0-9]+:    c4 e3 4d 0c 11 07       vblendps \$0x7,\(%ecx\),%ymm6,%ymm2
281 [       ]*[a-f0-9]+:    c5 cd c2 d4 07          vcmpordpd %ymm4,%ymm6,%ymm2
282 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%ecx\),%ymm6,%ymm2
283 [       ]*[a-f0-9]+:    c5 cc c2 d4 07          vcmpordps %ymm4,%ymm6,%ymm2
284 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%ecx\),%ymm6,%ymm2
285 [       ]*[a-f0-9]+:    c4 e3 4d 40 d4 07       vdpps  \$0x7,%ymm4,%ymm6,%ymm2
286 [       ]*[a-f0-9]+:    c4 e3 4d 40 11 07       vdpps  \$0x7,\(%ecx\),%ymm6,%ymm2
287 [       ]*[a-f0-9]+:    c4 e3 4d 06 d4 07       vperm2f128 \$0x7,%ymm4,%ymm6,%ymm2
288 [       ]*[a-f0-9]+:    c4 e3 4d 06 11 07       vperm2f128 \$0x7,\(%ecx\),%ymm6,%ymm2
289 [       ]*[a-f0-9]+:    c5 cd c6 d4 07          vshufpd \$0x7,%ymm4,%ymm6,%ymm2
290 [       ]*[a-f0-9]+:    c5 cd c6 11 07          vshufpd \$0x7,\(%ecx\),%ymm6,%ymm2
291 [       ]*[a-f0-9]+:    c5 cc c6 d4 07          vshufps \$0x7,%ymm4,%ymm6,%ymm2
292 [       ]*[a-f0-9]+:    c5 cc c6 11 07          vshufps \$0x7,\(%ecx\),%ymm6,%ymm2
293 [       ]*[a-f0-9]+:    c4 e3 6d 4b fe 40       vblendvpd %ymm4,%ymm6,%ymm2,%ymm7
294 [       ]*[a-f0-9]+:    c4 e3 6d 4b 39 40       vblendvpd %ymm4,\(%ecx\),%ymm2,%ymm7
295 [       ]*[a-f0-9]+:    c4 e3 6d 4a fe 40       vblendvps %ymm4,%ymm6,%ymm2,%ymm7
296 [       ]*[a-f0-9]+:    c4 e3 6d 4a 39 40       vblendvps %ymm4,\(%ecx\),%ymm2,%ymm7
297 [       ]*[a-f0-9]+:    c4 e3 5d 18 f4 07       vinsertf128 \$0x7,%xmm4,%ymm4,%ymm6
298 [       ]*[a-f0-9]+:    c4 e3 5d 18 31 07       vinsertf128 \$0x7,\(%ecx\),%ymm4,%ymm6
299 [       ]*[a-f0-9]+:    c4 e3 7d 19 e4 07       vextractf128 \$0x7,%ymm4,%xmm4
300 [       ]*[a-f0-9]+:    c4 e3 7d 19 21 07       vextractf128 \$0x7,%ymm4,\(%ecx\)
301 [       ]*[a-f0-9]+:    c4 e2 7d 1a 21          vbroadcastf128 \(%ecx\),%ymm4
302 [       ]*[a-f0-9]+:    c5 f8 5b f4             vcvtdq2ps %xmm4,%xmm6
303 [       ]*[a-f0-9]+:    c5 f8 5b 21             vcvtdq2ps \(%ecx\),%xmm4
304 [       ]*[a-f0-9]+:    c5 fb e6 f4             vcvtpd2dq %xmm4,%xmm6
305 [       ]*[a-f0-9]+:    c5 fb e6 21             vcvtpd2dqx \(%ecx\),%xmm4
306 [       ]*[a-f0-9]+:    c5 f9 5a f4             vcvtpd2ps %xmm4,%xmm6
307 [       ]*[a-f0-9]+:    c5 f9 5a 21             vcvtpd2psx \(%ecx\),%xmm4
308 [       ]*[a-f0-9]+:    c5 f9 5b f4             vcvtps2dq %xmm4,%xmm6
309 [       ]*[a-f0-9]+:    c5 f9 5b 21             vcvtps2dq \(%ecx\),%xmm4
310 [       ]*[a-f0-9]+:    c5 f9 e6 f4             vcvttpd2dq %xmm4,%xmm6
311 [       ]*[a-f0-9]+:    c5 f9 e6 21             vcvttpd2dqx \(%ecx\),%xmm4
312 [       ]*[a-f0-9]+:    c5 fa 5b f4             vcvttps2dq %xmm4,%xmm6
313 [       ]*[a-f0-9]+:    c5 fa 5b 21             vcvttps2dq \(%ecx\),%xmm4
314 [       ]*[a-f0-9]+:    c5 f9 28 f4             vmovapd %xmm4,%xmm6
315 [       ]*[a-f0-9]+:    c5 f9 28 21             vmovapd \(%ecx\),%xmm4
316 [       ]*[a-f0-9]+:    c5 f8 28 f4             vmovaps %xmm4,%xmm6
317 [       ]*[a-f0-9]+:    c5 f8 28 21             vmovaps \(%ecx\),%xmm4
318 [       ]*[a-f0-9]+:    c5 f9 6f f4             vmovdqa %xmm4,%xmm6
319 [       ]*[a-f0-9]+:    c5 f9 6f 21             vmovdqa \(%ecx\),%xmm4
320 [       ]*[a-f0-9]+:    c5 fa 6f f4             vmovdqu %xmm4,%xmm6
321 [       ]*[a-f0-9]+:    c5 fa 6f 21             vmovdqu \(%ecx\),%xmm4
322 [       ]*[a-f0-9]+:    c5 fa 16 f4             vmovshdup %xmm4,%xmm6
323 [       ]*[a-f0-9]+:    c5 fa 16 21             vmovshdup \(%ecx\),%xmm4
324 [       ]*[a-f0-9]+:    c5 fa 12 f4             vmovsldup %xmm4,%xmm6
325 [       ]*[a-f0-9]+:    c5 fa 12 21             vmovsldup \(%ecx\),%xmm4
326 [       ]*[a-f0-9]+:    c5 f9 10 f4             vmovupd %xmm4,%xmm6
327 [       ]*[a-f0-9]+:    c5 f9 10 21             vmovupd \(%ecx\),%xmm4
328 [       ]*[a-f0-9]+:    c5 f8 10 f4             vmovups %xmm4,%xmm6
329 [       ]*[a-f0-9]+:    c5 f8 10 21             vmovups \(%ecx\),%xmm4
330 [       ]*[a-f0-9]+:    c4 e2 79 1c f4          vpabsb %xmm4,%xmm6
331 [       ]*[a-f0-9]+:    c4 e2 79 1c 21          vpabsb \(%ecx\),%xmm4
332 [       ]*[a-f0-9]+:    c4 e2 79 1d f4          vpabsw %xmm4,%xmm6
333 [       ]*[a-f0-9]+:    c4 e2 79 1d 21          vpabsw \(%ecx\),%xmm4
334 [       ]*[a-f0-9]+:    c4 e2 79 1e f4          vpabsd %xmm4,%xmm6
335 [       ]*[a-f0-9]+:    c4 e2 79 1e 21          vpabsd \(%ecx\),%xmm4
336 [       ]*[a-f0-9]+:    c4 e2 79 41 f4          vphminposuw %xmm4,%xmm6
337 [       ]*[a-f0-9]+:    c4 e2 79 41 21          vphminposuw \(%ecx\),%xmm4
338 [       ]*[a-f0-9]+:    c4 e2 79 17 f4          vptest %xmm4,%xmm6
339 [       ]*[a-f0-9]+:    c4 e2 79 17 21          vptest \(%ecx\),%xmm4
340 [       ]*[a-f0-9]+:    c4 e2 79 0e f4          vtestps %xmm4,%xmm6
341 [       ]*[a-f0-9]+:    c4 e2 79 0e 21          vtestps \(%ecx\),%xmm4
342 [       ]*[a-f0-9]+:    c4 e2 79 0f f4          vtestpd %xmm4,%xmm6
343 [       ]*[a-f0-9]+:    c4 e2 79 0f 21          vtestpd \(%ecx\),%xmm4
344 [       ]*[a-f0-9]+:    c5 f8 53 f4             vrcpps %xmm4,%xmm6
345 [       ]*[a-f0-9]+:    c5 f8 53 21             vrcpps \(%ecx\),%xmm4
346 [       ]*[a-f0-9]+:    c5 f8 52 f4             vrsqrtps %xmm4,%xmm6
347 [       ]*[a-f0-9]+:    c5 f8 52 21             vrsqrtps \(%ecx\),%xmm4
348 [       ]*[a-f0-9]+:    c5 f9 51 f4             vsqrtpd %xmm4,%xmm6
349 [       ]*[a-f0-9]+:    c5 f9 51 21             vsqrtpd \(%ecx\),%xmm4
350 [       ]*[a-f0-9]+:    c5 f8 51 f4             vsqrtps %xmm4,%xmm6
351 [       ]*[a-f0-9]+:    c5 f8 51 21             vsqrtps \(%ecx\),%xmm4
352 [       ]*[a-f0-9]+:    c4 e2 79 db f4          vaesimc %xmm4,%xmm6
353 [       ]*[a-f0-9]+:    c4 e2 79 db 21          vaesimc \(%ecx\),%xmm4
354 [       ]*[a-f0-9]+:    c5 f9 28 f4             vmovapd %xmm4,%xmm6
355 [       ]*[a-f0-9]+:    c5 f9 29 21             vmovapd %xmm4,\(%ecx\)
356 [       ]*[a-f0-9]+:    c5 f8 28 f4             vmovaps %xmm4,%xmm6
357 [       ]*[a-f0-9]+:    c5 f8 29 21             vmovaps %xmm4,\(%ecx\)
358 [       ]*[a-f0-9]+:    c5 f9 6f f4             vmovdqa %xmm4,%xmm6
359 [       ]*[a-f0-9]+:    c5 f9 7f 21             vmovdqa %xmm4,\(%ecx\)
360 [       ]*[a-f0-9]+:    c5 fa 6f f4             vmovdqu %xmm4,%xmm6
361 [       ]*[a-f0-9]+:    c5 fa 7f 21             vmovdqu %xmm4,\(%ecx\)
362 [       ]*[a-f0-9]+:    c5 f9 10 f4             vmovupd %xmm4,%xmm6
363 [       ]*[a-f0-9]+:    c5 f9 11 21             vmovupd %xmm4,\(%ecx\)
364 [       ]*[a-f0-9]+:    c5 f8 10 f4             vmovups %xmm4,%xmm6
365 [       ]*[a-f0-9]+:    c5 f8 11 21             vmovups %xmm4,\(%ecx\)
366 [       ]*[a-f0-9]+:    c5 fb f0 21             vlddqu \(%ecx\),%xmm4
367 [       ]*[a-f0-9]+:    c4 e2 79 2a 21          vmovntdqa \(%ecx\),%xmm4
368 [       ]*[a-f0-9]+:    c5 f9 e7 21             vmovntdq %xmm4,\(%ecx\)
369 [       ]*[a-f0-9]+:    c5 f9 2b 21             vmovntpd %xmm4,\(%ecx\)
370 [       ]*[a-f0-9]+:    c5 f8 2b 21             vmovntps %xmm4,\(%ecx\)
371 [       ]*[a-f0-9]+:    c5 fe e6 e4             vcvtdq2pd %xmm4,%ymm4
372 [       ]*[a-f0-9]+:    c5 fe e6 21             vcvtdq2pd \(%ecx\),%ymm4
373 [       ]*[a-f0-9]+:    c5 fc 5a e4             vcvtps2pd %xmm4,%ymm4
374 [       ]*[a-f0-9]+:    c5 fc 5a 21             vcvtps2pd \(%ecx\),%ymm4
375 [       ]*[a-f0-9]+:    c5 c9 58 d4             vaddpd %xmm4,%xmm6,%xmm2
376 [       ]*[a-f0-9]+:    c5 c9 58 39             vaddpd \(%ecx\),%xmm6,%xmm7
377 [       ]*[a-f0-9]+:    c5 c8 58 d4             vaddps %xmm4,%xmm6,%xmm2
378 [       ]*[a-f0-9]+:    c5 c8 58 39             vaddps \(%ecx\),%xmm6,%xmm7
379 [       ]*[a-f0-9]+:    c5 c9 d0 d4             vaddsubpd %xmm4,%xmm6,%xmm2
380 [       ]*[a-f0-9]+:    c5 c9 d0 39             vaddsubpd \(%ecx\),%xmm6,%xmm7
381 [       ]*[a-f0-9]+:    c5 cb d0 d4             vaddsubps %xmm4,%xmm6,%xmm2
382 [       ]*[a-f0-9]+:    c5 cb d0 39             vaddsubps \(%ecx\),%xmm6,%xmm7
383 [       ]*[a-f0-9]+:    c5 c9 55 d4             vandnpd %xmm4,%xmm6,%xmm2
384 [       ]*[a-f0-9]+:    c5 c9 55 39             vandnpd \(%ecx\),%xmm6,%xmm7
385 [       ]*[a-f0-9]+:    c5 c8 55 d4             vandnps %xmm4,%xmm6,%xmm2
386 [       ]*[a-f0-9]+:    c5 c8 55 39             vandnps \(%ecx\),%xmm6,%xmm7
387 [       ]*[a-f0-9]+:    c5 c9 54 d4             vandpd %xmm4,%xmm6,%xmm2
388 [       ]*[a-f0-9]+:    c5 c9 54 39             vandpd \(%ecx\),%xmm6,%xmm7
389 [       ]*[a-f0-9]+:    c5 c8 54 d4             vandps %xmm4,%xmm6,%xmm2
390 [       ]*[a-f0-9]+:    c5 c8 54 39             vandps \(%ecx\),%xmm6,%xmm7
391 [       ]*[a-f0-9]+:    c5 c9 5e d4             vdivpd %xmm4,%xmm6,%xmm2
392 [       ]*[a-f0-9]+:    c5 c9 5e 39             vdivpd \(%ecx\),%xmm6,%xmm7
393 [       ]*[a-f0-9]+:    c5 c8 5e d4             vdivps %xmm4,%xmm6,%xmm2
394 [       ]*[a-f0-9]+:    c5 c8 5e 39             vdivps \(%ecx\),%xmm6,%xmm7
395 [       ]*[a-f0-9]+:    c5 c9 7c d4             vhaddpd %xmm4,%xmm6,%xmm2
396 [       ]*[a-f0-9]+:    c5 c9 7c 39             vhaddpd \(%ecx\),%xmm6,%xmm7
397 [       ]*[a-f0-9]+:    c5 cb 7c d4             vhaddps %xmm4,%xmm6,%xmm2
398 [       ]*[a-f0-9]+:    c5 cb 7c 39             vhaddps \(%ecx\),%xmm6,%xmm7
399 [       ]*[a-f0-9]+:    c5 c9 7d d4             vhsubpd %xmm4,%xmm6,%xmm2
400 [       ]*[a-f0-9]+:    c5 c9 7d 39             vhsubpd \(%ecx\),%xmm6,%xmm7
401 [       ]*[a-f0-9]+:    c5 cb 7d d4             vhsubps %xmm4,%xmm6,%xmm2
402 [       ]*[a-f0-9]+:    c5 cb 7d 39             vhsubps \(%ecx\),%xmm6,%xmm7
403 [       ]*[a-f0-9]+:    c5 c9 5f d4             vmaxpd %xmm4,%xmm6,%xmm2
404 [       ]*[a-f0-9]+:    c5 c9 5f 39             vmaxpd \(%ecx\),%xmm6,%xmm7
405 [       ]*[a-f0-9]+:    c5 c8 5f d4             vmaxps %xmm4,%xmm6,%xmm2
406 [       ]*[a-f0-9]+:    c5 c8 5f 39             vmaxps \(%ecx\),%xmm6,%xmm7
407 [       ]*[a-f0-9]+:    c5 c9 5d d4             vminpd %xmm4,%xmm6,%xmm2
408 [       ]*[a-f0-9]+:    c5 c9 5d 39             vminpd \(%ecx\),%xmm6,%xmm7
409 [       ]*[a-f0-9]+:    c5 c8 5d d4             vminps %xmm4,%xmm6,%xmm2
410 [       ]*[a-f0-9]+:    c5 c8 5d 39             vminps \(%ecx\),%xmm6,%xmm7
411 [       ]*[a-f0-9]+:    c5 c9 59 d4             vmulpd %xmm4,%xmm6,%xmm2
412 [       ]*[a-f0-9]+:    c5 c9 59 39             vmulpd \(%ecx\),%xmm6,%xmm7
413 [       ]*[a-f0-9]+:    c5 c8 59 d4             vmulps %xmm4,%xmm6,%xmm2
414 [       ]*[a-f0-9]+:    c5 c8 59 39             vmulps \(%ecx\),%xmm6,%xmm7
415 [       ]*[a-f0-9]+:    c5 c9 56 d4             vorpd  %xmm4,%xmm6,%xmm2
416 [       ]*[a-f0-9]+:    c5 c9 56 39             vorpd  \(%ecx\),%xmm6,%xmm7
417 [       ]*[a-f0-9]+:    c5 c8 56 d4             vorps  %xmm4,%xmm6,%xmm2
418 [       ]*[a-f0-9]+:    c5 c8 56 39             vorps  \(%ecx\),%xmm6,%xmm7
419 [       ]*[a-f0-9]+:    c5 c9 63 d4             vpacksswb %xmm4,%xmm6,%xmm2
420 [       ]*[a-f0-9]+:    c5 c9 63 39             vpacksswb \(%ecx\),%xmm6,%xmm7
421 [       ]*[a-f0-9]+:    c5 c9 6b d4             vpackssdw %xmm4,%xmm6,%xmm2
422 [       ]*[a-f0-9]+:    c5 c9 6b 39             vpackssdw \(%ecx\),%xmm6,%xmm7
423 [       ]*[a-f0-9]+:    c5 c9 67 d4             vpackuswb %xmm4,%xmm6,%xmm2
424 [       ]*[a-f0-9]+:    c5 c9 67 39             vpackuswb \(%ecx\),%xmm6,%xmm7
425 [       ]*[a-f0-9]+:    c4 e2 49 2b d4          vpackusdw %xmm4,%xmm6,%xmm2
426 [       ]*[a-f0-9]+:    c4 e2 49 2b 39          vpackusdw \(%ecx\),%xmm6,%xmm7
427 [       ]*[a-f0-9]+:    c5 c9 fc d4             vpaddb %xmm4,%xmm6,%xmm2
428 [       ]*[a-f0-9]+:    c5 c9 fc 39             vpaddb \(%ecx\),%xmm6,%xmm7
429 [       ]*[a-f0-9]+:    c5 c9 fd d4             vpaddw %xmm4,%xmm6,%xmm2
430 [       ]*[a-f0-9]+:    c5 c9 fd 39             vpaddw \(%ecx\),%xmm6,%xmm7
431 [       ]*[a-f0-9]+:    c5 c9 fe d4             vpaddd %xmm4,%xmm6,%xmm2
432 [       ]*[a-f0-9]+:    c5 c9 fe 39             vpaddd \(%ecx\),%xmm6,%xmm7
433 [       ]*[a-f0-9]+:    c5 c9 d4 d4             vpaddq %xmm4,%xmm6,%xmm2
434 [       ]*[a-f0-9]+:    c5 c9 d4 39             vpaddq \(%ecx\),%xmm6,%xmm7
435 [       ]*[a-f0-9]+:    c5 c9 ec d4             vpaddsb %xmm4,%xmm6,%xmm2
436 [       ]*[a-f0-9]+:    c5 c9 ec 39             vpaddsb \(%ecx\),%xmm6,%xmm7
437 [       ]*[a-f0-9]+:    c5 c9 ed d4             vpaddsw %xmm4,%xmm6,%xmm2
438 [       ]*[a-f0-9]+:    c5 c9 ed 39             vpaddsw \(%ecx\),%xmm6,%xmm7
439 [       ]*[a-f0-9]+:    c5 c9 dc d4             vpaddusb %xmm4,%xmm6,%xmm2
440 [       ]*[a-f0-9]+:    c5 c9 dc 39             vpaddusb \(%ecx\),%xmm6,%xmm7
441 [       ]*[a-f0-9]+:    c5 c9 dd d4             vpaddusw %xmm4,%xmm6,%xmm2
442 [       ]*[a-f0-9]+:    c5 c9 dd 39             vpaddusw \(%ecx\),%xmm6,%xmm7
443 [       ]*[a-f0-9]+:    c5 c9 db d4             vpand  %xmm4,%xmm6,%xmm2
444 [       ]*[a-f0-9]+:    c5 c9 db 39             vpand  \(%ecx\),%xmm6,%xmm7
445 [       ]*[a-f0-9]+:    c5 c9 df d4             vpandn %xmm4,%xmm6,%xmm2
446 [       ]*[a-f0-9]+:    c5 c9 df 39             vpandn \(%ecx\),%xmm6,%xmm7
447 [       ]*[a-f0-9]+:    c5 c9 e0 d4             vpavgb %xmm4,%xmm6,%xmm2
448 [       ]*[a-f0-9]+:    c5 c9 e0 39             vpavgb \(%ecx\),%xmm6,%xmm7
449 [       ]*[a-f0-9]+:    c5 c9 e3 d4             vpavgw %xmm4,%xmm6,%xmm2
450 [       ]*[a-f0-9]+:    c5 c9 e3 39             vpavgw \(%ecx\),%xmm6,%xmm7
451 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 00       vpclmullqlqdq %xmm4,%xmm6,%xmm2
452 [       ]*[a-f0-9]+:    c4 e3 49 44 39 00       vpclmullqlqdq \(%ecx\),%xmm6,%xmm7
453 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 01       vpclmulhqlqdq %xmm4,%xmm6,%xmm2
454 [       ]*[a-f0-9]+:    c4 e3 49 44 39 01       vpclmulhqlqdq \(%ecx\),%xmm6,%xmm7
455 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 10       vpclmullqhqdq %xmm4,%xmm6,%xmm2
456 [       ]*[a-f0-9]+:    c4 e3 49 44 39 10       vpclmullqhqdq \(%ecx\),%xmm6,%xmm7
457 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 11       vpclmulhqhqdq %xmm4,%xmm6,%xmm2
458 [       ]*[a-f0-9]+:    c4 e3 49 44 39 11       vpclmulhqhqdq \(%ecx\),%xmm6,%xmm7
459 [       ]*[a-f0-9]+:    c5 c9 74 d4             vpcmpeqb %xmm4,%xmm6,%xmm2
460 [       ]*[a-f0-9]+:    c5 c9 74 39             vpcmpeqb \(%ecx\),%xmm6,%xmm7
461 [       ]*[a-f0-9]+:    c5 c9 75 d4             vpcmpeqw %xmm4,%xmm6,%xmm2
462 [       ]*[a-f0-9]+:    c5 c9 75 39             vpcmpeqw \(%ecx\),%xmm6,%xmm7
463 [       ]*[a-f0-9]+:    c5 c9 76 d4             vpcmpeqd %xmm4,%xmm6,%xmm2
464 [       ]*[a-f0-9]+:    c5 c9 76 39             vpcmpeqd \(%ecx\),%xmm6,%xmm7
465 [       ]*[a-f0-9]+:    c4 e2 49 29 d4          vpcmpeqq %xmm4,%xmm6,%xmm2
466 [       ]*[a-f0-9]+:    c4 e2 49 29 39          vpcmpeqq \(%ecx\),%xmm6,%xmm7
467 [       ]*[a-f0-9]+:    c5 c9 64 d4             vpcmpgtb %xmm4,%xmm6,%xmm2
468 [       ]*[a-f0-9]+:    c5 c9 64 39             vpcmpgtb \(%ecx\),%xmm6,%xmm7
469 [       ]*[a-f0-9]+:    c5 c9 65 d4             vpcmpgtw %xmm4,%xmm6,%xmm2
470 [       ]*[a-f0-9]+:    c5 c9 65 39             vpcmpgtw \(%ecx\),%xmm6,%xmm7
471 [       ]*[a-f0-9]+:    c5 c9 66 d4             vpcmpgtd %xmm4,%xmm6,%xmm2
472 [       ]*[a-f0-9]+:    c5 c9 66 39             vpcmpgtd \(%ecx\),%xmm6,%xmm7
473 [       ]*[a-f0-9]+:    c4 e2 49 37 d4          vpcmpgtq %xmm4,%xmm6,%xmm2
474 [       ]*[a-f0-9]+:    c4 e2 49 37 39          vpcmpgtq \(%ecx\),%xmm6,%xmm7
475 [       ]*[a-f0-9]+:    c4 e2 49 0d d4          vpermilpd %xmm4,%xmm6,%xmm2
476 [       ]*[a-f0-9]+:    c4 e2 49 0d 39          vpermilpd \(%ecx\),%xmm6,%xmm7
477 [       ]*[a-f0-9]+:    c4 e2 49 0c d4          vpermilps %xmm4,%xmm6,%xmm2
478 [       ]*[a-f0-9]+:    c4 e2 49 0c 39          vpermilps \(%ecx\),%xmm6,%xmm7
479 [       ]*[a-f0-9]+:    c4 e2 49 01 d4          vphaddw %xmm4,%xmm6,%xmm2
480 [       ]*[a-f0-9]+:    c4 e2 49 01 39          vphaddw \(%ecx\),%xmm6,%xmm7
481 [       ]*[a-f0-9]+:    c4 e2 49 02 d4          vphaddd %xmm4,%xmm6,%xmm2
482 [       ]*[a-f0-9]+:    c4 e2 49 02 39          vphaddd \(%ecx\),%xmm6,%xmm7
483 [       ]*[a-f0-9]+:    c4 e2 49 03 d4          vphaddsw %xmm4,%xmm6,%xmm2
484 [       ]*[a-f0-9]+:    c4 e2 49 03 39          vphaddsw \(%ecx\),%xmm6,%xmm7
485 [       ]*[a-f0-9]+:    c4 e2 49 05 d4          vphsubw %xmm4,%xmm6,%xmm2
486 [       ]*[a-f0-9]+:    c4 e2 49 05 39          vphsubw \(%ecx\),%xmm6,%xmm7
487 [       ]*[a-f0-9]+:    c4 e2 49 06 d4          vphsubd %xmm4,%xmm6,%xmm2
488 [       ]*[a-f0-9]+:    c4 e2 49 06 39          vphsubd \(%ecx\),%xmm6,%xmm7
489 [       ]*[a-f0-9]+:    c4 e2 49 07 d4          vphsubsw %xmm4,%xmm6,%xmm2
490 [       ]*[a-f0-9]+:    c4 e2 49 07 39          vphsubsw \(%ecx\),%xmm6,%xmm7
491 [       ]*[a-f0-9]+:    c5 c9 f5 d4             vpmaddwd %xmm4,%xmm6,%xmm2
492 [       ]*[a-f0-9]+:    c5 c9 f5 39             vpmaddwd \(%ecx\),%xmm6,%xmm7
493 [       ]*[a-f0-9]+:    c4 e2 49 04 d4          vpmaddubsw %xmm4,%xmm6,%xmm2
494 [       ]*[a-f0-9]+:    c4 e2 49 04 39          vpmaddubsw \(%ecx\),%xmm6,%xmm7
495 [       ]*[a-f0-9]+:    c4 e2 49 3c d4          vpmaxsb %xmm4,%xmm6,%xmm2
496 [       ]*[a-f0-9]+:    c4 e2 49 3c 39          vpmaxsb \(%ecx\),%xmm6,%xmm7
497 [       ]*[a-f0-9]+:    c5 c9 ee d4             vpmaxsw %xmm4,%xmm6,%xmm2
498 [       ]*[a-f0-9]+:    c5 c9 ee 39             vpmaxsw \(%ecx\),%xmm6,%xmm7
499 [       ]*[a-f0-9]+:    c4 e2 49 3d d4          vpmaxsd %xmm4,%xmm6,%xmm2
500 [       ]*[a-f0-9]+:    c4 e2 49 3d 39          vpmaxsd \(%ecx\),%xmm6,%xmm7
501 [       ]*[a-f0-9]+:    c5 c9 de d4             vpmaxub %xmm4,%xmm6,%xmm2
502 [       ]*[a-f0-9]+:    c5 c9 de 39             vpmaxub \(%ecx\),%xmm6,%xmm7
503 [       ]*[a-f0-9]+:    c4 e2 49 3e d4          vpmaxuw %xmm4,%xmm6,%xmm2
504 [       ]*[a-f0-9]+:    c4 e2 49 3e 39          vpmaxuw \(%ecx\),%xmm6,%xmm7
505 [       ]*[a-f0-9]+:    c4 e2 49 3f d4          vpmaxud %xmm4,%xmm6,%xmm2
506 [       ]*[a-f0-9]+:    c4 e2 49 3f 39          vpmaxud \(%ecx\),%xmm6,%xmm7
507 [       ]*[a-f0-9]+:    c4 e2 49 38 d4          vpminsb %xmm4,%xmm6,%xmm2
508 [       ]*[a-f0-9]+:    c4 e2 49 38 39          vpminsb \(%ecx\),%xmm6,%xmm7
509 [       ]*[a-f0-9]+:    c5 c9 ea d4             vpminsw %xmm4,%xmm6,%xmm2
510 [       ]*[a-f0-9]+:    c5 c9 ea 39             vpminsw \(%ecx\),%xmm6,%xmm7
511 [       ]*[a-f0-9]+:    c4 e2 49 39 d4          vpminsd %xmm4,%xmm6,%xmm2
512 [       ]*[a-f0-9]+:    c4 e2 49 39 39          vpminsd \(%ecx\),%xmm6,%xmm7
513 [       ]*[a-f0-9]+:    c5 c9 da d4             vpminub %xmm4,%xmm6,%xmm2
514 [       ]*[a-f0-9]+:    c5 c9 da 39             vpminub \(%ecx\),%xmm6,%xmm7
515 [       ]*[a-f0-9]+:    c4 e2 49 3a d4          vpminuw %xmm4,%xmm6,%xmm2
516 [       ]*[a-f0-9]+:    c4 e2 49 3a 39          vpminuw \(%ecx\),%xmm6,%xmm7
517 [       ]*[a-f0-9]+:    c4 e2 49 3b d4          vpminud %xmm4,%xmm6,%xmm2
518 [       ]*[a-f0-9]+:    c4 e2 49 3b 39          vpminud \(%ecx\),%xmm6,%xmm7
519 [       ]*[a-f0-9]+:    c5 c9 e4 d4             vpmulhuw %xmm4,%xmm6,%xmm2
520 [       ]*[a-f0-9]+:    c5 c9 e4 39             vpmulhuw \(%ecx\),%xmm6,%xmm7
521 [       ]*[a-f0-9]+:    c4 e2 49 0b d4          vpmulhrsw %xmm4,%xmm6,%xmm2
522 [       ]*[a-f0-9]+:    c4 e2 49 0b 39          vpmulhrsw \(%ecx\),%xmm6,%xmm7
523 [       ]*[a-f0-9]+:    c5 c9 e5 d4             vpmulhw %xmm4,%xmm6,%xmm2
524 [       ]*[a-f0-9]+:    c5 c9 e5 39             vpmulhw \(%ecx\),%xmm6,%xmm7
525 [       ]*[a-f0-9]+:    c5 c9 d5 d4             vpmullw %xmm4,%xmm6,%xmm2
526 [       ]*[a-f0-9]+:    c5 c9 d5 39             vpmullw \(%ecx\),%xmm6,%xmm7
527 [       ]*[a-f0-9]+:    c4 e2 49 40 d4          vpmulld %xmm4,%xmm6,%xmm2
528 [       ]*[a-f0-9]+:    c4 e2 49 40 39          vpmulld \(%ecx\),%xmm6,%xmm7
529 [       ]*[a-f0-9]+:    c5 c9 f4 d4             vpmuludq %xmm4,%xmm6,%xmm2
530 [       ]*[a-f0-9]+:    c5 c9 f4 39             vpmuludq \(%ecx\),%xmm6,%xmm7
531 [       ]*[a-f0-9]+:    c4 e2 49 28 d4          vpmuldq %xmm4,%xmm6,%xmm2
532 [       ]*[a-f0-9]+:    c4 e2 49 28 39          vpmuldq \(%ecx\),%xmm6,%xmm7
533 [       ]*[a-f0-9]+:    c5 c9 eb d4             vpor   %xmm4,%xmm6,%xmm2
534 [       ]*[a-f0-9]+:    c5 c9 eb 39             vpor   \(%ecx\),%xmm6,%xmm7
535 [       ]*[a-f0-9]+:    c5 c9 f6 d4             vpsadbw %xmm4,%xmm6,%xmm2
536 [       ]*[a-f0-9]+:    c5 c9 f6 39             vpsadbw \(%ecx\),%xmm6,%xmm7
537 [       ]*[a-f0-9]+:    c4 e2 49 00 d4          vpshufb %xmm4,%xmm6,%xmm2
538 [       ]*[a-f0-9]+:    c4 e2 49 00 39          vpshufb \(%ecx\),%xmm6,%xmm7
539 [       ]*[a-f0-9]+:    c4 e2 49 08 d4          vpsignb %xmm4,%xmm6,%xmm2
540 [       ]*[a-f0-9]+:    c4 e2 49 08 39          vpsignb \(%ecx\),%xmm6,%xmm7
541 [       ]*[a-f0-9]+:    c4 e2 49 09 d4          vpsignw %xmm4,%xmm6,%xmm2
542 [       ]*[a-f0-9]+:    c4 e2 49 09 39          vpsignw \(%ecx\),%xmm6,%xmm7
543 [       ]*[a-f0-9]+:    c4 e2 49 0a d4          vpsignd %xmm4,%xmm6,%xmm2
544 [       ]*[a-f0-9]+:    c4 e2 49 0a 39          vpsignd \(%ecx\),%xmm6,%xmm7
545 [       ]*[a-f0-9]+:    c5 c9 f1 d4             vpsllw %xmm4,%xmm6,%xmm2
546 [       ]*[a-f0-9]+:    c5 c9 f1 39             vpsllw \(%ecx\),%xmm6,%xmm7
547 [       ]*[a-f0-9]+:    c5 c9 f2 d4             vpslld %xmm4,%xmm6,%xmm2
548 [       ]*[a-f0-9]+:    c5 c9 f2 39             vpslld \(%ecx\),%xmm6,%xmm7
549 [       ]*[a-f0-9]+:    c5 c9 f3 d4             vpsllq %xmm4,%xmm6,%xmm2
550 [       ]*[a-f0-9]+:    c5 c9 f3 39             vpsllq \(%ecx\),%xmm6,%xmm7
551 [       ]*[a-f0-9]+:    c5 c9 e1 d4             vpsraw %xmm4,%xmm6,%xmm2
552 [       ]*[a-f0-9]+:    c5 c9 e1 39             vpsraw \(%ecx\),%xmm6,%xmm7
553 [       ]*[a-f0-9]+:    c5 c9 e2 d4             vpsrad %xmm4,%xmm6,%xmm2
554 [       ]*[a-f0-9]+:    c5 c9 e2 39             vpsrad \(%ecx\),%xmm6,%xmm7
555 [       ]*[a-f0-9]+:    c5 c9 d1 d4             vpsrlw %xmm4,%xmm6,%xmm2
556 [       ]*[a-f0-9]+:    c5 c9 d1 39             vpsrlw \(%ecx\),%xmm6,%xmm7
557 [       ]*[a-f0-9]+:    c5 c9 d2 d4             vpsrld %xmm4,%xmm6,%xmm2
558 [       ]*[a-f0-9]+:    c5 c9 d2 39             vpsrld \(%ecx\),%xmm6,%xmm7
559 [       ]*[a-f0-9]+:    c5 c9 d3 d4             vpsrlq %xmm4,%xmm6,%xmm2
560 [       ]*[a-f0-9]+:    c5 c9 d3 39             vpsrlq \(%ecx\),%xmm6,%xmm7
561 [       ]*[a-f0-9]+:    c5 c9 f8 d4             vpsubb %xmm4,%xmm6,%xmm2
562 [       ]*[a-f0-9]+:    c5 c9 f8 39             vpsubb \(%ecx\),%xmm6,%xmm7
563 [       ]*[a-f0-9]+:    c5 c9 f9 d4             vpsubw %xmm4,%xmm6,%xmm2
564 [       ]*[a-f0-9]+:    c5 c9 f9 39             vpsubw \(%ecx\),%xmm6,%xmm7
565 [       ]*[a-f0-9]+:    c5 c9 fa d4             vpsubd %xmm4,%xmm6,%xmm2
566 [       ]*[a-f0-9]+:    c5 c9 fa 39             vpsubd \(%ecx\),%xmm6,%xmm7
567 [       ]*[a-f0-9]+:    c5 c9 fb d4             vpsubq %xmm4,%xmm6,%xmm2
568 [       ]*[a-f0-9]+:    c5 c9 fb 39             vpsubq \(%ecx\),%xmm6,%xmm7
569 [       ]*[a-f0-9]+:    c5 c9 e8 d4             vpsubsb %xmm4,%xmm6,%xmm2
570 [       ]*[a-f0-9]+:    c5 c9 e8 39             vpsubsb \(%ecx\),%xmm6,%xmm7
571 [       ]*[a-f0-9]+:    c5 c9 e9 d4             vpsubsw %xmm4,%xmm6,%xmm2
572 [       ]*[a-f0-9]+:    c5 c9 e9 39             vpsubsw \(%ecx\),%xmm6,%xmm7
573 [       ]*[a-f0-9]+:    c5 c9 d8 d4             vpsubusb %xmm4,%xmm6,%xmm2
574 [       ]*[a-f0-9]+:    c5 c9 d8 39             vpsubusb \(%ecx\),%xmm6,%xmm7
575 [       ]*[a-f0-9]+:    c5 c9 d9 d4             vpsubusw %xmm4,%xmm6,%xmm2
576 [       ]*[a-f0-9]+:    c5 c9 d9 39             vpsubusw \(%ecx\),%xmm6,%xmm7
577 [       ]*[a-f0-9]+:    c5 c9 68 d4             vpunpckhbw %xmm4,%xmm6,%xmm2
578 [       ]*[a-f0-9]+:    c5 c9 68 39             vpunpckhbw \(%ecx\),%xmm6,%xmm7
579 [       ]*[a-f0-9]+:    c5 c9 69 d4             vpunpckhwd %xmm4,%xmm6,%xmm2
580 [       ]*[a-f0-9]+:    c5 c9 69 39             vpunpckhwd \(%ecx\),%xmm6,%xmm7
581 [       ]*[a-f0-9]+:    c5 c9 6a d4             vpunpckhdq %xmm4,%xmm6,%xmm2
582 [       ]*[a-f0-9]+:    c5 c9 6a 39             vpunpckhdq \(%ecx\),%xmm6,%xmm7
583 [       ]*[a-f0-9]+:    c5 c9 6d d4             vpunpckhqdq %xmm4,%xmm6,%xmm2
584 [       ]*[a-f0-9]+:    c5 c9 6d 39             vpunpckhqdq \(%ecx\),%xmm6,%xmm7
585 [       ]*[a-f0-9]+:    c5 c9 60 d4             vpunpcklbw %xmm4,%xmm6,%xmm2
586 [       ]*[a-f0-9]+:    c5 c9 60 39             vpunpcklbw \(%ecx\),%xmm6,%xmm7
587 [       ]*[a-f0-9]+:    c5 c9 61 d4             vpunpcklwd %xmm4,%xmm6,%xmm2
588 [       ]*[a-f0-9]+:    c5 c9 61 39             vpunpcklwd \(%ecx\),%xmm6,%xmm7
589 [       ]*[a-f0-9]+:    c5 c9 62 d4             vpunpckldq %xmm4,%xmm6,%xmm2
590 [       ]*[a-f0-9]+:    c5 c9 62 39             vpunpckldq \(%ecx\),%xmm6,%xmm7
591 [       ]*[a-f0-9]+:    c5 c9 6c d4             vpunpcklqdq %xmm4,%xmm6,%xmm2
592 [       ]*[a-f0-9]+:    c5 c9 6c 39             vpunpcklqdq \(%ecx\),%xmm6,%xmm7
593 [       ]*[a-f0-9]+:    c5 c9 ef d4             vpxor  %xmm4,%xmm6,%xmm2
594 [       ]*[a-f0-9]+:    c5 c9 ef 39             vpxor  \(%ecx\),%xmm6,%xmm7
595 [       ]*[a-f0-9]+:    c5 c9 5c d4             vsubpd %xmm4,%xmm6,%xmm2
596 [       ]*[a-f0-9]+:    c5 c9 5c 39             vsubpd \(%ecx\),%xmm6,%xmm7
597 [       ]*[a-f0-9]+:    c5 c8 5c d4             vsubps %xmm4,%xmm6,%xmm2
598 [       ]*[a-f0-9]+:    c5 c8 5c 39             vsubps \(%ecx\),%xmm6,%xmm7
599 [       ]*[a-f0-9]+:    c5 c9 15 d4             vunpckhpd %xmm4,%xmm6,%xmm2
600 [       ]*[a-f0-9]+:    c5 c9 15 39             vunpckhpd \(%ecx\),%xmm6,%xmm7
601 [       ]*[a-f0-9]+:    c5 c8 15 d4             vunpckhps %xmm4,%xmm6,%xmm2
602 [       ]*[a-f0-9]+:    c5 c8 15 39             vunpckhps \(%ecx\),%xmm6,%xmm7
603 [       ]*[a-f0-9]+:    c5 c9 14 d4             vunpcklpd %xmm4,%xmm6,%xmm2
604 [       ]*[a-f0-9]+:    c5 c9 14 39             vunpcklpd \(%ecx\),%xmm6,%xmm7
605 [       ]*[a-f0-9]+:    c5 c8 14 d4             vunpcklps %xmm4,%xmm6,%xmm2
606 [       ]*[a-f0-9]+:    c5 c8 14 39             vunpcklps \(%ecx\),%xmm6,%xmm7
607 [       ]*[a-f0-9]+:    c5 c9 57 d4             vxorpd %xmm4,%xmm6,%xmm2
608 [       ]*[a-f0-9]+:    c5 c9 57 39             vxorpd \(%ecx\),%xmm6,%xmm7
609 [       ]*[a-f0-9]+:    c5 c8 57 d4             vxorps %xmm4,%xmm6,%xmm2
610 [       ]*[a-f0-9]+:    c5 c8 57 39             vxorps \(%ecx\),%xmm6,%xmm7
611 [       ]*[a-f0-9]+:    c4 e2 49 dc d4          vaesenc %xmm4,%xmm6,%xmm2
612 [       ]*[a-f0-9]+:    c4 e2 49 dc 39          vaesenc \(%ecx\),%xmm6,%xmm7
613 [       ]*[a-f0-9]+:    c4 e2 49 dd d4          vaesenclast %xmm4,%xmm6,%xmm2
614 [       ]*[a-f0-9]+:    c4 e2 49 dd 39          vaesenclast \(%ecx\),%xmm6,%xmm7
615 [       ]*[a-f0-9]+:    c4 e2 49 de d4          vaesdec %xmm4,%xmm6,%xmm2
616 [       ]*[a-f0-9]+:    c4 e2 49 de 39          vaesdec \(%ecx\),%xmm6,%xmm7
617 [       ]*[a-f0-9]+:    c4 e2 49 df d4          vaesdeclast %xmm4,%xmm6,%xmm2
618 [       ]*[a-f0-9]+:    c4 e2 49 df 39          vaesdeclast \(%ecx\),%xmm6,%xmm7
619 [       ]*[a-f0-9]+:    c5 c9 c2 d4 00          vcmpeqpd %xmm4,%xmm6,%xmm2
620 [       ]*[a-f0-9]+:    c5 c9 c2 39 00          vcmpeqpd \(%ecx\),%xmm6,%xmm7
621 [       ]*[a-f0-9]+:    c5 c9 c2 d4 01          vcmpltpd %xmm4,%xmm6,%xmm2
622 [       ]*[a-f0-9]+:    c5 c9 c2 39 01          vcmpltpd \(%ecx\),%xmm6,%xmm7
623 [       ]*[a-f0-9]+:    c5 c9 c2 d4 02          vcmplepd %xmm4,%xmm6,%xmm2
624 [       ]*[a-f0-9]+:    c5 c9 c2 39 02          vcmplepd \(%ecx\),%xmm6,%xmm7
625 [       ]*[a-f0-9]+:    c5 c9 c2 d4 03          vcmpunordpd %xmm4,%xmm6,%xmm2
626 [       ]*[a-f0-9]+:    c5 c9 c2 39 03          vcmpunordpd \(%ecx\),%xmm6,%xmm7
627 [       ]*[a-f0-9]+:    c5 c9 c2 d4 04          vcmpneqpd %xmm4,%xmm6,%xmm2
628 [       ]*[a-f0-9]+:    c5 c9 c2 39 04          vcmpneqpd \(%ecx\),%xmm6,%xmm7
629 [       ]*[a-f0-9]+:    c5 c9 c2 d4 05          vcmpnltpd %xmm4,%xmm6,%xmm2
630 [       ]*[a-f0-9]+:    c5 c9 c2 39 05          vcmpnltpd \(%ecx\),%xmm6,%xmm7
631 [       ]*[a-f0-9]+:    c5 c9 c2 d4 06          vcmpnlepd %xmm4,%xmm6,%xmm2
632 [       ]*[a-f0-9]+:    c5 c9 c2 39 06          vcmpnlepd \(%ecx\),%xmm6,%xmm7
633 [       ]*[a-f0-9]+:    c5 c9 c2 d4 07          vcmpordpd %xmm4,%xmm6,%xmm2
634 [       ]*[a-f0-9]+:    c5 c9 c2 39 07          vcmpordpd \(%ecx\),%xmm6,%xmm7
635 [       ]*[a-f0-9]+:    c5 c9 c2 d4 08          vcmpeq_uqpd %xmm4,%xmm6,%xmm2
636 [       ]*[a-f0-9]+:    c5 c9 c2 39 08          vcmpeq_uqpd \(%ecx\),%xmm6,%xmm7
637 [       ]*[a-f0-9]+:    c5 c9 c2 d4 09          vcmpngepd %xmm4,%xmm6,%xmm2
638 [       ]*[a-f0-9]+:    c5 c9 c2 39 09          vcmpngepd \(%ecx\),%xmm6,%xmm7
639 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0a          vcmpngtpd %xmm4,%xmm6,%xmm2
640 [       ]*[a-f0-9]+:    c5 c9 c2 39 0a          vcmpngtpd \(%ecx\),%xmm6,%xmm7
641 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0b          vcmpfalsepd %xmm4,%xmm6,%xmm2
642 [       ]*[a-f0-9]+:    c5 c9 c2 39 0b          vcmpfalsepd \(%ecx\),%xmm6,%xmm7
643 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0c          vcmpneq_oqpd %xmm4,%xmm6,%xmm2
644 [       ]*[a-f0-9]+:    c5 c9 c2 39 0c          vcmpneq_oqpd \(%ecx\),%xmm6,%xmm7
645 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0d          vcmpgepd %xmm4,%xmm6,%xmm2
646 [       ]*[a-f0-9]+:    c5 c9 c2 39 0d          vcmpgepd \(%ecx\),%xmm6,%xmm7
647 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0e          vcmpgtpd %xmm4,%xmm6,%xmm2
648 [       ]*[a-f0-9]+:    c5 c9 c2 39 0e          vcmpgtpd \(%ecx\),%xmm6,%xmm7
649 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0f          vcmptruepd %xmm4,%xmm6,%xmm2
650 [       ]*[a-f0-9]+:    c5 c9 c2 39 0f          vcmptruepd \(%ecx\),%xmm6,%xmm7
651 [       ]*[a-f0-9]+:    c5 c9 c2 d4 10          vcmpeq_ospd %xmm4,%xmm6,%xmm2
652 [       ]*[a-f0-9]+:    c5 c9 c2 39 10          vcmpeq_ospd \(%ecx\),%xmm6,%xmm7
653 [       ]*[a-f0-9]+:    c5 c9 c2 d4 11          vcmplt_oqpd %xmm4,%xmm6,%xmm2
654 [       ]*[a-f0-9]+:    c5 c9 c2 39 11          vcmplt_oqpd \(%ecx\),%xmm6,%xmm7
655 [       ]*[a-f0-9]+:    c5 c9 c2 d4 12          vcmple_oqpd %xmm4,%xmm6,%xmm2
656 [       ]*[a-f0-9]+:    c5 c9 c2 39 12          vcmple_oqpd \(%ecx\),%xmm6,%xmm7
657 [       ]*[a-f0-9]+:    c5 c9 c2 d4 13          vcmpunord_spd %xmm4,%xmm6,%xmm2
658 [       ]*[a-f0-9]+:    c5 c9 c2 39 13          vcmpunord_spd \(%ecx\),%xmm6,%xmm7
659 [       ]*[a-f0-9]+:    c5 c9 c2 d4 14          vcmpneq_uspd %xmm4,%xmm6,%xmm2
660 [       ]*[a-f0-9]+:    c5 c9 c2 39 14          vcmpneq_uspd \(%ecx\),%xmm6,%xmm7
661 [       ]*[a-f0-9]+:    c5 c9 c2 d4 15          vcmpnlt_uqpd %xmm4,%xmm6,%xmm2
662 [       ]*[a-f0-9]+:    c5 c9 c2 39 15          vcmpnlt_uqpd \(%ecx\),%xmm6,%xmm7
663 [       ]*[a-f0-9]+:    c5 c9 c2 d4 16          vcmpnle_uqpd %xmm4,%xmm6,%xmm2
664 [       ]*[a-f0-9]+:    c5 c9 c2 39 16          vcmpnle_uqpd \(%ecx\),%xmm6,%xmm7
665 [       ]*[a-f0-9]+:    c5 c9 c2 d4 17          vcmpord_spd %xmm4,%xmm6,%xmm2
666 [       ]*[a-f0-9]+:    c5 c9 c2 39 17          vcmpord_spd \(%ecx\),%xmm6,%xmm7
667 [       ]*[a-f0-9]+:    c5 c9 c2 d4 18          vcmpeq_uspd %xmm4,%xmm6,%xmm2
668 [       ]*[a-f0-9]+:    c5 c9 c2 39 18          vcmpeq_uspd \(%ecx\),%xmm6,%xmm7
669 [       ]*[a-f0-9]+:    c5 c9 c2 d4 19          vcmpnge_uqpd %xmm4,%xmm6,%xmm2
670 [       ]*[a-f0-9]+:    c5 c9 c2 39 19          vcmpnge_uqpd \(%ecx\),%xmm6,%xmm7
671 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1a          vcmpngt_uqpd %xmm4,%xmm6,%xmm2
672 [       ]*[a-f0-9]+:    c5 c9 c2 39 1a          vcmpngt_uqpd \(%ecx\),%xmm6,%xmm7
673 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1b          vcmpfalse_ospd %xmm4,%xmm6,%xmm2
674 [       ]*[a-f0-9]+:    c5 c9 c2 39 1b          vcmpfalse_ospd \(%ecx\),%xmm6,%xmm7
675 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1c          vcmpneq_ospd %xmm4,%xmm6,%xmm2
676 [       ]*[a-f0-9]+:    c5 c9 c2 39 1c          vcmpneq_ospd \(%ecx\),%xmm6,%xmm7
677 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1d          vcmpge_oqpd %xmm4,%xmm6,%xmm2
678 [       ]*[a-f0-9]+:    c5 c9 c2 39 1d          vcmpge_oqpd \(%ecx\),%xmm6,%xmm7
679 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1e          vcmpgt_oqpd %xmm4,%xmm6,%xmm2
680 [       ]*[a-f0-9]+:    c5 c9 c2 39 1e          vcmpgt_oqpd \(%ecx\),%xmm6,%xmm7
681 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1f          vcmptrue_uspd %xmm4,%xmm6,%xmm2
682 [       ]*[a-f0-9]+:    c5 c9 c2 39 1f          vcmptrue_uspd \(%ecx\),%xmm6,%xmm7
683 [       ]*[a-f0-9]+:    c5 c8 c2 d4 00          vcmpeqps %xmm4,%xmm6,%xmm2
684 [       ]*[a-f0-9]+:    c5 c8 c2 39 00          vcmpeqps \(%ecx\),%xmm6,%xmm7
685 [       ]*[a-f0-9]+:    c5 c8 c2 d4 01          vcmpltps %xmm4,%xmm6,%xmm2
686 [       ]*[a-f0-9]+:    c5 c8 c2 39 01          vcmpltps \(%ecx\),%xmm6,%xmm7
687 [       ]*[a-f0-9]+:    c5 c8 c2 d4 02          vcmpleps %xmm4,%xmm6,%xmm2
688 [       ]*[a-f0-9]+:    c5 c8 c2 39 02          vcmpleps \(%ecx\),%xmm6,%xmm7
689 [       ]*[a-f0-9]+:    c5 c8 c2 d4 03          vcmpunordps %xmm4,%xmm6,%xmm2
690 [       ]*[a-f0-9]+:    c5 c8 c2 39 03          vcmpunordps \(%ecx\),%xmm6,%xmm7
691 [       ]*[a-f0-9]+:    c5 c8 c2 d4 04          vcmpneqps %xmm4,%xmm6,%xmm2
692 [       ]*[a-f0-9]+:    c5 c8 c2 39 04          vcmpneqps \(%ecx\),%xmm6,%xmm7
693 [       ]*[a-f0-9]+:    c5 c8 c2 d4 05          vcmpnltps %xmm4,%xmm6,%xmm2
694 [       ]*[a-f0-9]+:    c5 c8 c2 39 05          vcmpnltps \(%ecx\),%xmm6,%xmm7
695 [       ]*[a-f0-9]+:    c5 c8 c2 d4 06          vcmpnleps %xmm4,%xmm6,%xmm2
696 [       ]*[a-f0-9]+:    c5 c8 c2 39 06          vcmpnleps \(%ecx\),%xmm6,%xmm7
697 [       ]*[a-f0-9]+:    c5 c8 c2 d4 07          vcmpordps %xmm4,%xmm6,%xmm2
698 [       ]*[a-f0-9]+:    c5 c8 c2 39 07          vcmpordps \(%ecx\),%xmm6,%xmm7
699 [       ]*[a-f0-9]+:    c5 c8 c2 d4 08          vcmpeq_uqps %xmm4,%xmm6,%xmm2
700 [       ]*[a-f0-9]+:    c5 c8 c2 39 08          vcmpeq_uqps \(%ecx\),%xmm6,%xmm7
701 [       ]*[a-f0-9]+:    c5 c8 c2 d4 09          vcmpngeps %xmm4,%xmm6,%xmm2
702 [       ]*[a-f0-9]+:    c5 c8 c2 39 09          vcmpngeps \(%ecx\),%xmm6,%xmm7
703 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0a          vcmpngtps %xmm4,%xmm6,%xmm2
704 [       ]*[a-f0-9]+:    c5 c8 c2 39 0a          vcmpngtps \(%ecx\),%xmm6,%xmm7
705 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0b          vcmpfalseps %xmm4,%xmm6,%xmm2
706 [       ]*[a-f0-9]+:    c5 c8 c2 39 0b          vcmpfalseps \(%ecx\),%xmm6,%xmm7
707 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0c          vcmpneq_oqps %xmm4,%xmm6,%xmm2
708 [       ]*[a-f0-9]+:    c5 c8 c2 39 0c          vcmpneq_oqps \(%ecx\),%xmm6,%xmm7
709 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0d          vcmpgeps %xmm4,%xmm6,%xmm2
710 [       ]*[a-f0-9]+:    c5 c8 c2 39 0d          vcmpgeps \(%ecx\),%xmm6,%xmm7
711 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0e          vcmpgtps %xmm4,%xmm6,%xmm2
712 [       ]*[a-f0-9]+:    c5 c8 c2 39 0e          vcmpgtps \(%ecx\),%xmm6,%xmm7
713 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0f          vcmptrueps %xmm4,%xmm6,%xmm2
714 [       ]*[a-f0-9]+:    c5 c8 c2 39 0f          vcmptrueps \(%ecx\),%xmm6,%xmm7
715 [       ]*[a-f0-9]+:    c5 c8 c2 d4 10          vcmpeq_osps %xmm4,%xmm6,%xmm2
716 [       ]*[a-f0-9]+:    c5 c8 c2 39 10          vcmpeq_osps \(%ecx\),%xmm6,%xmm7
717 [       ]*[a-f0-9]+:    c5 c8 c2 d4 11          vcmplt_oqps %xmm4,%xmm6,%xmm2
718 [       ]*[a-f0-9]+:    c5 c8 c2 39 11          vcmplt_oqps \(%ecx\),%xmm6,%xmm7
719 [       ]*[a-f0-9]+:    c5 c8 c2 d4 12          vcmple_oqps %xmm4,%xmm6,%xmm2
720 [       ]*[a-f0-9]+:    c5 c8 c2 39 12          vcmple_oqps \(%ecx\),%xmm6,%xmm7
721 [       ]*[a-f0-9]+:    c5 c8 c2 d4 13          vcmpunord_sps %xmm4,%xmm6,%xmm2
722 [       ]*[a-f0-9]+:    c5 c8 c2 39 13          vcmpunord_sps \(%ecx\),%xmm6,%xmm7
723 [       ]*[a-f0-9]+:    c5 c8 c2 d4 14          vcmpneq_usps %xmm4,%xmm6,%xmm2
724 [       ]*[a-f0-9]+:    c5 c8 c2 39 14          vcmpneq_usps \(%ecx\),%xmm6,%xmm7
725 [       ]*[a-f0-9]+:    c5 c8 c2 d4 15          vcmpnlt_uqps %xmm4,%xmm6,%xmm2
726 [       ]*[a-f0-9]+:    c5 c8 c2 39 15          vcmpnlt_uqps \(%ecx\),%xmm6,%xmm7
727 [       ]*[a-f0-9]+:    c5 c8 c2 d4 16          vcmpnle_uqps %xmm4,%xmm6,%xmm2
728 [       ]*[a-f0-9]+:    c5 c8 c2 39 16          vcmpnle_uqps \(%ecx\),%xmm6,%xmm7
729 [       ]*[a-f0-9]+:    c5 c8 c2 d4 17          vcmpord_sps %xmm4,%xmm6,%xmm2
730 [       ]*[a-f0-9]+:    c5 c8 c2 39 17          vcmpord_sps \(%ecx\),%xmm6,%xmm7
731 [       ]*[a-f0-9]+:    c5 c8 c2 d4 18          vcmpeq_usps %xmm4,%xmm6,%xmm2
732 [       ]*[a-f0-9]+:    c5 c8 c2 39 18          vcmpeq_usps \(%ecx\),%xmm6,%xmm7
733 [       ]*[a-f0-9]+:    c5 c8 c2 d4 19          vcmpnge_uqps %xmm4,%xmm6,%xmm2
734 [       ]*[a-f0-9]+:    c5 c8 c2 39 19          vcmpnge_uqps \(%ecx\),%xmm6,%xmm7
735 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1a          vcmpngt_uqps %xmm4,%xmm6,%xmm2
736 [       ]*[a-f0-9]+:    c5 c8 c2 39 1a          vcmpngt_uqps \(%ecx\),%xmm6,%xmm7
737 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1b          vcmpfalse_osps %xmm4,%xmm6,%xmm2
738 [       ]*[a-f0-9]+:    c5 c8 c2 39 1b          vcmpfalse_osps \(%ecx\),%xmm6,%xmm7
739 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1c          vcmpneq_osps %xmm4,%xmm6,%xmm2
740 [       ]*[a-f0-9]+:    c5 c8 c2 39 1c          vcmpneq_osps \(%ecx\),%xmm6,%xmm7
741 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1d          vcmpge_oqps %xmm4,%xmm6,%xmm2
742 [       ]*[a-f0-9]+:    c5 c8 c2 39 1d          vcmpge_oqps \(%ecx\),%xmm6,%xmm7
743 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1e          vcmpgt_oqps %xmm4,%xmm6,%xmm2
744 [       ]*[a-f0-9]+:    c5 c8 c2 39 1e          vcmpgt_oqps \(%ecx\),%xmm6,%xmm7
745 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1f          vcmptrue_usps %xmm4,%xmm6,%xmm2
746 [       ]*[a-f0-9]+:    c5 c8 c2 39 1f          vcmptrue_usps \(%ecx\),%xmm6,%xmm7
747 [       ]*[a-f0-9]+:    c4 e2 59 2c 31          vmaskmovps \(%ecx\),%xmm4,%xmm6
748 [       ]*[a-f0-9]+:    c4 e2 59 2d 31          vmaskmovpd \(%ecx\),%xmm4,%xmm6
749 [       ]*[a-f0-9]+:    c4 e3 79 df f4 07       vaeskeygenassist \$0x7,%xmm4,%xmm6
750 [       ]*[a-f0-9]+:    c4 e3 79 df 31 07       vaeskeygenassist \$0x7,\(%ecx\),%xmm6
751 [       ]*[a-f0-9]+:    c4 e3 79 61 f4 07       vpcmpestri \$0x7,%xmm4,%xmm6
752 [       ]*[a-f0-9]+:    c4 e3 79 61 31 07       vpcmpestri \$0x7,\(%ecx\),%xmm6
753 [       ]*[a-f0-9]+:    c4 e3 79 60 f4 07       vpcmpestrm \$0x7,%xmm4,%xmm6
754 [       ]*[a-f0-9]+:    c4 e3 79 60 31 07       vpcmpestrm \$0x7,\(%ecx\),%xmm6
755 [       ]*[a-f0-9]+:    c4 e3 79 63 f4 07       vpcmpistri \$0x7,%xmm4,%xmm6
756 [       ]*[a-f0-9]+:    c4 e3 79 63 31 07       vpcmpistri \$0x7,\(%ecx\),%xmm6
757 [       ]*[a-f0-9]+:    c4 e3 79 62 f4 07       vpcmpistrm \$0x7,%xmm4,%xmm6
758 [       ]*[a-f0-9]+:    c4 e3 79 62 31 07       vpcmpistrm \$0x7,\(%ecx\),%xmm6
759 [       ]*[a-f0-9]+:    c4 e3 79 05 f4 07       vpermilpd \$0x7,%xmm4,%xmm6
760 [       ]*[a-f0-9]+:    c4 e3 79 05 31 07       vpermilpd \$0x7,\(%ecx\),%xmm6
761 [       ]*[a-f0-9]+:    c4 e3 79 04 f4 07       vpermilps \$0x7,%xmm4,%xmm6
762 [       ]*[a-f0-9]+:    c4 e3 79 04 31 07       vpermilps \$0x7,\(%ecx\),%xmm6
763 [       ]*[a-f0-9]+:    c5 f9 70 f4 07          vpshufd \$0x7,%xmm4,%xmm6
764 [       ]*[a-f0-9]+:    c5 f9 70 31 07          vpshufd \$0x7,\(%ecx\),%xmm6
765 [       ]*[a-f0-9]+:    c5 fa 70 f4 07          vpshufhw \$0x7,%xmm4,%xmm6
766 [       ]*[a-f0-9]+:    c5 fa 70 31 07          vpshufhw \$0x7,\(%ecx\),%xmm6
767 [       ]*[a-f0-9]+:    c5 fb 70 f4 07          vpshuflw \$0x7,%xmm4,%xmm6
768 [       ]*[a-f0-9]+:    c5 fb 70 31 07          vpshuflw \$0x7,\(%ecx\),%xmm6
769 [       ]*[a-f0-9]+:    c4 e3 79 09 f4 07       vroundpd \$0x7,%xmm4,%xmm6
770 [       ]*[a-f0-9]+:    c4 e3 79 09 31 07       vroundpd \$0x7,\(%ecx\),%xmm6
771 [       ]*[a-f0-9]+:    c4 e3 79 08 f4 07       vroundps \$0x7,%xmm4,%xmm6
772 [       ]*[a-f0-9]+:    c4 e3 79 08 31 07       vroundps \$0x7,\(%ecx\),%xmm6
773 [       ]*[a-f0-9]+:    c4 e2 49 2e 21          vmaskmovps %xmm4,%xmm6,\(%ecx\)
774 [       ]*[a-f0-9]+:    c4 e2 49 2f 21          vmaskmovpd %xmm4,%xmm6,\(%ecx\)
775 [       ]*[a-f0-9]+:    c4 e3 49 0d d4 07       vblendpd \$0x7,%xmm4,%xmm6,%xmm2
776 [       ]*[a-f0-9]+:    c4 e3 49 0d 11 07       vblendpd \$0x7,\(%ecx\),%xmm6,%xmm2
777 [       ]*[a-f0-9]+:    c4 e3 49 0c d4 07       vblendps \$0x7,%xmm4,%xmm6,%xmm2
778 [       ]*[a-f0-9]+:    c4 e3 49 0c 11 07       vblendps \$0x7,\(%ecx\),%xmm6,%xmm2
779 [       ]*[a-f0-9]+:    c5 c9 c2 d4 07          vcmpordpd %xmm4,%xmm6,%xmm2
780 [       ]*[a-f0-9]+:    c5 c9 c2 11 07          vcmpordpd \(%ecx\),%xmm6,%xmm2
781 [       ]*[a-f0-9]+:    c5 c8 c2 d4 07          vcmpordps %xmm4,%xmm6,%xmm2
782 [       ]*[a-f0-9]+:    c5 c8 c2 11 07          vcmpordps \(%ecx\),%xmm6,%xmm2
783 [       ]*[a-f0-9]+:    c4 e3 49 41 d4 07       vdppd  \$0x7,%xmm4,%xmm6,%xmm2
784 [       ]*[a-f0-9]+:    c4 e3 49 41 11 07       vdppd  \$0x7,\(%ecx\),%xmm6,%xmm2
785 [       ]*[a-f0-9]+:    c4 e3 49 40 d4 07       vdpps  \$0x7,%xmm4,%xmm6,%xmm2
786 [       ]*[a-f0-9]+:    c4 e3 49 40 11 07       vdpps  \$0x7,\(%ecx\),%xmm6,%xmm2
787 [       ]*[a-f0-9]+:    c4 e3 49 42 d4 07       vmpsadbw \$0x7,%xmm4,%xmm6,%xmm2
788 [       ]*[a-f0-9]+:    c4 e3 49 42 11 07       vmpsadbw \$0x7,\(%ecx\),%xmm6,%xmm2
789 [       ]*[a-f0-9]+:    c4 e3 49 0f d4 07       vpalignr \$0x7,%xmm4,%xmm6,%xmm2
790 [       ]*[a-f0-9]+:    c4 e3 49 0f 11 07       vpalignr \$0x7,\(%ecx\),%xmm6,%xmm2
791 [       ]*[a-f0-9]+:    c4 e3 49 0e d4 07       vpblendw \$0x7,%xmm4,%xmm6,%xmm2
792 [       ]*[a-f0-9]+:    c4 e3 49 0e 11 07       vpblendw \$0x7,\(%ecx\),%xmm6,%xmm2
793 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 07       vpclmulqdq \$0x7,%xmm4,%xmm6,%xmm2
794 [       ]*[a-f0-9]+:    c4 e3 49 44 11 07       vpclmulqdq \$0x7,\(%ecx\),%xmm6,%xmm2
795 [       ]*[a-f0-9]+:    c5 c9 c6 d4 07          vshufpd \$0x7,%xmm4,%xmm6,%xmm2
796 [       ]*[a-f0-9]+:    c5 c9 c6 11 07          vshufpd \$0x7,\(%ecx\),%xmm6,%xmm2
797 [       ]*[a-f0-9]+:    c5 c8 c6 d4 07          vshufps \$0x7,%xmm4,%xmm6,%xmm2
798 [       ]*[a-f0-9]+:    c5 c8 c6 11 07          vshufps \$0x7,\(%ecx\),%xmm6,%xmm2
799 [       ]*[a-f0-9]+:    c4 e3 69 4b fe 40       vblendvpd %xmm4,%xmm6,%xmm2,%xmm7
800 [       ]*[a-f0-9]+:    c4 e3 69 4b 39 40       vblendvpd %xmm4,\(%ecx\),%xmm2,%xmm7
801 [       ]*[a-f0-9]+:    c4 e3 69 4a fe 40       vblendvps %xmm4,%xmm6,%xmm2,%xmm7
802 [       ]*[a-f0-9]+:    c4 e3 69 4a 39 40       vblendvps %xmm4,\(%ecx\),%xmm2,%xmm7
803 [       ]*[a-f0-9]+:    c4 e3 69 4c fe 40       vpblendvb %xmm4,%xmm6,%xmm2,%xmm7
804 [       ]*[a-f0-9]+:    c4 e3 69 4c 39 40       vpblendvb %xmm4,\(%ecx\),%xmm2,%xmm7
805 [       ]*[a-f0-9]+:    c4 e2 7d 19 21          vbroadcastsd \(%ecx\),%ymm4
806 [       ]*[a-f0-9]+:    c5 f9 2f f4             vcomisd %xmm4,%xmm6
807 [       ]*[a-f0-9]+:    c5 f9 2f 21             vcomisd \(%ecx\),%xmm4
808 [       ]*[a-f0-9]+:    c5 fa e6 f4             vcvtdq2pd %xmm4,%xmm6
809 [       ]*[a-f0-9]+:    c5 fa e6 21             vcvtdq2pd \(%ecx\),%xmm4
810 [       ]*[a-f0-9]+:    c5 f8 5a f4             vcvtps2pd %xmm4,%xmm6
811 [       ]*[a-f0-9]+:    c5 f8 5a 21             vcvtps2pd \(%ecx\),%xmm4
812 [       ]*[a-f0-9]+:    c5 fb 12 f4             vmovddup %xmm4,%xmm6
813 [       ]*[a-f0-9]+:    c5 fb 12 21             vmovddup \(%ecx\),%xmm4
814 [       ]*[a-f0-9]+:    c4 e2 79 20 f4          vpmovsxbw %xmm4,%xmm6
815 [       ]*[a-f0-9]+:    c4 e2 79 20 21          vpmovsxbw \(%ecx\),%xmm4
816 [       ]*[a-f0-9]+:    c4 e2 79 23 f4          vpmovsxwd %xmm4,%xmm6
817 [       ]*[a-f0-9]+:    c4 e2 79 23 21          vpmovsxwd \(%ecx\),%xmm4
818 [       ]*[a-f0-9]+:    c4 e2 79 25 f4          vpmovsxdq %xmm4,%xmm6
819 [       ]*[a-f0-9]+:    c4 e2 79 25 21          vpmovsxdq \(%ecx\),%xmm4
820 [       ]*[a-f0-9]+:    c4 e2 79 30 f4          vpmovzxbw %xmm4,%xmm6
821 [       ]*[a-f0-9]+:    c4 e2 79 30 21          vpmovzxbw \(%ecx\),%xmm4
822 [       ]*[a-f0-9]+:    c4 e2 79 33 f4          vpmovzxwd %xmm4,%xmm6
823 [       ]*[a-f0-9]+:    c4 e2 79 33 21          vpmovzxwd \(%ecx\),%xmm4
824 [       ]*[a-f0-9]+:    c4 e2 79 35 f4          vpmovzxdq %xmm4,%xmm6
825 [       ]*[a-f0-9]+:    c4 e2 79 35 21          vpmovzxdq \(%ecx\),%xmm4
826 [       ]*[a-f0-9]+:    c5 f9 2e f4             vucomisd %xmm4,%xmm6
827 [       ]*[a-f0-9]+:    c5 f9 2e 21             vucomisd \(%ecx\),%xmm4
828 [       ]*[a-f0-9]+:    c5 fb 10 21             vmovsd \(%ecx\),%xmm4
829 [       ]*[a-f0-9]+:    c5 f9 13 21             vmovlpd %xmm4,\(%ecx\)
830 [       ]*[a-f0-9]+:    c5 f8 13 21             vmovlps %xmm4,\(%ecx\)
831 [       ]*[a-f0-9]+:    c5 f9 17 21             vmovhpd %xmm4,\(%ecx\)
832 [       ]*[a-f0-9]+:    c5 f8 17 21             vmovhps %xmm4,\(%ecx\)
833 [       ]*[a-f0-9]+:    c5 fb 11 21             vmovsd %xmm4,\(%ecx\)
834 [       ]*[a-f0-9]+:    c5 f9 d6 21             vmovq  %xmm4,\(%ecx\)
835 [       ]*[a-f0-9]+:    c5 fa 7e 21             vmovq  \(%ecx\),%xmm4
836 [       ]*[a-f0-9]+:    c5 fb 2d cc             vcvtsd2si %xmm4,%ecx
837 [       ]*[a-f0-9]+:    c5 fb 2d 09             vcvtsd2si \(%ecx\),%ecx
838 [       ]*[a-f0-9]+:    c5 fb 2c cc             vcvttsd2si %xmm4,%ecx
839 [       ]*[a-f0-9]+:    c5 fb 2c 09             vcvttsd2si \(%ecx\),%ecx
840 [       ]*[a-f0-9]+:    c5 d9 12 31             vmovlpd \(%ecx\),%xmm4,%xmm6
841 [       ]*[a-f0-9]+:    c5 d8 12 31             vmovlps \(%ecx\),%xmm4,%xmm6
842 [       ]*[a-f0-9]+:    c5 d9 16 31             vmovhpd \(%ecx\),%xmm4,%xmm6
843 [       ]*[a-f0-9]+:    c5 d8 16 31             vmovhps \(%ecx\),%xmm4,%xmm6
844 [       ]*[a-f0-9]+:    c5 cb c2 d4 07          vcmpordsd %xmm4,%xmm6,%xmm2
845 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%ecx\),%xmm6,%xmm2
846 [       ]*[a-f0-9]+:    c4 e3 49 0b d4 07       vroundsd \$0x7,%xmm4,%xmm6,%xmm2
847 [       ]*[a-f0-9]+:    c4 e3 49 0b 11 07       vroundsd \$0x7,\(%ecx\),%xmm6,%xmm2
848 [       ]*[a-f0-9]+:    c5 cb 58 d4             vaddsd %xmm4,%xmm6,%xmm2
849 [       ]*[a-f0-9]+:    c5 cb 58 11             vaddsd \(%ecx\),%xmm6,%xmm2
850 [       ]*[a-f0-9]+:    c5 cb 5a d4             vcvtsd2ss %xmm4,%xmm6,%xmm2
851 [       ]*[a-f0-9]+:    c5 cb 5a 11             vcvtsd2ss \(%ecx\),%xmm6,%xmm2
852 [       ]*[a-f0-9]+:    c5 cb 5e d4             vdivsd %xmm4,%xmm6,%xmm2
853 [       ]*[a-f0-9]+:    c5 cb 5e 11             vdivsd \(%ecx\),%xmm6,%xmm2
854 [       ]*[a-f0-9]+:    c5 cb 5f d4             vmaxsd %xmm4,%xmm6,%xmm2
855 [       ]*[a-f0-9]+:    c5 cb 5f 11             vmaxsd \(%ecx\),%xmm6,%xmm2
856 [       ]*[a-f0-9]+:    c5 cb 5d d4             vminsd %xmm4,%xmm6,%xmm2
857 [       ]*[a-f0-9]+:    c5 cb 5d 11             vminsd \(%ecx\),%xmm6,%xmm2
858 [       ]*[a-f0-9]+:    c5 cb 59 d4             vmulsd %xmm4,%xmm6,%xmm2
859 [       ]*[a-f0-9]+:    c5 cb 59 11             vmulsd \(%ecx\),%xmm6,%xmm2
860 [       ]*[a-f0-9]+:    c5 cb 51 d4             vsqrtsd %xmm4,%xmm6,%xmm2
861 [       ]*[a-f0-9]+:    c5 cb 51 11             vsqrtsd \(%ecx\),%xmm6,%xmm2
862 [       ]*[a-f0-9]+:    c5 cb 5c d4             vsubsd %xmm4,%xmm6,%xmm2
863 [       ]*[a-f0-9]+:    c5 cb 5c 11             vsubsd \(%ecx\),%xmm6,%xmm2
864 [       ]*[a-f0-9]+:    c5 cb c2 d4 00          vcmpeqsd %xmm4,%xmm6,%xmm2
865 [       ]*[a-f0-9]+:    c5 cb c2 11 00          vcmpeqsd \(%ecx\),%xmm6,%xmm2
866 [       ]*[a-f0-9]+:    c5 cb c2 d4 01          vcmpltsd %xmm4,%xmm6,%xmm2
867 [       ]*[a-f0-9]+:    c5 cb c2 11 01          vcmpltsd \(%ecx\),%xmm6,%xmm2
868 [       ]*[a-f0-9]+:    c5 cb c2 d4 02          vcmplesd %xmm4,%xmm6,%xmm2
869 [       ]*[a-f0-9]+:    c5 cb c2 11 02          vcmplesd \(%ecx\),%xmm6,%xmm2
870 [       ]*[a-f0-9]+:    c5 cb c2 d4 03          vcmpunordsd %xmm4,%xmm6,%xmm2
871 [       ]*[a-f0-9]+:    c5 cb c2 11 03          vcmpunordsd \(%ecx\),%xmm6,%xmm2
872 [       ]*[a-f0-9]+:    c5 cb c2 d4 04          vcmpneqsd %xmm4,%xmm6,%xmm2
873 [       ]*[a-f0-9]+:    c5 cb c2 11 04          vcmpneqsd \(%ecx\),%xmm6,%xmm2
874 [       ]*[a-f0-9]+:    c5 cb c2 d4 05          vcmpnltsd %xmm4,%xmm6,%xmm2
875 [       ]*[a-f0-9]+:    c5 cb c2 11 05          vcmpnltsd \(%ecx\),%xmm6,%xmm2
876 [       ]*[a-f0-9]+:    c5 cb c2 d4 06          vcmpnlesd %xmm4,%xmm6,%xmm2
877 [       ]*[a-f0-9]+:    c5 cb c2 11 06          vcmpnlesd \(%ecx\),%xmm6,%xmm2
878 [       ]*[a-f0-9]+:    c5 cb c2 d4 07          vcmpordsd %xmm4,%xmm6,%xmm2
879 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%ecx\),%xmm6,%xmm2
880 [       ]*[a-f0-9]+:    c5 cb c2 d4 08          vcmpeq_uqsd %xmm4,%xmm6,%xmm2
881 [       ]*[a-f0-9]+:    c5 cb c2 11 08          vcmpeq_uqsd \(%ecx\),%xmm6,%xmm2
882 [       ]*[a-f0-9]+:    c5 cb c2 d4 09          vcmpngesd %xmm4,%xmm6,%xmm2
883 [       ]*[a-f0-9]+:    c5 cb c2 11 09          vcmpngesd \(%ecx\),%xmm6,%xmm2
884 [       ]*[a-f0-9]+:    c5 cb c2 d4 0a          vcmpngtsd %xmm4,%xmm6,%xmm2
885 [       ]*[a-f0-9]+:    c5 cb c2 11 0a          vcmpngtsd \(%ecx\),%xmm6,%xmm2
886 [       ]*[a-f0-9]+:    c5 cb c2 d4 0b          vcmpfalsesd %xmm4,%xmm6,%xmm2
887 [       ]*[a-f0-9]+:    c5 cb c2 11 0b          vcmpfalsesd \(%ecx\),%xmm6,%xmm2
888 [       ]*[a-f0-9]+:    c5 cb c2 d4 0c          vcmpneq_oqsd %xmm4,%xmm6,%xmm2
889 [       ]*[a-f0-9]+:    c5 cb c2 11 0c          vcmpneq_oqsd \(%ecx\),%xmm6,%xmm2
890 [       ]*[a-f0-9]+:    c5 cb c2 d4 0d          vcmpgesd %xmm4,%xmm6,%xmm2
891 [       ]*[a-f0-9]+:    c5 cb c2 11 0d          vcmpgesd \(%ecx\),%xmm6,%xmm2
892 [       ]*[a-f0-9]+:    c5 cb c2 d4 0e          vcmpgtsd %xmm4,%xmm6,%xmm2
893 [       ]*[a-f0-9]+:    c5 cb c2 11 0e          vcmpgtsd \(%ecx\),%xmm6,%xmm2
894 [       ]*[a-f0-9]+:    c5 cb c2 d4 0f          vcmptruesd %xmm4,%xmm6,%xmm2
895 [       ]*[a-f0-9]+:    c5 cb c2 11 0f          vcmptruesd \(%ecx\),%xmm6,%xmm2
896 [       ]*[a-f0-9]+:    c5 cb c2 d4 10          vcmpeq_ossd %xmm4,%xmm6,%xmm2
897 [       ]*[a-f0-9]+:    c5 cb c2 11 10          vcmpeq_ossd \(%ecx\),%xmm6,%xmm2
898 [       ]*[a-f0-9]+:    c5 cb c2 d4 11          vcmplt_oqsd %xmm4,%xmm6,%xmm2
899 [       ]*[a-f0-9]+:    c5 cb c2 11 11          vcmplt_oqsd \(%ecx\),%xmm6,%xmm2
900 [       ]*[a-f0-9]+:    c5 cb c2 d4 12          vcmple_oqsd %xmm4,%xmm6,%xmm2
901 [       ]*[a-f0-9]+:    c5 cb c2 11 12          vcmple_oqsd \(%ecx\),%xmm6,%xmm2
902 [       ]*[a-f0-9]+:    c5 cb c2 d4 13          vcmpunord_ssd %xmm4,%xmm6,%xmm2
903 [       ]*[a-f0-9]+:    c5 cb c2 11 13          vcmpunord_ssd \(%ecx\),%xmm6,%xmm2
904 [       ]*[a-f0-9]+:    c5 cb c2 d4 14          vcmpneq_ussd %xmm4,%xmm6,%xmm2
905 [       ]*[a-f0-9]+:    c5 cb c2 11 14          vcmpneq_ussd \(%ecx\),%xmm6,%xmm2
906 [       ]*[a-f0-9]+:    c5 cb c2 d4 15          vcmpnlt_uqsd %xmm4,%xmm6,%xmm2
907 [       ]*[a-f0-9]+:    c5 cb c2 11 15          vcmpnlt_uqsd \(%ecx\),%xmm6,%xmm2
908 [       ]*[a-f0-9]+:    c5 cb c2 d4 16          vcmpnle_uqsd %xmm4,%xmm6,%xmm2
909 [       ]*[a-f0-9]+:    c5 cb c2 11 16          vcmpnle_uqsd \(%ecx\),%xmm6,%xmm2
910 [       ]*[a-f0-9]+:    c5 cb c2 d4 17          vcmpord_ssd %xmm4,%xmm6,%xmm2
911 [       ]*[a-f0-9]+:    c5 cb c2 11 17          vcmpord_ssd \(%ecx\),%xmm6,%xmm2
912 [       ]*[a-f0-9]+:    c5 cb c2 d4 18          vcmpeq_ussd %xmm4,%xmm6,%xmm2
913 [       ]*[a-f0-9]+:    c5 cb c2 11 18          vcmpeq_ussd \(%ecx\),%xmm6,%xmm2
914 [       ]*[a-f0-9]+:    c5 cb c2 d4 19          vcmpnge_uqsd %xmm4,%xmm6,%xmm2
915 [       ]*[a-f0-9]+:    c5 cb c2 11 19          vcmpnge_uqsd \(%ecx\),%xmm6,%xmm2
916 [       ]*[a-f0-9]+:    c5 cb c2 d4 1a          vcmpngt_uqsd %xmm4,%xmm6,%xmm2
917 [       ]*[a-f0-9]+:    c5 cb c2 11 1a          vcmpngt_uqsd \(%ecx\),%xmm6,%xmm2
918 [       ]*[a-f0-9]+:    c5 cb c2 d4 1b          vcmpfalse_ossd %xmm4,%xmm6,%xmm2
919 [       ]*[a-f0-9]+:    c5 cb c2 11 1b          vcmpfalse_ossd \(%ecx\),%xmm6,%xmm2
920 [       ]*[a-f0-9]+:    c5 cb c2 d4 1c          vcmpneq_ossd %xmm4,%xmm6,%xmm2
921 [       ]*[a-f0-9]+:    c5 cb c2 11 1c          vcmpneq_ossd \(%ecx\),%xmm6,%xmm2
922 [       ]*[a-f0-9]+:    c5 cb c2 d4 1d          vcmpge_oqsd %xmm4,%xmm6,%xmm2
923 [       ]*[a-f0-9]+:    c5 cb c2 11 1d          vcmpge_oqsd \(%ecx\),%xmm6,%xmm2
924 [       ]*[a-f0-9]+:    c5 cb c2 d4 1e          vcmpgt_oqsd %xmm4,%xmm6,%xmm2
925 [       ]*[a-f0-9]+:    c5 cb c2 11 1e          vcmpgt_oqsd \(%ecx\),%xmm6,%xmm2
926 [       ]*[a-f0-9]+:    c5 cb c2 d4 1f          vcmptrue_ussd %xmm4,%xmm6,%xmm2
927 [       ]*[a-f0-9]+:    c5 cb c2 11 1f          vcmptrue_ussd \(%ecx\),%xmm6,%xmm2
928 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%ecx\)
929 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%ecx\)
930 [       ]*[a-f0-9]+:    c5 ca 58 d4             vaddss %xmm4,%xmm6,%xmm2
931 [       ]*[a-f0-9]+:    c5 ca 58 11             vaddss \(%ecx\),%xmm6,%xmm2
932 [       ]*[a-f0-9]+:    c5 ca 5a d4             vcvtss2sd %xmm4,%xmm6,%xmm2
933 [       ]*[a-f0-9]+:    c5 ca 5a 11             vcvtss2sd \(%ecx\),%xmm6,%xmm2
934 [       ]*[a-f0-9]+:    c5 ca 5e d4             vdivss %xmm4,%xmm6,%xmm2
935 [       ]*[a-f0-9]+:    c5 ca 5e 11             vdivss \(%ecx\),%xmm6,%xmm2
936 [       ]*[a-f0-9]+:    c5 ca 5f d4             vmaxss %xmm4,%xmm6,%xmm2
937 [       ]*[a-f0-9]+:    c5 ca 5f 11             vmaxss \(%ecx\),%xmm6,%xmm2
938 [       ]*[a-f0-9]+:    c5 ca 5d d4             vminss %xmm4,%xmm6,%xmm2
939 [       ]*[a-f0-9]+:    c5 ca 5d 11             vminss \(%ecx\),%xmm6,%xmm2
940 [       ]*[a-f0-9]+:    c5 ca 59 d4             vmulss %xmm4,%xmm6,%xmm2
941 [       ]*[a-f0-9]+:    c5 ca 59 11             vmulss \(%ecx\),%xmm6,%xmm2
942 [       ]*[a-f0-9]+:    c5 ca 53 d4             vrcpss %xmm4,%xmm6,%xmm2
943 [       ]*[a-f0-9]+:    c5 ca 53 11             vrcpss \(%ecx\),%xmm6,%xmm2
944 [       ]*[a-f0-9]+:    c5 ca 52 d4             vrsqrtss %xmm4,%xmm6,%xmm2
945 [       ]*[a-f0-9]+:    c5 ca 52 11             vrsqrtss \(%ecx\),%xmm6,%xmm2
946 [       ]*[a-f0-9]+:    c5 ca 51 d4             vsqrtss %xmm4,%xmm6,%xmm2
947 [       ]*[a-f0-9]+:    c5 ca 51 11             vsqrtss \(%ecx\),%xmm6,%xmm2
948 [       ]*[a-f0-9]+:    c5 ca 5c d4             vsubss %xmm4,%xmm6,%xmm2
949 [       ]*[a-f0-9]+:    c5 ca 5c 11             vsubss \(%ecx\),%xmm6,%xmm2
950 [       ]*[a-f0-9]+:    c5 ca c2 d4 00          vcmpeqss %xmm4,%xmm6,%xmm2
951 [       ]*[a-f0-9]+:    c5 ca c2 11 00          vcmpeqss \(%ecx\),%xmm6,%xmm2
952 [       ]*[a-f0-9]+:    c5 ca c2 d4 01          vcmpltss %xmm4,%xmm6,%xmm2
953 [       ]*[a-f0-9]+:    c5 ca c2 11 01          vcmpltss \(%ecx\),%xmm6,%xmm2
954 [       ]*[a-f0-9]+:    c5 ca c2 d4 02          vcmpless %xmm4,%xmm6,%xmm2
955 [       ]*[a-f0-9]+:    c5 ca c2 11 02          vcmpless \(%ecx\),%xmm6,%xmm2
956 [       ]*[a-f0-9]+:    c5 ca c2 d4 03          vcmpunordss %xmm4,%xmm6,%xmm2
957 [       ]*[a-f0-9]+:    c5 ca c2 11 03          vcmpunordss \(%ecx\),%xmm6,%xmm2
958 [       ]*[a-f0-9]+:    c5 ca c2 d4 04          vcmpneqss %xmm4,%xmm6,%xmm2
959 [       ]*[a-f0-9]+:    c5 ca c2 11 04          vcmpneqss \(%ecx\),%xmm6,%xmm2
960 [       ]*[a-f0-9]+:    c5 ca c2 d4 05          vcmpnltss %xmm4,%xmm6,%xmm2
961 [       ]*[a-f0-9]+:    c5 ca c2 11 05          vcmpnltss \(%ecx\),%xmm6,%xmm2
962 [       ]*[a-f0-9]+:    c5 ca c2 d4 06          vcmpnless %xmm4,%xmm6,%xmm2
963 [       ]*[a-f0-9]+:    c5 ca c2 11 06          vcmpnless \(%ecx\),%xmm6,%xmm2
964 [       ]*[a-f0-9]+:    c5 ca c2 d4 07          vcmpordss %xmm4,%xmm6,%xmm2
965 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%ecx\),%xmm6,%xmm2
966 [       ]*[a-f0-9]+:    c5 ca c2 d4 08          vcmpeq_uqss %xmm4,%xmm6,%xmm2
967 [       ]*[a-f0-9]+:    c5 ca c2 11 08          vcmpeq_uqss \(%ecx\),%xmm6,%xmm2
968 [       ]*[a-f0-9]+:    c5 ca c2 d4 09          vcmpngess %xmm4,%xmm6,%xmm2
969 [       ]*[a-f0-9]+:    c5 ca c2 11 09          vcmpngess \(%ecx\),%xmm6,%xmm2
970 [       ]*[a-f0-9]+:    c5 ca c2 d4 0a          vcmpngtss %xmm4,%xmm6,%xmm2
971 [       ]*[a-f0-9]+:    c5 ca c2 11 0a          vcmpngtss \(%ecx\),%xmm6,%xmm2
972 [       ]*[a-f0-9]+:    c5 ca c2 d4 0b          vcmpfalsess %xmm4,%xmm6,%xmm2
973 [       ]*[a-f0-9]+:    c5 ca c2 11 0b          vcmpfalsess \(%ecx\),%xmm6,%xmm2
974 [       ]*[a-f0-9]+:    c5 ca c2 d4 0c          vcmpneq_oqss %xmm4,%xmm6,%xmm2
975 [       ]*[a-f0-9]+:    c5 ca c2 11 0c          vcmpneq_oqss \(%ecx\),%xmm6,%xmm2
976 [       ]*[a-f0-9]+:    c5 ca c2 d4 0d          vcmpgess %xmm4,%xmm6,%xmm2
977 [       ]*[a-f0-9]+:    c5 ca c2 11 0d          vcmpgess \(%ecx\),%xmm6,%xmm2
978 [       ]*[a-f0-9]+:    c5 ca c2 d4 0e          vcmpgtss %xmm4,%xmm6,%xmm2
979 [       ]*[a-f0-9]+:    c5 ca c2 11 0e          vcmpgtss \(%ecx\),%xmm6,%xmm2
980 [       ]*[a-f0-9]+:    c5 ca c2 d4 0f          vcmptruess %xmm4,%xmm6,%xmm2
981 [       ]*[a-f0-9]+:    c5 ca c2 11 0f          vcmptruess \(%ecx\),%xmm6,%xmm2
982 [       ]*[a-f0-9]+:    c5 ca c2 d4 10          vcmpeq_osss %xmm4,%xmm6,%xmm2
983 [       ]*[a-f0-9]+:    c5 ca c2 11 10          vcmpeq_osss \(%ecx\),%xmm6,%xmm2
984 [       ]*[a-f0-9]+:    c5 ca c2 d4 11          vcmplt_oqss %xmm4,%xmm6,%xmm2
985 [       ]*[a-f0-9]+:    c5 ca c2 11 11          vcmplt_oqss \(%ecx\),%xmm6,%xmm2
986 [       ]*[a-f0-9]+:    c5 ca c2 d4 12          vcmple_oqss %xmm4,%xmm6,%xmm2
987 [       ]*[a-f0-9]+:    c5 ca c2 11 12          vcmple_oqss \(%ecx\),%xmm6,%xmm2
988 [       ]*[a-f0-9]+:    c5 ca c2 d4 13          vcmpunord_sss %xmm4,%xmm6,%xmm2
989 [       ]*[a-f0-9]+:    c5 ca c2 11 13          vcmpunord_sss \(%ecx\),%xmm6,%xmm2
990 [       ]*[a-f0-9]+:    c5 ca c2 d4 14          vcmpneq_usss %xmm4,%xmm6,%xmm2
991 [       ]*[a-f0-9]+:    c5 ca c2 11 14          vcmpneq_usss \(%ecx\),%xmm6,%xmm2
992 [       ]*[a-f0-9]+:    c5 ca c2 d4 15          vcmpnlt_uqss %xmm4,%xmm6,%xmm2
993 [       ]*[a-f0-9]+:    c5 ca c2 11 15          vcmpnlt_uqss \(%ecx\),%xmm6,%xmm2
994 [       ]*[a-f0-9]+:    c5 ca c2 d4 16          vcmpnle_uqss %xmm4,%xmm6,%xmm2
995 [       ]*[a-f0-9]+:    c5 ca c2 11 16          vcmpnle_uqss \(%ecx\),%xmm6,%xmm2
996 [       ]*[a-f0-9]+:    c5 ca c2 d4 17          vcmpord_sss %xmm4,%xmm6,%xmm2
997 [       ]*[a-f0-9]+:    c5 ca c2 11 17          vcmpord_sss \(%ecx\),%xmm6,%xmm2
998 [       ]*[a-f0-9]+:    c5 ca c2 d4 18          vcmpeq_usss %xmm4,%xmm6,%xmm2
999 [       ]*[a-f0-9]+:    c5 ca c2 11 18          vcmpeq_usss \(%ecx\),%xmm6,%xmm2
1000 [       ]*[a-f0-9]+:    c5 ca c2 d4 19          vcmpnge_uqss %xmm4,%xmm6,%xmm2
1001 [       ]*[a-f0-9]+:    c5 ca c2 11 19          vcmpnge_uqss \(%ecx\),%xmm6,%xmm2
1002 [       ]*[a-f0-9]+:    c5 ca c2 d4 1a          vcmpngt_uqss %xmm4,%xmm6,%xmm2
1003 [       ]*[a-f0-9]+:    c5 ca c2 11 1a          vcmpngt_uqss \(%ecx\),%xmm6,%xmm2
1004 [       ]*[a-f0-9]+:    c5 ca c2 d4 1b          vcmpfalse_osss %xmm4,%xmm6,%xmm2
1005 [       ]*[a-f0-9]+:    c5 ca c2 11 1b          vcmpfalse_osss \(%ecx\),%xmm6,%xmm2
1006 [       ]*[a-f0-9]+:    c5 ca c2 d4 1c          vcmpneq_osss %xmm4,%xmm6,%xmm2
1007 [       ]*[a-f0-9]+:    c5 ca c2 11 1c          vcmpneq_osss \(%ecx\),%xmm6,%xmm2
1008 [       ]*[a-f0-9]+:    c5 ca c2 d4 1d          vcmpge_oqss %xmm4,%xmm6,%xmm2
1009 [       ]*[a-f0-9]+:    c5 ca c2 11 1d          vcmpge_oqss \(%ecx\),%xmm6,%xmm2
1010 [       ]*[a-f0-9]+:    c5 ca c2 d4 1e          vcmpgt_oqss %xmm4,%xmm6,%xmm2
1011 [       ]*[a-f0-9]+:    c5 ca c2 11 1e          vcmpgt_oqss \(%ecx\),%xmm6,%xmm2
1012 [       ]*[a-f0-9]+:    c5 ca c2 d4 1f          vcmptrue_usss %xmm4,%xmm6,%xmm2
1013 [       ]*[a-f0-9]+:    c5 ca c2 11 1f          vcmptrue_usss \(%ecx\),%xmm6,%xmm2
1014 [       ]*[a-f0-9]+:    c4 e2 7d 18 21          vbroadcastss \(%ecx\),%ymm4
1015 [       ]*[a-f0-9]+:    c5 f8 2f f4             vcomiss %xmm4,%xmm6
1016 [       ]*[a-f0-9]+:    c5 f8 2f 21             vcomiss \(%ecx\),%xmm4
1017 [       ]*[a-f0-9]+:    c4 e2 79 21 f4          vpmovsxbd %xmm4,%xmm6
1018 [       ]*[a-f0-9]+:    c4 e2 79 21 21          vpmovsxbd \(%ecx\),%xmm4
1019 [       ]*[a-f0-9]+:    c4 e2 79 24 f4          vpmovsxwq %xmm4,%xmm6
1020 [       ]*[a-f0-9]+:    c4 e2 79 24 21          vpmovsxwq \(%ecx\),%xmm4
1021 [       ]*[a-f0-9]+:    c4 e2 79 31 f4          vpmovzxbd %xmm4,%xmm6
1022 [       ]*[a-f0-9]+:    c4 e2 79 31 21          vpmovzxbd \(%ecx\),%xmm4
1023 [       ]*[a-f0-9]+:    c4 e2 79 34 f4          vpmovzxwq %xmm4,%xmm6
1024 [       ]*[a-f0-9]+:    c4 e2 79 34 21          vpmovzxwq \(%ecx\),%xmm4
1025 [       ]*[a-f0-9]+:    c5 f8 2e f4             vucomiss %xmm4,%xmm6
1026 [       ]*[a-f0-9]+:    c5 f8 2e 21             vucomiss \(%ecx\),%xmm4
1027 [       ]*[a-f0-9]+:    c4 e2 79 18 21          vbroadcastss \(%ecx\),%xmm4
1028 [       ]*[a-f0-9]+:    c5 fa 10 21             vmovss \(%ecx\),%xmm4
1029 [       ]*[a-f0-9]+:    c5 fa 11 21             vmovss %xmm4,\(%ecx\)
1030 [       ]*[a-f0-9]+:    c5 f9 7e e1             vmovd  %xmm4,%ecx
1031 [       ]*[a-f0-9]+:    c5 f9 7e 21             vmovd  %xmm4,\(%ecx\)
1032 [       ]*[a-f0-9]+:    c5 f9 6e e1             vmovd  %ecx,%xmm4
1033 [       ]*[a-f0-9]+:    c5 f9 6e 21             vmovd  \(%ecx\),%xmm4
1034 [       ]*[a-f0-9]+:    c5 fa 2d cc             vcvtss2si %xmm4,%ecx
1035 [       ]*[a-f0-9]+:    c5 fa 2d 09             vcvtss2si \(%ecx\),%ecx
1036 [       ]*[a-f0-9]+:    c5 fa 2c cc             vcvttss2si %xmm4,%ecx
1037 [       ]*[a-f0-9]+:    c5 fa 2c 09             vcvttss2si \(%ecx\),%ecx
1038 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%ecx\)
1039 [       ]*[a-f0-9]+:    c4 e3 79 16 e1 07       vpextrd \$0x7,%xmm4,%ecx
1040 [       ]*[a-f0-9]+:    c4 e3 79 16 21 07       vpextrd \$0x7,%xmm4,\(%ecx\)
1041 [       ]*[a-f0-9]+:    c4 e3 79 17 e1 07       vextractps \$0x7,%xmm4,%ecx
1042 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%ecx\)
1043 [       ]*[a-f0-9]+:    c5 db 2a f1             vcvtsi2sd %ecx,%xmm4,%xmm6
1044 [       ]*[a-f0-9]+:    c5 db 2a 31             vcvtsi2sdl \(%ecx\),%xmm4,%xmm6
1045 [       ]*[a-f0-9]+:    c5 da 2a f1             vcvtsi2ss %ecx,%xmm4,%xmm6
1046 [       ]*[a-f0-9]+:    c5 da 2a 31             vcvtsi2ssl \(%ecx\),%xmm4,%xmm6
1047 [       ]*[a-f0-9]+:    c5 ca c2 d4 07          vcmpordss %xmm4,%xmm6,%xmm2
1048 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%ecx\),%xmm6,%xmm2
1049 [       ]*[a-f0-9]+:    c4 e3 49 21 d4 07       vinsertps \$0x7,%xmm4,%xmm6,%xmm2
1050 [       ]*[a-f0-9]+:    c4 e3 49 21 11 07       vinsertps \$0x7,\(%ecx\),%xmm6,%xmm2
1051 [       ]*[a-f0-9]+:    c4 e3 49 0a d4 07       vroundss \$0x7,%xmm4,%xmm6,%xmm2
1052 [       ]*[a-f0-9]+:    c4 e3 49 0a 11 07       vroundss \$0x7,\(%ecx\),%xmm6,%xmm2
1053 [       ]*[a-f0-9]+:    c4 e2 79 22 f4          vpmovsxbq %xmm4,%xmm6
1054 [       ]*[a-f0-9]+:    c4 e2 79 22 21          vpmovsxbq \(%ecx\),%xmm4
1055 [       ]*[a-f0-9]+:    c4 e2 79 32 f4          vpmovzxbq %xmm4,%xmm6
1056 [       ]*[a-f0-9]+:    c4 e2 79 32 21          vpmovzxbq \(%ecx\),%xmm4
1057 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
1058 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%ecx\)
1059 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%ecx\)
1060 [       ]*[a-f0-9]+:    c5 d9 c4 f1 07          vpinsrw \$0x7,%ecx,%xmm4,%xmm6
1061 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%ecx\),%xmm4,%xmm6
1062 [       ]*[a-f0-9]+:    c4 e3 79 14 e1 07       vpextrb \$0x7,%xmm4,%ecx
1063 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%ecx\)
1064 [       ]*[a-f0-9]+:    c4 e3 59 20 f1 07       vpinsrb \$0x7,%ecx,%xmm4,%xmm6
1065 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%ecx\),%xmm4,%xmm6
1066 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%ecx\)
1067 [       ]*[a-f0-9]+:    c4 e3 59 20 f1 07       vpinsrb \$0x7,%ecx,%xmm4,%xmm6
1068 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%ecx\),%xmm4,%xmm6
1069 [       ]*[a-f0-9]+:    c5 f9 f7 f4             vmaskmovdqu %xmm4,%xmm6
1070 [       ]*[a-f0-9]+:    c5 fa 7e f4             vmovq  %xmm4,%xmm6
1071 [       ]*[a-f0-9]+:    c5 f9 50 cc             vmovmskpd %xmm4,%ecx
1072 [       ]*[a-f0-9]+:    c5 f8 50 cc             vmovmskps %xmm4,%ecx
1073 [       ]*[a-f0-9]+:    c5 f9 d7 cc             vpmovmskb %xmm4,%ecx
1074 [       ]*[a-f0-9]+:    c5 c8 12 d4             vmovhlps %xmm4,%xmm6,%xmm2
1075 [       ]*[a-f0-9]+:    c5 c8 16 d4             vmovlhps %xmm4,%xmm6,%xmm2
1076 [       ]*[a-f0-9]+:    c5 cb 10 d4             vmovsd %xmm4,%xmm6,%xmm2
1077 [       ]*[a-f0-9]+:    c5 ca 10 d4             vmovss %xmm4,%xmm6,%xmm2
1078 [       ]*[a-f0-9]+:    c5 c9 72 f4 07          vpslld \$0x7,%xmm4,%xmm6
1079 [       ]*[a-f0-9]+:    c5 c9 73 fc 07          vpslldq \$0x7,%xmm4,%xmm6
1080 [       ]*[a-f0-9]+:    c5 c9 73 f4 07          vpsllq \$0x7,%xmm4,%xmm6
1081 [       ]*[a-f0-9]+:    c5 c9 71 f4 07          vpsllw \$0x7,%xmm4,%xmm6
1082 [       ]*[a-f0-9]+:    c5 c9 72 e4 07          vpsrad \$0x7,%xmm4,%xmm6
1083 [       ]*[a-f0-9]+:    c5 c9 71 e4 07          vpsraw \$0x7,%xmm4,%xmm6
1084 [       ]*[a-f0-9]+:    c5 c9 72 d4 07          vpsrld \$0x7,%xmm4,%xmm6
1085 [       ]*[a-f0-9]+:    c5 c9 73 dc 07          vpsrldq \$0x7,%xmm4,%xmm6
1086 [       ]*[a-f0-9]+:    c5 c9 73 d4 07          vpsrlq \$0x7,%xmm4,%xmm6
1087 [       ]*[a-f0-9]+:    c5 c9 71 d4 07          vpsrlw \$0x7,%xmm4,%xmm6
1088 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
1089 [       ]*[a-f0-9]+:    c5 fd 50 cc             vmovmskpd %ymm4,%ecx
1090 [       ]*[a-f0-9]+:    c5 fc 50 cc             vmovmskps %ymm4,%ecx
1091 [       ]*[a-f0-9]+:    c5 fb e6 f4             vcvtpd2dq %xmm4,%xmm6
1092 [       ]*[a-f0-9]+:    c5 ff e6 f4             vcvtpd2dq %ymm4,%xmm6
1093 [       ]*[a-f0-9]+:    c5 f9 5a f4             vcvtpd2ps %xmm4,%xmm6
1094 [       ]*[a-f0-9]+:    c5 fd 5a f4             vcvtpd2ps %ymm4,%xmm6
1095 [       ]*[a-f0-9]+:    c5 f9 e6 f4             vcvttpd2dq %xmm4,%xmm6
1096 [       ]*[a-f0-9]+:    c5 fd e6 f4             vcvttpd2dq %ymm4,%xmm6
1097 [       ]*[a-f0-9]+:    c5 f8 ae 15 34 12 00 00         vldmxcsr 0x1234
1098 [       ]*[a-f0-9]+:    c5 f9 6f 05 34 12 00 00         vmovdqa 0x1234,%xmm0
1099 [       ]*[a-f0-9]+:    c5 f9 7f 05 34 12 00 00         vmovdqa %xmm0,0x1234
1100 [       ]*[a-f0-9]+:    c5 f9 7e 05 34 12 00 00         vmovd  %xmm0,0x1234
1101 [       ]*[a-f0-9]+:    c5 fb 2d 05 34 12 00 00         vcvtsd2si 0x1234,%eax
1102 [       ]*[a-f0-9]+:    c5 fe e6 05 34 12 00 00         vcvtdq2pd 0x1234,%ymm0
1103 [       ]*[a-f0-9]+:    c5 fd 5a 05 34 12 00 00         vcvtpd2psy 0x1234,%xmm0
1104 [       ]*[a-f0-9]+:    c5 f9 e0 3d 34 12 00 00         vpavgb 0x1234,%xmm0,%xmm7
1105 [       ]*[a-f0-9]+:    c4 e3 79 df 05 34 12 00 00 07   vaeskeygenassist \$0x7,0x1234,%xmm0
1106 [       ]*[a-f0-9]+:    c4 e3 79 14 05 34 12 00 00 07   vpextrb \$0x7,%xmm0,0x1234
1107 [       ]*[a-f0-9]+:    c5 fb 2a 3d 34 12 00 00         vcvtsi2sdl 0x1234,%xmm0,%xmm7
1108 [       ]*[a-f0-9]+:    c4 e3 79 44 3d 34 12 00 00 07   vpclmulqdq \$0x7,0x1234,%xmm0,%xmm7
1109 [       ]*[a-f0-9]+:    c4 e3 59 4a 35 34 12 00 00 00   vblendvps %xmm0,0x1234,%xmm4,%xmm6
1110 [       ]*[a-f0-9]+:    c4 e3 79 20 3d 34 12 00 00 07   vpinsrb \$0x7,0x1234,%xmm0,%xmm7
1111 [       ]*[a-f0-9]+:    c5 fd 6f 05 34 12 00 00         vmovdqa 0x1234,%ymm0
1112 [       ]*[a-f0-9]+:    c5 fd 7f 05 34 12 00 00         vmovdqa %ymm0,0x1234
1113 [       ]*[a-f0-9]+:    c4 e2 7d 0d 3d 34 12 00 00      vpermilpd 0x1234,%ymm0,%ymm7
1114 [       ]*[a-f0-9]+:    c4 e3 7d 09 05 34 12 00 00 07   vroundpd \$0x7,0x1234,%ymm0
1115 [       ]*[a-f0-9]+:    c4 e3 7d 19 05 34 12 00 00 07   vextractf128 \$0x7,%ymm0,0x1234
1116 [       ]*[a-f0-9]+:    c4 e3 7d 06 3d 34 12 00 00 07   vperm2f128 \$0x7,0x1234,%ymm0,%ymm7
1117 [       ]*[a-f0-9]+:    c4 e3 5d 4b 35 34 12 00 00 00   vblendvpd %ymm0,0x1234,%ymm4,%ymm6
1118 [       ]*[a-f0-9]+:    c5 f8 ae 55 00          vldmxcsr 0x0\(%ebp\)
1119 [       ]*[a-f0-9]+:    c5 f9 6f 45 00          vmovdqa 0x0\(%ebp\),%xmm0
1120 [       ]*[a-f0-9]+:    c5 f9 7f 45 00          vmovdqa %xmm0,0x0\(%ebp\)
1121 [       ]*[a-f0-9]+:    c5 f9 7e 45 00          vmovd  %xmm0,0x0\(%ebp\)
1122 [       ]*[a-f0-9]+:    c5 fb 2d 45 00          vcvtsd2si 0x0\(%ebp\),%eax
1123 [       ]*[a-f0-9]+:    c5 fe e6 45 00          vcvtdq2pd 0x0\(%ebp\),%ymm0
1124 [       ]*[a-f0-9]+:    c5 fd 5a 45 00          vcvtpd2psy 0x0\(%ebp\),%xmm0
1125 [       ]*[a-f0-9]+:    c5 f9 e0 7d 00          vpavgb 0x0\(%ebp\),%xmm0,%xmm7
1126 [       ]*[a-f0-9]+:    c4 e3 79 df 45 00 07    vaeskeygenassist \$0x7,0x0\(%ebp\),%xmm0
1127 [       ]*[a-f0-9]+:    c4 e3 79 14 45 00 07    vpextrb \$0x7,%xmm0,0x0\(%ebp\)
1128 [       ]*[a-f0-9]+:    c5 fb 2a 7d 00          vcvtsi2sdl 0x0\(%ebp\),%xmm0,%xmm7
1129 [       ]*[a-f0-9]+:    c4 e3 79 44 7d 00 07    vpclmulqdq \$0x7,0x0\(%ebp\),%xmm0,%xmm7
1130 [       ]*[a-f0-9]+:    c4 e3 59 4a 75 00 00    vblendvps %xmm0,0x0\(%ebp\),%xmm4,%xmm6
1131 [       ]*[a-f0-9]+:    c4 e3 79 20 7d 00 07    vpinsrb \$0x7,0x0\(%ebp\),%xmm0,%xmm7
1132 [       ]*[a-f0-9]+:    c5 fd 6f 45 00          vmovdqa 0x0\(%ebp\),%ymm0
1133 [       ]*[a-f0-9]+:    c5 fd 7f 45 00          vmovdqa %ymm0,0x0\(%ebp\)
1134 [       ]*[a-f0-9]+:    c4 e2 7d 0d 7d 00       vpermilpd 0x0\(%ebp\),%ymm0,%ymm7
1135 [       ]*[a-f0-9]+:    c4 e3 7d 09 45 00 07    vroundpd \$0x7,0x0\(%ebp\),%ymm0
1136 [       ]*[a-f0-9]+:    c4 e3 7d 19 45 00 07    vextractf128 \$0x7,%ymm0,0x0\(%ebp\)
1137 [       ]*[a-f0-9]+:    c4 e3 7d 06 7d 00 07    vperm2f128 \$0x7,0x0\(%ebp\),%ymm0,%ymm7
1138 [       ]*[a-f0-9]+:    c4 e3 5d 4b 75 00 00    vblendvpd %ymm0,0x0\(%ebp\),%ymm4,%ymm6
1139 [       ]*[a-f0-9]+:    c5 f8 ae 14 24          vldmxcsr \(%esp\)
1140 [       ]*[a-f0-9]+:    c5 f9 6f 04 24          vmovdqa \(%esp\),%xmm0
1141 [       ]*[a-f0-9]+:    c5 f9 7f 04 24          vmovdqa %xmm0,\(%esp\)
1142 [       ]*[a-f0-9]+:    c5 f9 7e 04 24          vmovd  %xmm0,\(%esp\)
1143 [       ]*[a-f0-9]+:    c5 fb 2d 04 24          vcvtsd2si \(%esp\),%eax
1144 [       ]*[a-f0-9]+:    c5 fe e6 04 24          vcvtdq2pd \(%esp\),%ymm0
1145 [       ]*[a-f0-9]+:    c5 fd 5a 04 24          vcvtpd2psy \(%esp\),%xmm0
1146 [       ]*[a-f0-9]+:    c5 f9 e0 3c 24          vpavgb \(%esp\),%xmm0,%xmm7
1147 [       ]*[a-f0-9]+:    c4 e3 79 df 04 24 07    vaeskeygenassist \$0x7,\(%esp\),%xmm0
1148 [       ]*[a-f0-9]+:    c4 e3 79 14 04 24 07    vpextrb \$0x7,%xmm0,\(%esp\)
1149 [       ]*[a-f0-9]+:    c5 fb 2a 3c 24          vcvtsi2sdl \(%esp\),%xmm0,%xmm7
1150 [       ]*[a-f0-9]+:    c4 e3 79 44 3c 24 07    vpclmulqdq \$0x7,\(%esp\),%xmm0,%xmm7
1151 [       ]*[a-f0-9]+:    c4 e3 59 4a 34 24 00    vblendvps %xmm0,\(%esp\),%xmm4,%xmm6
1152 [       ]*[a-f0-9]+:    c4 e3 79 20 3c 24 07    vpinsrb \$0x7,\(%esp\),%xmm0,%xmm7
1153 [       ]*[a-f0-9]+:    c5 fd 6f 04 24          vmovdqa \(%esp\),%ymm0
1154 [       ]*[a-f0-9]+:    c5 fd 7f 04 24          vmovdqa %ymm0,\(%esp\)
1155 [       ]*[a-f0-9]+:    c4 e2 7d 0d 3c 24       vpermilpd \(%esp\),%ymm0,%ymm7
1156 [       ]*[a-f0-9]+:    c4 e3 7d 09 04 24 07    vroundpd \$0x7,\(%esp\),%ymm0
1157 [       ]*[a-f0-9]+:    c4 e3 7d 19 04 24 07    vextractf128 \$0x7,%ymm0,\(%esp\)
1158 [       ]*[a-f0-9]+:    c4 e3 7d 06 3c 24 07    vperm2f128 \$0x7,\(%esp\),%ymm0,%ymm7
1159 [       ]*[a-f0-9]+:    c4 e3 5d 4b 34 24 00    vblendvpd %ymm0,\(%esp\),%ymm4,%ymm6
1160 [       ]*[a-f0-9]+:    c5 f8 ae 95 99 00 00 00         vldmxcsr 0x99\(%ebp\)
1161 [       ]*[a-f0-9]+:    c5 f9 6f 85 99 00 00 00         vmovdqa 0x99\(%ebp\),%xmm0
1162 [       ]*[a-f0-9]+:    c5 f9 7f 85 99 00 00 00         vmovdqa %xmm0,0x99\(%ebp\)
1163 [       ]*[a-f0-9]+:    c5 f9 7e 85 99 00 00 00         vmovd  %xmm0,0x99\(%ebp\)
1164 [       ]*[a-f0-9]+:    c5 fb 2d 85 99 00 00 00         vcvtsd2si 0x99\(%ebp\),%eax
1165 [       ]*[a-f0-9]+:    c5 fe e6 85 99 00 00 00         vcvtdq2pd 0x99\(%ebp\),%ymm0
1166 [       ]*[a-f0-9]+:    c5 fd 5a 85 99 00 00 00         vcvtpd2psy 0x99\(%ebp\),%xmm0
1167 [       ]*[a-f0-9]+:    c5 f9 e0 bd 99 00 00 00         vpavgb 0x99\(%ebp\),%xmm0,%xmm7
1168 [       ]*[a-f0-9]+:    c4 e3 79 df 85 99 00 00 00 07   vaeskeygenassist \$0x7,0x99\(%ebp\),%xmm0
1169 [       ]*[a-f0-9]+:    c4 e3 79 14 85 99 00 00 00 07   vpextrb \$0x7,%xmm0,0x99\(%ebp\)
1170 [       ]*[a-f0-9]+:    c5 fb 2a bd 99 00 00 00         vcvtsi2sdl 0x99\(%ebp\),%xmm0,%xmm7
1171 [       ]*[a-f0-9]+:    c4 e3 79 44 bd 99 00 00 00 07   vpclmulqdq \$0x7,0x99\(%ebp\),%xmm0,%xmm7
1172 [       ]*[a-f0-9]+:    c4 e3 59 4a b5 99 00 00 00 00   vblendvps %xmm0,0x99\(%ebp\),%xmm4,%xmm6
1173 [       ]*[a-f0-9]+:    c4 e3 79 20 bd 99 00 00 00 07   vpinsrb \$0x7,0x99\(%ebp\),%xmm0,%xmm7
1174 [       ]*[a-f0-9]+:    c5 fd 6f 85 99 00 00 00         vmovdqa 0x99\(%ebp\),%ymm0
1175 [       ]*[a-f0-9]+:    c5 fd 7f 85 99 00 00 00         vmovdqa %ymm0,0x99\(%ebp\)
1176 [       ]*[a-f0-9]+:    c4 e2 7d 0d bd 99 00 00 00      vpermilpd 0x99\(%ebp\),%ymm0,%ymm7
1177 [       ]*[a-f0-9]+:    c4 e3 7d 09 85 99 00 00 00 07   vroundpd \$0x7,0x99\(%ebp\),%ymm0
1178 [       ]*[a-f0-9]+:    c4 e3 7d 19 85 99 00 00 00 07   vextractf128 \$0x7,%ymm0,0x99\(%ebp\)
1179 [       ]*[a-f0-9]+:    c4 e3 7d 06 bd 99 00 00 00 07   vperm2f128 \$0x7,0x99\(%ebp\),%ymm0,%ymm7
1180 [       ]*[a-f0-9]+:    c4 e3 5d 4b b5 99 00 00 00 00   vblendvpd %ymm0,0x99\(%ebp\),%ymm4,%ymm6
1181 [       ]*[a-f0-9]+:    c5 f8 ae 14 25 99 00 00 00      vldmxcsr 0x99\(,%eiz,1\)
1182 [       ]*[a-f0-9]+:    c5 f9 6f 04 25 99 00 00 00      vmovdqa 0x99\(,%eiz,1\),%xmm0
1183 [       ]*[a-f0-9]+:    c5 f9 7f 04 25 99 00 00 00      vmovdqa %xmm0,0x99\(,%eiz,1\)
1184 [       ]*[a-f0-9]+:    c5 f9 7e 04 25 99 00 00 00      vmovd  %xmm0,0x99\(,%eiz,1\)
1185 [       ]*[a-f0-9]+:    c5 fb 2d 04 25 99 00 00 00      vcvtsd2si 0x99\(,%eiz,1\),%eax
1186 [       ]*[a-f0-9]+:    c5 fe e6 04 25 99 00 00 00      vcvtdq2pd 0x99\(,%eiz,1\),%ymm0
1187 [       ]*[a-f0-9]+:    c5 fd 5a 04 25 99 00 00 00      vcvtpd2psy 0x99\(,%eiz,1\),%xmm0
1188 [       ]*[a-f0-9]+:    c5 f9 e0 3c 25 99 00 00 00      vpavgb 0x99\(,%eiz,1\),%xmm0,%xmm7
1189 [       ]*[a-f0-9]+:    c4 e3 79 df 04 25 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(,%eiz,1\),%xmm0
1190 [       ]*[a-f0-9]+:    c4 e3 79 14 04 25 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(,%eiz,1\)
1191 [       ]*[a-f0-9]+:    c5 fb 2a 3c 25 99 00 00 00      vcvtsi2sdl 0x99\(,%eiz,1\),%xmm0,%xmm7
1192 [       ]*[a-f0-9]+:    c4 e3 79 44 3c 25 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(,%eiz,1\),%xmm0,%xmm7
1193 [       ]*[a-f0-9]+:    c4 e3 59 4a 34 25 99 00 00 00 00        vblendvps %xmm0,0x99\(,%eiz,1\),%xmm4,%xmm6
1194 [       ]*[a-f0-9]+:    c4 e3 79 20 3c 25 99 00 00 00 07        vpinsrb \$0x7,0x99\(,%eiz,1\),%xmm0,%xmm7
1195 [       ]*[a-f0-9]+:    c5 fd 6f 04 25 99 00 00 00      vmovdqa 0x99\(,%eiz,1\),%ymm0
1196 [       ]*[a-f0-9]+:    c5 fd 7f 04 25 99 00 00 00      vmovdqa %ymm0,0x99\(,%eiz,1\)
1197 [       ]*[a-f0-9]+:    c4 e2 7d 0d 3c 25 99 00 00 00   vpermilpd 0x99\(,%eiz,1\),%ymm0,%ymm7
1198 [       ]*[a-f0-9]+:    c4 e3 7d 09 04 25 99 00 00 00 07        vroundpd \$0x7,0x99\(,%eiz,1\),%ymm0
1199 [       ]*[a-f0-9]+:    c4 e3 7d 19 04 25 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(,%eiz,1\)
1200 [       ]*[a-f0-9]+:    c4 e3 7d 06 3c 25 99 00 00 00 07        vperm2f128 \$0x7,0x99\(,%eiz,1\),%ymm0,%ymm7
1201 [       ]*[a-f0-9]+:    c4 e3 5d 4b 34 25 99 00 00 00 00        vblendvpd %ymm0,0x99\(,%eiz,1\),%ymm4,%ymm6
1202 [       ]*[a-f0-9]+:    c5 f8 ae 14 65 99 00 00 00      vldmxcsr 0x99\(,%eiz,2\)
1203 [       ]*[a-f0-9]+:    c5 f9 6f 04 65 99 00 00 00      vmovdqa 0x99\(,%eiz,2\),%xmm0
1204 [       ]*[a-f0-9]+:    c5 f9 7f 04 65 99 00 00 00      vmovdqa %xmm0,0x99\(,%eiz,2\)
1205 [       ]*[a-f0-9]+:    c5 f9 7e 04 65 99 00 00 00      vmovd  %xmm0,0x99\(,%eiz,2\)
1206 [       ]*[a-f0-9]+:    c5 fb 2d 04 65 99 00 00 00      vcvtsd2si 0x99\(,%eiz,2\),%eax
1207 [       ]*[a-f0-9]+:    c5 fe e6 04 65 99 00 00 00      vcvtdq2pd 0x99\(,%eiz,2\),%ymm0
1208 [       ]*[a-f0-9]+:    c5 fd 5a 04 65 99 00 00 00      vcvtpd2psy 0x99\(,%eiz,2\),%xmm0
1209 [       ]*[a-f0-9]+:    c5 f9 e0 3c 65 99 00 00 00      vpavgb 0x99\(,%eiz,2\),%xmm0,%xmm7
1210 [       ]*[a-f0-9]+:    c4 e3 79 df 04 65 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(,%eiz,2\),%xmm0
1211 [       ]*[a-f0-9]+:    c4 e3 79 14 04 65 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(,%eiz,2\)
1212 [       ]*[a-f0-9]+:    c5 fb 2a 3c 65 99 00 00 00      vcvtsi2sdl 0x99\(,%eiz,2\),%xmm0,%xmm7
1213 [       ]*[a-f0-9]+:    c4 e3 79 44 3c 65 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(,%eiz,2\),%xmm0,%xmm7
1214 [       ]*[a-f0-9]+:    c4 e3 59 4a 34 65 99 00 00 00 00        vblendvps %xmm0,0x99\(,%eiz,2\),%xmm4,%xmm6
1215 [       ]*[a-f0-9]+:    c4 e3 79 20 3c 65 99 00 00 00 07        vpinsrb \$0x7,0x99\(,%eiz,2\),%xmm0,%xmm7
1216 [       ]*[a-f0-9]+:    c5 fd 6f 04 65 99 00 00 00      vmovdqa 0x99\(,%eiz,2\),%ymm0
1217 [       ]*[a-f0-9]+:    c5 fd 7f 04 65 99 00 00 00      vmovdqa %ymm0,0x99\(,%eiz,2\)
1218 [       ]*[a-f0-9]+:    c4 e2 7d 0d 3c 65 99 00 00 00   vpermilpd 0x99\(,%eiz,2\),%ymm0,%ymm7
1219 [       ]*[a-f0-9]+:    c4 e3 7d 09 04 65 99 00 00 00 07        vroundpd \$0x7,0x99\(,%eiz,2\),%ymm0
1220 [       ]*[a-f0-9]+:    c4 e3 7d 19 04 65 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(,%eiz,2\)
1221 [       ]*[a-f0-9]+:    c4 e3 7d 06 3c 65 99 00 00 00 07        vperm2f128 \$0x7,0x99\(,%eiz,2\),%ymm0,%ymm7
1222 [       ]*[a-f0-9]+:    c4 e3 5d 4b 34 65 99 00 00 00 00        vblendvpd %ymm0,0x99\(,%eiz,2\),%ymm4,%ymm6
1223 [       ]*[a-f0-9]+:    c5 f8 ae 94 20 99 00 00 00      vldmxcsr 0x99\(%eax,%eiz,1\)
1224 [       ]*[a-f0-9]+:    c5 f9 6f 84 20 99 00 00 00      vmovdqa 0x99\(%eax,%eiz,1\),%xmm0
1225 [       ]*[a-f0-9]+:    c5 f9 7f 84 20 99 00 00 00      vmovdqa %xmm0,0x99\(%eax,%eiz,1\)
1226 [       ]*[a-f0-9]+:    c5 f9 7e 84 20 99 00 00 00      vmovd  %xmm0,0x99\(%eax,%eiz,1\)
1227 [       ]*[a-f0-9]+:    c5 fb 2d 84 20 99 00 00 00      vcvtsd2si 0x99\(%eax,%eiz,1\),%eax
1228 [       ]*[a-f0-9]+:    c5 fe e6 84 20 99 00 00 00      vcvtdq2pd 0x99\(%eax,%eiz,1\),%ymm0
1229 [       ]*[a-f0-9]+:    c5 fd 5a 84 20 99 00 00 00      vcvtpd2psy 0x99\(%eax,%eiz,1\),%xmm0
1230 [       ]*[a-f0-9]+:    c5 f9 e0 bc 20 99 00 00 00      vpavgb 0x99\(%eax,%eiz,1\),%xmm0,%xmm7
1231 [       ]*[a-f0-9]+:    c4 e3 79 df 84 20 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%eax,%eiz,1\),%xmm0
1232 [       ]*[a-f0-9]+:    c4 e3 79 14 84 20 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%eax,%eiz,1\)
1233 [       ]*[a-f0-9]+:    c5 fb 2a bc 20 99 00 00 00      vcvtsi2sdl 0x99\(%eax,%eiz,1\),%xmm0,%xmm7
1234 [       ]*[a-f0-9]+:    c4 e3 79 44 bc 20 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%eax,%eiz,1\),%xmm0,%xmm7
1235 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 20 99 00 00 00 00        vblendvps %xmm0,0x99\(%eax,%eiz,1\),%xmm4,%xmm6
1236 [       ]*[a-f0-9]+:    c4 e3 79 20 bc 20 99 00 00 00 07        vpinsrb \$0x7,0x99\(%eax,%eiz,1\),%xmm0,%xmm7
1237 [       ]*[a-f0-9]+:    c5 fd 6f 84 20 99 00 00 00      vmovdqa 0x99\(%eax,%eiz,1\),%ymm0
1238 [       ]*[a-f0-9]+:    c5 fd 7f 84 20 99 00 00 00      vmovdqa %ymm0,0x99\(%eax,%eiz,1\)
1239 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc 20 99 00 00 00   vpermilpd 0x99\(%eax,%eiz,1\),%ymm0,%ymm7
1240 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 20 99 00 00 00 07        vroundpd \$0x7,0x99\(%eax,%eiz,1\),%ymm0
1241 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 20 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%eax,%eiz,1\)
1242 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc 20 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%eax,%eiz,1\),%ymm0,%ymm7
1243 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 20 99 00 00 00 00        vblendvpd %ymm0,0x99\(%eax,%eiz,1\),%ymm4,%ymm6
1244 [       ]*[a-f0-9]+:    c5 f8 ae 94 60 99 00 00 00      vldmxcsr 0x99\(%eax,%eiz,2\)
1245 [       ]*[a-f0-9]+:    c5 f9 6f 84 60 99 00 00 00      vmovdqa 0x99\(%eax,%eiz,2\),%xmm0
1246 [       ]*[a-f0-9]+:    c5 f9 7f 84 60 99 00 00 00      vmovdqa %xmm0,0x99\(%eax,%eiz,2\)
1247 [       ]*[a-f0-9]+:    c5 f9 7e 84 60 99 00 00 00      vmovd  %xmm0,0x99\(%eax,%eiz,2\)
1248 [       ]*[a-f0-9]+:    c5 fb 2d 84 60 99 00 00 00      vcvtsd2si 0x99\(%eax,%eiz,2\),%eax
1249 [       ]*[a-f0-9]+:    c5 fe e6 84 60 99 00 00 00      vcvtdq2pd 0x99\(%eax,%eiz,2\),%ymm0
1250 [       ]*[a-f0-9]+:    c5 fd 5a 84 60 99 00 00 00      vcvtpd2psy 0x99\(%eax,%eiz,2\),%xmm0
1251 [       ]*[a-f0-9]+:    c5 f9 e0 bc 60 99 00 00 00      vpavgb 0x99\(%eax,%eiz,2\),%xmm0,%xmm7
1252 [       ]*[a-f0-9]+:    c4 e3 79 df 84 60 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%eax,%eiz,2\),%xmm0
1253 [       ]*[a-f0-9]+:    c4 e3 79 14 84 60 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%eax,%eiz,2\)
1254 [       ]*[a-f0-9]+:    c5 fb 2a bc 60 99 00 00 00      vcvtsi2sdl 0x99\(%eax,%eiz,2\),%xmm0,%xmm7
1255 [       ]*[a-f0-9]+:    c4 e3 79 44 bc 60 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%eax,%eiz,2\),%xmm0,%xmm7
1256 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 60 99 00 00 00 00        vblendvps %xmm0,0x99\(%eax,%eiz,2\),%xmm4,%xmm6
1257 [       ]*[a-f0-9]+:    c4 e3 79 20 bc 60 99 00 00 00 07        vpinsrb \$0x7,0x99\(%eax,%eiz,2\),%xmm0,%xmm7
1258 [       ]*[a-f0-9]+:    c5 fd 6f 84 60 99 00 00 00      vmovdqa 0x99\(%eax,%eiz,2\),%ymm0
1259 [       ]*[a-f0-9]+:    c5 fd 7f 84 60 99 00 00 00      vmovdqa %ymm0,0x99\(%eax,%eiz,2\)
1260 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc 60 99 00 00 00   vpermilpd 0x99\(%eax,%eiz,2\),%ymm0,%ymm7
1261 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 60 99 00 00 00 07        vroundpd \$0x7,0x99\(%eax,%eiz,2\),%ymm0
1262 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 60 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%eax,%eiz,2\)
1263 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc 60 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%eax,%eiz,2\),%ymm0,%ymm7
1264 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 60 99 00 00 00 00        vblendvpd %ymm0,0x99\(%eax,%eiz,2\),%ymm4,%ymm6
1265 [       ]*[a-f0-9]+:    c5 f8 ae 94 98 99 00 00 00      vldmxcsr 0x99\(%eax,%ebx,4\)
1266 [       ]*[a-f0-9]+:    c5 f9 6f 84 98 99 00 00 00      vmovdqa 0x99\(%eax,%ebx,4\),%xmm0
1267 [       ]*[a-f0-9]+:    c5 f9 7f 84 98 99 00 00 00      vmovdqa %xmm0,0x99\(%eax,%ebx,4\)
1268 [       ]*[a-f0-9]+:    c5 f9 7e 84 98 99 00 00 00      vmovd  %xmm0,0x99\(%eax,%ebx,4\)
1269 [       ]*[a-f0-9]+:    c5 fb 2d 84 98 99 00 00 00      vcvtsd2si 0x99\(%eax,%ebx,4\),%eax
1270 [       ]*[a-f0-9]+:    c5 fe e6 84 98 99 00 00 00      vcvtdq2pd 0x99\(%eax,%ebx,4\),%ymm0
1271 [       ]*[a-f0-9]+:    c5 fd 5a 84 98 99 00 00 00      vcvtpd2psy 0x99\(%eax,%ebx,4\),%xmm0
1272 [       ]*[a-f0-9]+:    c5 f9 e0 bc 98 99 00 00 00      vpavgb 0x99\(%eax,%ebx,4\),%xmm0,%xmm7
1273 [       ]*[a-f0-9]+:    c4 e3 79 df 84 98 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%eax,%ebx,4\),%xmm0
1274 [       ]*[a-f0-9]+:    c4 e3 79 14 84 98 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%eax,%ebx,4\)
1275 [       ]*[a-f0-9]+:    c5 fb 2a bc 98 99 00 00 00      vcvtsi2sdl 0x99\(%eax,%ebx,4\),%xmm0,%xmm7
1276 [       ]*[a-f0-9]+:    c4 e3 79 44 bc 98 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%eax,%ebx,4\),%xmm0,%xmm7
1277 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 98 99 00 00 00 00        vblendvps %xmm0,0x99\(%eax,%ebx,4\),%xmm4,%xmm6
1278 [       ]*[a-f0-9]+:    c4 e3 79 20 bc 98 99 00 00 00 07        vpinsrb \$0x7,0x99\(%eax,%ebx,4\),%xmm0,%xmm7
1279 [       ]*[a-f0-9]+:    c5 fd 6f 84 98 99 00 00 00      vmovdqa 0x99\(%eax,%ebx,4\),%ymm0
1280 [       ]*[a-f0-9]+:    c5 fd 7f 84 98 99 00 00 00      vmovdqa %ymm0,0x99\(%eax,%ebx,4\)
1281 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc 98 99 00 00 00   vpermilpd 0x99\(%eax,%ebx,4\),%ymm0,%ymm7
1282 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 98 99 00 00 00 07        vroundpd \$0x7,0x99\(%eax,%ebx,4\),%ymm0
1283 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 98 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%eax,%ebx,4\)
1284 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc 98 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%eax,%ebx,4\),%ymm0,%ymm7
1285 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 98 99 00 00 00 00        vblendvpd %ymm0,0x99\(%eax,%ebx,4\),%ymm4,%ymm6
1286 [       ]*[a-f0-9]+:    c5 f8 ae 94 cc 99 00 00 00      vldmxcsr 0x99\(%esp,%ecx,8\)
1287 [       ]*[a-f0-9]+:    c5 f9 6f 84 cc 99 00 00 00      vmovdqa 0x99\(%esp,%ecx,8\),%xmm0
1288 [       ]*[a-f0-9]+:    c5 f9 7f 84 cc 99 00 00 00      vmovdqa %xmm0,0x99\(%esp,%ecx,8\)
1289 [       ]*[a-f0-9]+:    c5 f9 7e 84 cc 99 00 00 00      vmovd  %xmm0,0x99\(%esp,%ecx,8\)
1290 [       ]*[a-f0-9]+:    c5 fb 2d 84 cc 99 00 00 00      vcvtsd2si 0x99\(%esp,%ecx,8\),%eax
1291 [       ]*[a-f0-9]+:    c5 fe e6 84 cc 99 00 00 00      vcvtdq2pd 0x99\(%esp,%ecx,8\),%ymm0
1292 [       ]*[a-f0-9]+:    c5 fd 5a 84 cc 99 00 00 00      vcvtpd2psy 0x99\(%esp,%ecx,8\),%xmm0
1293 [       ]*[a-f0-9]+:    c5 f9 e0 bc cc 99 00 00 00      vpavgb 0x99\(%esp,%ecx,8\),%xmm0,%xmm7
1294 [       ]*[a-f0-9]+:    c4 e3 79 df 84 cc 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%esp,%ecx,8\),%xmm0
1295 [       ]*[a-f0-9]+:    c4 e3 79 14 84 cc 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%esp,%ecx,8\)
1296 [       ]*[a-f0-9]+:    c5 fb 2a bc cc 99 00 00 00      vcvtsi2sdl 0x99\(%esp,%ecx,8\),%xmm0,%xmm7
1297 [       ]*[a-f0-9]+:    c4 e3 79 44 bc cc 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%esp,%ecx,8\),%xmm0,%xmm7
1298 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 cc 99 00 00 00 00        vblendvps %xmm0,0x99\(%esp,%ecx,8\),%xmm4,%xmm6
1299 [       ]*[a-f0-9]+:    c4 e3 79 20 bc cc 99 00 00 00 07        vpinsrb \$0x7,0x99\(%esp,%ecx,8\),%xmm0,%xmm7
1300 [       ]*[a-f0-9]+:    c5 fd 6f 84 cc 99 00 00 00      vmovdqa 0x99\(%esp,%ecx,8\),%ymm0
1301 [       ]*[a-f0-9]+:    c5 fd 7f 84 cc 99 00 00 00      vmovdqa %ymm0,0x99\(%esp,%ecx,8\)
1302 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc cc 99 00 00 00   vpermilpd 0x99\(%esp,%ecx,8\),%ymm0,%ymm7
1303 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 cc 99 00 00 00 07        vroundpd \$0x7,0x99\(%esp,%ecx,8\),%ymm0
1304 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 cc 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%esp,%ecx,8\)
1305 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc cc 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%esp,%ecx,8\),%ymm0,%ymm7
1306 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 cc 99 00 00 00 00        vblendvpd %ymm0,0x99\(%esp,%ecx,8\),%ymm4,%ymm6
1307 [       ]*[a-f0-9]+:    c5 f8 ae 94 15 99 00 00 00      vldmxcsr 0x99\(%ebp,%edx,1\)
1308 [       ]*[a-f0-9]+:    c5 f9 6f 84 15 99 00 00 00      vmovdqa 0x99\(%ebp,%edx,1\),%xmm0
1309 [       ]*[a-f0-9]+:    c5 f9 7f 84 15 99 00 00 00      vmovdqa %xmm0,0x99\(%ebp,%edx,1\)
1310 [       ]*[a-f0-9]+:    c5 f9 7e 84 15 99 00 00 00      vmovd  %xmm0,0x99\(%ebp,%edx,1\)
1311 [       ]*[a-f0-9]+:    c5 fb 2d 84 15 99 00 00 00      vcvtsd2si 0x99\(%ebp,%edx,1\),%eax
1312 [       ]*[a-f0-9]+:    c5 fe e6 84 15 99 00 00 00      vcvtdq2pd 0x99\(%ebp,%edx,1\),%ymm0
1313 [       ]*[a-f0-9]+:    c5 fd 5a 84 15 99 00 00 00      vcvtpd2psy 0x99\(%ebp,%edx,1\),%xmm0
1314 [       ]*[a-f0-9]+:    c5 f9 e0 bc 15 99 00 00 00      vpavgb 0x99\(%ebp,%edx,1\),%xmm0,%xmm7
1315 [       ]*[a-f0-9]+:    c4 e3 79 df 84 15 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%ebp,%edx,1\),%xmm0
1316 [       ]*[a-f0-9]+:    c4 e3 79 14 84 15 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%ebp,%edx,1\)
1317 [       ]*[a-f0-9]+:    c5 fb 2a bc 15 99 00 00 00      vcvtsi2sdl 0x99\(%ebp,%edx,1\),%xmm0,%xmm7
1318 [       ]*[a-f0-9]+:    c4 e3 79 44 bc 15 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%ebp,%edx,1\),%xmm0,%xmm7
1319 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 15 99 00 00 00 00        vblendvps %xmm0,0x99\(%ebp,%edx,1\),%xmm4,%xmm6
1320 [       ]*[a-f0-9]+:    c4 e3 79 20 bc 15 99 00 00 00 07        vpinsrb \$0x7,0x99\(%ebp,%edx,1\),%xmm0,%xmm7
1321 [       ]*[a-f0-9]+:    c5 fd 6f 84 15 99 00 00 00      vmovdqa 0x99\(%ebp,%edx,1\),%ymm0
1322 [       ]*[a-f0-9]+:    c5 fd 7f 84 15 99 00 00 00      vmovdqa %ymm0,0x99\(%ebp,%edx,1\)
1323 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc 15 99 00 00 00   vpermilpd 0x99\(%ebp,%edx,1\),%ymm0,%ymm7
1324 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 15 99 00 00 00 07        vroundpd \$0x7,0x99\(%ebp,%edx,1\),%ymm0
1325 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 15 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%ebp,%edx,1\)
1326 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc 15 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%ebp,%edx,1\),%ymm0,%ymm7
1327 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 15 99 00 00 00 00        vblendvpd %ymm0,0x99\(%ebp,%edx,1\),%ymm4,%ymm6
1328 [       ]*[a-f0-9]+:    c5 f9 50 c0             vmovmskpd %xmm0,%eax
1329 [       ]*[a-f0-9]+:    c5 c1 72 f0 07          vpslld \$0x7,%xmm0,%xmm7
1330 [       ]*[a-f0-9]+:    c5 fc 50 c0             vmovmskps %ymm0,%eax
1331 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%ecx\)
1332 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%ecx\)
1333 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%ecx\)
1334 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%ecx\)
1335 [       ]*[a-f0-9]+:    c4 e2 5d 2d 31          vmaskmovpd \(%ecx\),%ymm4,%ymm6
1336 [       ]*[a-f0-9]+:    c4 e2 4d 2f 21          vmaskmovpd %ymm4,%ymm6,\(%ecx\)
1337 [       ]*[a-f0-9]+:    c4 e2 5d 2d 31          vmaskmovpd \(%ecx\),%ymm4,%ymm6
1338 [       ]*[a-f0-9]+:    c4 e2 4d 2f 21          vmaskmovpd %ymm4,%ymm6,\(%ecx\)
1339 [       ]*[a-f0-9]+:    c4 e2 5d 2c 31          vmaskmovps \(%ecx\),%ymm4,%ymm6
1340 [       ]*[a-f0-9]+:    c4 e2 4d 2e 21          vmaskmovps %ymm4,%ymm6,\(%ecx\)
1341 [       ]*[a-f0-9]+:    c4 e2 5d 2c 31          vmaskmovps \(%ecx\),%ymm4,%ymm6
1342 [       ]*[a-f0-9]+:    c4 e2 4d 2e 21          vmaskmovps %ymm4,%ymm6,\(%ecx\)
1343 [       ]*[a-f0-9]+:    c4 e3 7d 05 d6 07       vpermilpd \$0x7,%ymm6,%ymm2
1344 [       ]*[a-f0-9]+:    c4 e3 7d 05 31 07       vpermilpd \$0x7,\(%ecx\),%ymm6
1345 [       ]*[a-f0-9]+:    c4 e3 7d 05 31 07       vpermilpd \$0x7,\(%ecx\),%ymm6
1346 [       ]*[a-f0-9]+:    c4 e3 7d 04 d6 07       vpermilps \$0x7,%ymm6,%ymm2
1347 [       ]*[a-f0-9]+:    c4 e3 7d 04 31 07       vpermilps \$0x7,\(%ecx\),%ymm6
1348 [       ]*[a-f0-9]+:    c4 e3 7d 04 31 07       vpermilps \$0x7,\(%ecx\),%ymm6
1349 [       ]*[a-f0-9]+:    c4 e3 7d 09 d6 07       vroundpd \$0x7,%ymm6,%ymm2
1350 [       ]*[a-f0-9]+:    c4 e3 7d 09 31 07       vroundpd \$0x7,\(%ecx\),%ymm6
1351 [       ]*[a-f0-9]+:    c4 e3 7d 09 31 07       vroundpd \$0x7,\(%ecx\),%ymm6
1352 [       ]*[a-f0-9]+:    c4 e3 7d 08 d6 07       vroundps \$0x7,%ymm6,%ymm2
1353 [       ]*[a-f0-9]+:    c4 e3 7d 08 31 07       vroundps \$0x7,\(%ecx\),%ymm6
1354 [       ]*[a-f0-9]+:    c4 e3 7d 08 31 07       vroundps \$0x7,\(%ecx\),%ymm6
1355 [       ]*[a-f0-9]+:    c5 cd 58 d4             vaddpd %ymm4,%ymm6,%ymm2
1356 [       ]*[a-f0-9]+:    c5 cd 58 11             vaddpd \(%ecx\),%ymm6,%ymm2
1357 [       ]*[a-f0-9]+:    c5 cd 58 11             vaddpd \(%ecx\),%ymm6,%ymm2
1358 [       ]*[a-f0-9]+:    c5 cc 58 d4             vaddps %ymm4,%ymm6,%ymm2
1359 [       ]*[a-f0-9]+:    c5 cc 58 11             vaddps \(%ecx\),%ymm6,%ymm2
1360 [       ]*[a-f0-9]+:    c5 cc 58 11             vaddps \(%ecx\),%ymm6,%ymm2
1361 [       ]*[a-f0-9]+:    c5 cd d0 d4             vaddsubpd %ymm4,%ymm6,%ymm2
1362 [       ]*[a-f0-9]+:    c5 cd d0 11             vaddsubpd \(%ecx\),%ymm6,%ymm2
1363 [       ]*[a-f0-9]+:    c5 cd d0 11             vaddsubpd \(%ecx\),%ymm6,%ymm2
1364 [       ]*[a-f0-9]+:    c5 cf d0 d4             vaddsubps %ymm4,%ymm6,%ymm2
1365 [       ]*[a-f0-9]+:    c5 cf d0 11             vaddsubps \(%ecx\),%ymm6,%ymm2
1366 [       ]*[a-f0-9]+:    c5 cf d0 11             vaddsubps \(%ecx\),%ymm6,%ymm2
1367 [       ]*[a-f0-9]+:    c5 cd 55 d4             vandnpd %ymm4,%ymm6,%ymm2
1368 [       ]*[a-f0-9]+:    c5 cd 55 11             vandnpd \(%ecx\),%ymm6,%ymm2
1369 [       ]*[a-f0-9]+:    c5 cd 55 11             vandnpd \(%ecx\),%ymm6,%ymm2
1370 [       ]*[a-f0-9]+:    c5 cc 55 d4             vandnps %ymm4,%ymm6,%ymm2
1371 [       ]*[a-f0-9]+:    c5 cc 55 11             vandnps \(%ecx\),%ymm6,%ymm2
1372 [       ]*[a-f0-9]+:    c5 cc 55 11             vandnps \(%ecx\),%ymm6,%ymm2
1373 [       ]*[a-f0-9]+:    c5 cd 54 d4             vandpd %ymm4,%ymm6,%ymm2
1374 [       ]*[a-f0-9]+:    c5 cd 54 11             vandpd \(%ecx\),%ymm6,%ymm2
1375 [       ]*[a-f0-9]+:    c5 cd 54 11             vandpd \(%ecx\),%ymm6,%ymm2
1376 [       ]*[a-f0-9]+:    c5 cc 54 d4             vandps %ymm4,%ymm6,%ymm2
1377 [       ]*[a-f0-9]+:    c5 cc 54 11             vandps \(%ecx\),%ymm6,%ymm2
1378 [       ]*[a-f0-9]+:    c5 cc 54 11             vandps \(%ecx\),%ymm6,%ymm2
1379 [       ]*[a-f0-9]+:    c5 cd 5e d4             vdivpd %ymm4,%ymm6,%ymm2
1380 [       ]*[a-f0-9]+:    c5 cd 5e 11             vdivpd \(%ecx\),%ymm6,%ymm2
1381 [       ]*[a-f0-9]+:    c5 cd 5e 11             vdivpd \(%ecx\),%ymm6,%ymm2
1382 [       ]*[a-f0-9]+:    c5 cc 5e d4             vdivps %ymm4,%ymm6,%ymm2
1383 [       ]*[a-f0-9]+:    c5 cc 5e 11             vdivps \(%ecx\),%ymm6,%ymm2
1384 [       ]*[a-f0-9]+:    c5 cc 5e 11             vdivps \(%ecx\),%ymm6,%ymm2
1385 [       ]*[a-f0-9]+:    c5 cd 7c d4             vhaddpd %ymm4,%ymm6,%ymm2
1386 [       ]*[a-f0-9]+:    c5 cd 7c 11             vhaddpd \(%ecx\),%ymm6,%ymm2
1387 [       ]*[a-f0-9]+:    c5 cd 7c 11             vhaddpd \(%ecx\),%ymm6,%ymm2
1388 [       ]*[a-f0-9]+:    c5 cf 7c d4             vhaddps %ymm4,%ymm6,%ymm2
1389 [       ]*[a-f0-9]+:    c5 cf 7c 11             vhaddps \(%ecx\),%ymm6,%ymm2
1390 [       ]*[a-f0-9]+:    c5 cf 7c 11             vhaddps \(%ecx\),%ymm6,%ymm2
1391 [       ]*[a-f0-9]+:    c5 cd 7d d4             vhsubpd %ymm4,%ymm6,%ymm2
1392 [       ]*[a-f0-9]+:    c5 cd 7d 11             vhsubpd \(%ecx\),%ymm6,%ymm2
1393 [       ]*[a-f0-9]+:    c5 cd 7d 11             vhsubpd \(%ecx\),%ymm6,%ymm2
1394 [       ]*[a-f0-9]+:    c5 cf 7d d4             vhsubps %ymm4,%ymm6,%ymm2
1395 [       ]*[a-f0-9]+:    c5 cf 7d 11             vhsubps \(%ecx\),%ymm6,%ymm2
1396 [       ]*[a-f0-9]+:    c5 cf 7d 11             vhsubps \(%ecx\),%ymm6,%ymm2
1397 [       ]*[a-f0-9]+:    c5 cd 5f d4             vmaxpd %ymm4,%ymm6,%ymm2
1398 [       ]*[a-f0-9]+:    c5 cd 5f 11             vmaxpd \(%ecx\),%ymm6,%ymm2
1399 [       ]*[a-f0-9]+:    c5 cd 5f 11             vmaxpd \(%ecx\),%ymm6,%ymm2
1400 [       ]*[a-f0-9]+:    c5 cc 5f d4             vmaxps %ymm4,%ymm6,%ymm2
1401 [       ]*[a-f0-9]+:    c5 cc 5f 11             vmaxps \(%ecx\),%ymm6,%ymm2
1402 [       ]*[a-f0-9]+:    c5 cc 5f 11             vmaxps \(%ecx\),%ymm6,%ymm2
1403 [       ]*[a-f0-9]+:    c5 cd 5d d4             vminpd %ymm4,%ymm6,%ymm2
1404 [       ]*[a-f0-9]+:    c5 cd 5d 11             vminpd \(%ecx\),%ymm6,%ymm2
1405 [       ]*[a-f0-9]+:    c5 cd 5d 11             vminpd \(%ecx\),%ymm6,%ymm2
1406 [       ]*[a-f0-9]+:    c5 cc 5d d4             vminps %ymm4,%ymm6,%ymm2
1407 [       ]*[a-f0-9]+:    c5 cc 5d 11             vminps \(%ecx\),%ymm6,%ymm2
1408 [       ]*[a-f0-9]+:    c5 cc 5d 11             vminps \(%ecx\),%ymm6,%ymm2
1409 [       ]*[a-f0-9]+:    c5 cd 59 d4             vmulpd %ymm4,%ymm6,%ymm2
1410 [       ]*[a-f0-9]+:    c5 cd 59 11             vmulpd \(%ecx\),%ymm6,%ymm2
1411 [       ]*[a-f0-9]+:    c5 cd 59 11             vmulpd \(%ecx\),%ymm6,%ymm2
1412 [       ]*[a-f0-9]+:    c5 cc 59 d4             vmulps %ymm4,%ymm6,%ymm2
1413 [       ]*[a-f0-9]+:    c5 cc 59 11             vmulps \(%ecx\),%ymm6,%ymm2
1414 [       ]*[a-f0-9]+:    c5 cc 59 11             vmulps \(%ecx\),%ymm6,%ymm2
1415 [       ]*[a-f0-9]+:    c5 cd 56 d4             vorpd  %ymm4,%ymm6,%ymm2
1416 [       ]*[a-f0-9]+:    c5 cd 56 11             vorpd  \(%ecx\),%ymm6,%ymm2
1417 [       ]*[a-f0-9]+:    c5 cd 56 11             vorpd  \(%ecx\),%ymm6,%ymm2
1418 [       ]*[a-f0-9]+:    c5 cc 56 d4             vorps  %ymm4,%ymm6,%ymm2
1419 [       ]*[a-f0-9]+:    c5 cc 56 11             vorps  \(%ecx\),%ymm6,%ymm2
1420 [       ]*[a-f0-9]+:    c5 cc 56 11             vorps  \(%ecx\),%ymm6,%ymm2
1421 [       ]*[a-f0-9]+:    c4 e2 4d 0d d4          vpermilpd %ymm4,%ymm6,%ymm2
1422 [       ]*[a-f0-9]+:    c4 e2 4d 0d 11          vpermilpd \(%ecx\),%ymm6,%ymm2
1423 [       ]*[a-f0-9]+:    c4 e2 4d 0d 11          vpermilpd \(%ecx\),%ymm6,%ymm2
1424 [       ]*[a-f0-9]+:    c4 e2 4d 0c d4          vpermilps %ymm4,%ymm6,%ymm2
1425 [       ]*[a-f0-9]+:    c4 e2 4d 0c 11          vpermilps \(%ecx\),%ymm6,%ymm2
1426 [       ]*[a-f0-9]+:    c4 e2 4d 0c 11          vpermilps \(%ecx\),%ymm6,%ymm2
1427 [       ]*[a-f0-9]+:    c5 cd 5c d4             vsubpd %ymm4,%ymm6,%ymm2
1428 [       ]*[a-f0-9]+:    c5 cd 5c 11             vsubpd \(%ecx\),%ymm6,%ymm2
1429 [       ]*[a-f0-9]+:    c5 cd 5c 11             vsubpd \(%ecx\),%ymm6,%ymm2
1430 [       ]*[a-f0-9]+:    c5 cc 5c d4             vsubps %ymm4,%ymm6,%ymm2
1431 [       ]*[a-f0-9]+:    c5 cc 5c 11             vsubps \(%ecx\),%ymm6,%ymm2
1432 [       ]*[a-f0-9]+:    c5 cc 5c 11             vsubps \(%ecx\),%ymm6,%ymm2
1433 [       ]*[a-f0-9]+:    c5 cd 15 d4             vunpckhpd %ymm4,%ymm6,%ymm2
1434 [       ]*[a-f0-9]+:    c5 cd 15 11             vunpckhpd \(%ecx\),%ymm6,%ymm2
1435 [       ]*[a-f0-9]+:    c5 cd 15 11             vunpckhpd \(%ecx\),%ymm6,%ymm2
1436 [       ]*[a-f0-9]+:    c5 cc 15 d4             vunpckhps %ymm4,%ymm6,%ymm2
1437 [       ]*[a-f0-9]+:    c5 cc 15 11             vunpckhps \(%ecx\),%ymm6,%ymm2
1438 [       ]*[a-f0-9]+:    c5 cc 15 11             vunpckhps \(%ecx\),%ymm6,%ymm2
1439 [       ]*[a-f0-9]+:    c5 cd 14 d4             vunpcklpd %ymm4,%ymm6,%ymm2
1440 [       ]*[a-f0-9]+:    c5 cd 14 11             vunpcklpd \(%ecx\),%ymm6,%ymm2
1441 [       ]*[a-f0-9]+:    c5 cd 14 11             vunpcklpd \(%ecx\),%ymm6,%ymm2
1442 [       ]*[a-f0-9]+:    c5 cc 14 d4             vunpcklps %ymm4,%ymm6,%ymm2
1443 [       ]*[a-f0-9]+:    c5 cc 14 11             vunpcklps \(%ecx\),%ymm6,%ymm2
1444 [       ]*[a-f0-9]+:    c5 cc 14 11             vunpcklps \(%ecx\),%ymm6,%ymm2
1445 [       ]*[a-f0-9]+:    c5 cd 57 d4             vxorpd %ymm4,%ymm6,%ymm2
1446 [       ]*[a-f0-9]+:    c5 cd 57 11             vxorpd \(%ecx\),%ymm6,%ymm2
1447 [       ]*[a-f0-9]+:    c5 cd 57 11             vxorpd \(%ecx\),%ymm6,%ymm2
1448 [       ]*[a-f0-9]+:    c5 cc 57 d4             vxorps %ymm4,%ymm6,%ymm2
1449 [       ]*[a-f0-9]+:    c5 cc 57 11             vxorps \(%ecx\),%ymm6,%ymm2
1450 [       ]*[a-f0-9]+:    c5 cc 57 11             vxorps \(%ecx\),%ymm6,%ymm2
1451 [       ]*[a-f0-9]+:    c5 cd c2 d4 00          vcmpeqpd %ymm4,%ymm6,%ymm2
1452 [       ]*[a-f0-9]+:    c5 cd c2 11 00          vcmpeqpd \(%ecx\),%ymm6,%ymm2
1453 [       ]*[a-f0-9]+:    c5 cd c2 11 00          vcmpeqpd \(%ecx\),%ymm6,%ymm2
1454 [       ]*[a-f0-9]+:    c5 cd c2 d4 01          vcmpltpd %ymm4,%ymm6,%ymm2
1455 [       ]*[a-f0-9]+:    c5 cd c2 11 01          vcmpltpd \(%ecx\),%ymm6,%ymm2
1456 [       ]*[a-f0-9]+:    c5 cd c2 11 01          vcmpltpd \(%ecx\),%ymm6,%ymm2
1457 [       ]*[a-f0-9]+:    c5 cd c2 d4 02          vcmplepd %ymm4,%ymm6,%ymm2
1458 [       ]*[a-f0-9]+:    c5 cd c2 11 02          vcmplepd \(%ecx\),%ymm6,%ymm2
1459 [       ]*[a-f0-9]+:    c5 cd c2 11 02          vcmplepd \(%ecx\),%ymm6,%ymm2
1460 [       ]*[a-f0-9]+:    c5 cd c2 d4 03          vcmpunordpd %ymm4,%ymm6,%ymm2
1461 [       ]*[a-f0-9]+:    c5 cd c2 11 03          vcmpunordpd \(%ecx\),%ymm6,%ymm2
1462 [       ]*[a-f0-9]+:    c5 cd c2 11 03          vcmpunordpd \(%ecx\),%ymm6,%ymm2
1463 [       ]*[a-f0-9]+:    c5 cd c2 d4 04          vcmpneqpd %ymm4,%ymm6,%ymm2
1464 [       ]*[a-f0-9]+:    c5 cd c2 11 04          vcmpneqpd \(%ecx\),%ymm6,%ymm2
1465 [       ]*[a-f0-9]+:    c5 cd c2 11 04          vcmpneqpd \(%ecx\),%ymm6,%ymm2
1466 [       ]*[a-f0-9]+:    c5 cd c2 d4 05          vcmpnltpd %ymm4,%ymm6,%ymm2
1467 [       ]*[a-f0-9]+:    c5 cd c2 11 05          vcmpnltpd \(%ecx\),%ymm6,%ymm2
1468 [       ]*[a-f0-9]+:    c5 cd c2 11 05          vcmpnltpd \(%ecx\),%ymm6,%ymm2
1469 [       ]*[a-f0-9]+:    c5 cd c2 d4 06          vcmpnlepd %ymm4,%ymm6,%ymm2
1470 [       ]*[a-f0-9]+:    c5 cd c2 11 06          vcmpnlepd \(%ecx\),%ymm6,%ymm2
1471 [       ]*[a-f0-9]+:    c5 cd c2 11 06          vcmpnlepd \(%ecx\),%ymm6,%ymm2
1472 [       ]*[a-f0-9]+:    c5 cd c2 d4 07          vcmpordpd %ymm4,%ymm6,%ymm2
1473 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%ecx\),%ymm6,%ymm2
1474 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%ecx\),%ymm6,%ymm2
1475 [       ]*[a-f0-9]+:    c5 cd c2 d4 08          vcmpeq_uqpd %ymm4,%ymm6,%ymm2
1476 [       ]*[a-f0-9]+:    c5 cd c2 11 08          vcmpeq_uqpd \(%ecx\),%ymm6,%ymm2
1477 [       ]*[a-f0-9]+:    c5 cd c2 11 08          vcmpeq_uqpd \(%ecx\),%ymm6,%ymm2
1478 [       ]*[a-f0-9]+:    c5 cd c2 d4 09          vcmpngepd %ymm4,%ymm6,%ymm2
1479 [       ]*[a-f0-9]+:    c5 cd c2 11 09          vcmpngepd \(%ecx\),%ymm6,%ymm2
1480 [       ]*[a-f0-9]+:    c5 cd c2 11 09          vcmpngepd \(%ecx\),%ymm6,%ymm2
1481 [       ]*[a-f0-9]+:    c5 cd c2 d4 0a          vcmpngtpd %ymm4,%ymm6,%ymm2
1482 [       ]*[a-f0-9]+:    c5 cd c2 11 0a          vcmpngtpd \(%ecx\),%ymm6,%ymm2
1483 [       ]*[a-f0-9]+:    c5 cd c2 11 0a          vcmpngtpd \(%ecx\),%ymm6,%ymm2
1484 [       ]*[a-f0-9]+:    c5 cd c2 d4 0b          vcmpfalsepd %ymm4,%ymm6,%ymm2
1485 [       ]*[a-f0-9]+:    c5 cd c2 11 0b          vcmpfalsepd \(%ecx\),%ymm6,%ymm2
1486 [       ]*[a-f0-9]+:    c5 cd c2 11 0b          vcmpfalsepd \(%ecx\),%ymm6,%ymm2
1487 [       ]*[a-f0-9]+:    c5 cd c2 d4 0c          vcmpneq_oqpd %ymm4,%ymm6,%ymm2
1488 [       ]*[a-f0-9]+:    c5 cd c2 11 0c          vcmpneq_oqpd \(%ecx\),%ymm6,%ymm2
1489 [       ]*[a-f0-9]+:    c5 cd c2 11 0c          vcmpneq_oqpd \(%ecx\),%ymm6,%ymm2
1490 [       ]*[a-f0-9]+:    c5 cd c2 d4 0d          vcmpgepd %ymm4,%ymm6,%ymm2
1491 [       ]*[a-f0-9]+:    c5 cd c2 11 0d          vcmpgepd \(%ecx\),%ymm6,%ymm2
1492 [       ]*[a-f0-9]+:    c5 cd c2 11 0d          vcmpgepd \(%ecx\),%ymm6,%ymm2
1493 [       ]*[a-f0-9]+:    c5 cd c2 d4 0e          vcmpgtpd %ymm4,%ymm6,%ymm2
1494 [       ]*[a-f0-9]+:    c5 cd c2 11 0e          vcmpgtpd \(%ecx\),%ymm6,%ymm2
1495 [       ]*[a-f0-9]+:    c5 cd c2 11 0e          vcmpgtpd \(%ecx\),%ymm6,%ymm2
1496 [       ]*[a-f0-9]+:    c5 cd c2 d4 0f          vcmptruepd %ymm4,%ymm6,%ymm2
1497 [       ]*[a-f0-9]+:    c5 cd c2 11 0f          vcmptruepd \(%ecx\),%ymm6,%ymm2
1498 [       ]*[a-f0-9]+:    c5 cd c2 11 0f          vcmptruepd \(%ecx\),%ymm6,%ymm2
1499 [       ]*[a-f0-9]+:    c5 cd c2 d4 10          vcmpeq_ospd %ymm4,%ymm6,%ymm2
1500 [       ]*[a-f0-9]+:    c5 cd c2 11 10          vcmpeq_ospd \(%ecx\),%ymm6,%ymm2
1501 [       ]*[a-f0-9]+:    c5 cd c2 11 10          vcmpeq_ospd \(%ecx\),%ymm6,%ymm2
1502 [       ]*[a-f0-9]+:    c5 cd c2 d4 11          vcmplt_oqpd %ymm4,%ymm6,%ymm2
1503 [       ]*[a-f0-9]+:    c5 cd c2 11 11          vcmplt_oqpd \(%ecx\),%ymm6,%ymm2
1504 [       ]*[a-f0-9]+:    c5 cd c2 11 11          vcmplt_oqpd \(%ecx\),%ymm6,%ymm2
1505 [       ]*[a-f0-9]+:    c5 cd c2 d4 12          vcmple_oqpd %ymm4,%ymm6,%ymm2
1506 [       ]*[a-f0-9]+:    c5 cd c2 11 12          vcmple_oqpd \(%ecx\),%ymm6,%ymm2
1507 [       ]*[a-f0-9]+:    c5 cd c2 11 12          vcmple_oqpd \(%ecx\),%ymm6,%ymm2
1508 [       ]*[a-f0-9]+:    c5 cd c2 d4 13          vcmpunord_spd %ymm4,%ymm6,%ymm2
1509 [       ]*[a-f0-9]+:    c5 cd c2 11 13          vcmpunord_spd \(%ecx\),%ymm6,%ymm2
1510 [       ]*[a-f0-9]+:    c5 cd c2 11 13          vcmpunord_spd \(%ecx\),%ymm6,%ymm2
1511 [       ]*[a-f0-9]+:    c5 cd c2 d4 14          vcmpneq_uspd %ymm4,%ymm6,%ymm2
1512 [       ]*[a-f0-9]+:    c5 cd c2 11 14          vcmpneq_uspd \(%ecx\),%ymm6,%ymm2
1513 [       ]*[a-f0-9]+:    c5 cd c2 11 14          vcmpneq_uspd \(%ecx\),%ymm6,%ymm2
1514 [       ]*[a-f0-9]+:    c5 cd c2 d4 15          vcmpnlt_uqpd %ymm4,%ymm6,%ymm2
1515 [       ]*[a-f0-9]+:    c5 cd c2 11 15          vcmpnlt_uqpd \(%ecx\),%ymm6,%ymm2
1516 [       ]*[a-f0-9]+:    c5 cd c2 11 15          vcmpnlt_uqpd \(%ecx\),%ymm6,%ymm2
1517 [       ]*[a-f0-9]+:    c5 cd c2 d4 16          vcmpnle_uqpd %ymm4,%ymm6,%ymm2
1518 [       ]*[a-f0-9]+:    c5 cd c2 11 16          vcmpnle_uqpd \(%ecx\),%ymm6,%ymm2
1519 [       ]*[a-f0-9]+:    c5 cd c2 11 16          vcmpnle_uqpd \(%ecx\),%ymm6,%ymm2
1520 [       ]*[a-f0-9]+:    c5 cd c2 d4 17          vcmpord_spd %ymm4,%ymm6,%ymm2
1521 [       ]*[a-f0-9]+:    c5 cd c2 11 17          vcmpord_spd \(%ecx\),%ymm6,%ymm2
1522 [       ]*[a-f0-9]+:    c5 cd c2 11 17          vcmpord_spd \(%ecx\),%ymm6,%ymm2
1523 [       ]*[a-f0-9]+:    c5 cd c2 d4 18          vcmpeq_uspd %ymm4,%ymm6,%ymm2
1524 [       ]*[a-f0-9]+:    c5 cd c2 11 18          vcmpeq_uspd \(%ecx\),%ymm6,%ymm2
1525 [       ]*[a-f0-9]+:    c5 cd c2 11 18          vcmpeq_uspd \(%ecx\),%ymm6,%ymm2
1526 [       ]*[a-f0-9]+:    c5 cd c2 d4 19          vcmpnge_uqpd %ymm4,%ymm6,%ymm2
1527 [       ]*[a-f0-9]+:    c5 cd c2 11 19          vcmpnge_uqpd \(%ecx\),%ymm6,%ymm2
1528 [       ]*[a-f0-9]+:    c5 cd c2 11 19          vcmpnge_uqpd \(%ecx\),%ymm6,%ymm2
1529 [       ]*[a-f0-9]+:    c5 cd c2 d4 1a          vcmpngt_uqpd %ymm4,%ymm6,%ymm2
1530 [       ]*[a-f0-9]+:    c5 cd c2 11 1a          vcmpngt_uqpd \(%ecx\),%ymm6,%ymm2
1531 [       ]*[a-f0-9]+:    c5 cd c2 11 1a          vcmpngt_uqpd \(%ecx\),%ymm6,%ymm2
1532 [       ]*[a-f0-9]+:    c5 cd c2 d4 1b          vcmpfalse_ospd %ymm4,%ymm6,%ymm2
1533 [       ]*[a-f0-9]+:    c5 cd c2 11 1b          vcmpfalse_ospd \(%ecx\),%ymm6,%ymm2
1534 [       ]*[a-f0-9]+:    c5 cd c2 11 1b          vcmpfalse_ospd \(%ecx\),%ymm6,%ymm2
1535 [       ]*[a-f0-9]+:    c5 cd c2 d4 1c          vcmpneq_ospd %ymm4,%ymm6,%ymm2
1536 [       ]*[a-f0-9]+:    c5 cd c2 11 1c          vcmpneq_ospd \(%ecx\),%ymm6,%ymm2
1537 [       ]*[a-f0-9]+:    c5 cd c2 11 1c          vcmpneq_ospd \(%ecx\),%ymm6,%ymm2
1538 [       ]*[a-f0-9]+:    c5 cd c2 d4 1d          vcmpge_oqpd %ymm4,%ymm6,%ymm2
1539 [       ]*[a-f0-9]+:    c5 cd c2 11 1d          vcmpge_oqpd \(%ecx\),%ymm6,%ymm2
1540 [       ]*[a-f0-9]+:    c5 cd c2 11 1d          vcmpge_oqpd \(%ecx\),%ymm6,%ymm2
1541 [       ]*[a-f0-9]+:    c5 cd c2 d4 1e          vcmpgt_oqpd %ymm4,%ymm6,%ymm2
1542 [       ]*[a-f0-9]+:    c5 cd c2 11 1e          vcmpgt_oqpd \(%ecx\),%ymm6,%ymm2
1543 [       ]*[a-f0-9]+:    c5 cd c2 11 1e          vcmpgt_oqpd \(%ecx\),%ymm6,%ymm2
1544 [       ]*[a-f0-9]+:    c5 cd c2 d4 1f          vcmptrue_uspd %ymm4,%ymm6,%ymm2
1545 [       ]*[a-f0-9]+:    c5 cd c2 11 1f          vcmptrue_uspd \(%ecx\),%ymm6,%ymm2
1546 [       ]*[a-f0-9]+:    c5 cd c2 11 1f          vcmptrue_uspd \(%ecx\),%ymm6,%ymm2
1547 [       ]*[a-f0-9]+:    c5 cc c2 d4 00          vcmpeqps %ymm4,%ymm6,%ymm2
1548 [       ]*[a-f0-9]+:    c5 cc c2 11 00          vcmpeqps \(%ecx\),%ymm6,%ymm2
1549 [       ]*[a-f0-9]+:    c5 cc c2 11 00          vcmpeqps \(%ecx\),%ymm6,%ymm2
1550 [       ]*[a-f0-9]+:    c5 cc c2 d4 01          vcmpltps %ymm4,%ymm6,%ymm2
1551 [       ]*[a-f0-9]+:    c5 cc c2 11 01          vcmpltps \(%ecx\),%ymm6,%ymm2
1552 [       ]*[a-f0-9]+:    c5 cc c2 11 01          vcmpltps \(%ecx\),%ymm6,%ymm2
1553 [       ]*[a-f0-9]+:    c5 cc c2 d4 02          vcmpleps %ymm4,%ymm6,%ymm2
1554 [       ]*[a-f0-9]+:    c5 cc c2 11 02          vcmpleps \(%ecx\),%ymm6,%ymm2
1555 [       ]*[a-f0-9]+:    c5 cc c2 11 02          vcmpleps \(%ecx\),%ymm6,%ymm2
1556 [       ]*[a-f0-9]+:    c5 cc c2 d4 03          vcmpunordps %ymm4,%ymm6,%ymm2
1557 [       ]*[a-f0-9]+:    c5 cc c2 11 03          vcmpunordps \(%ecx\),%ymm6,%ymm2
1558 [       ]*[a-f0-9]+:    c5 cc c2 11 03          vcmpunordps \(%ecx\),%ymm6,%ymm2
1559 [       ]*[a-f0-9]+:    c5 cc c2 d4 04          vcmpneqps %ymm4,%ymm6,%ymm2
1560 [       ]*[a-f0-9]+:    c5 cc c2 11 04          vcmpneqps \(%ecx\),%ymm6,%ymm2
1561 [       ]*[a-f0-9]+:    c5 cc c2 11 04          vcmpneqps \(%ecx\),%ymm6,%ymm2
1562 [       ]*[a-f0-9]+:    c5 cc c2 d4 05          vcmpnltps %ymm4,%ymm6,%ymm2
1563 [       ]*[a-f0-9]+:    c5 cc c2 11 05          vcmpnltps \(%ecx\),%ymm6,%ymm2
1564 [       ]*[a-f0-9]+:    c5 cc c2 11 05          vcmpnltps \(%ecx\),%ymm6,%ymm2
1565 [       ]*[a-f0-9]+:    c5 cc c2 d4 06          vcmpnleps %ymm4,%ymm6,%ymm2
1566 [       ]*[a-f0-9]+:    c5 cc c2 11 06          vcmpnleps \(%ecx\),%ymm6,%ymm2
1567 [       ]*[a-f0-9]+:    c5 cc c2 11 06          vcmpnleps \(%ecx\),%ymm6,%ymm2
1568 [       ]*[a-f0-9]+:    c5 cc c2 d4 07          vcmpordps %ymm4,%ymm6,%ymm2
1569 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%ecx\),%ymm6,%ymm2
1570 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%ecx\),%ymm6,%ymm2
1571 [       ]*[a-f0-9]+:    c5 cc c2 d4 08          vcmpeq_uqps %ymm4,%ymm6,%ymm2
1572 [       ]*[a-f0-9]+:    c5 cc c2 11 08          vcmpeq_uqps \(%ecx\),%ymm6,%ymm2
1573 [       ]*[a-f0-9]+:    c5 cc c2 11 08          vcmpeq_uqps \(%ecx\),%ymm6,%ymm2
1574 [       ]*[a-f0-9]+:    c5 cc c2 d4 09          vcmpngeps %ymm4,%ymm6,%ymm2
1575 [       ]*[a-f0-9]+:    c5 cc c2 11 09          vcmpngeps \(%ecx\),%ymm6,%ymm2
1576 [       ]*[a-f0-9]+:    c5 cc c2 11 09          vcmpngeps \(%ecx\),%ymm6,%ymm2
1577 [       ]*[a-f0-9]+:    c5 cc c2 d4 0a          vcmpngtps %ymm4,%ymm6,%ymm2
1578 [       ]*[a-f0-9]+:    c5 cc c2 11 0a          vcmpngtps \(%ecx\),%ymm6,%ymm2
1579 [       ]*[a-f0-9]+:    c5 cc c2 11 0a          vcmpngtps \(%ecx\),%ymm6,%ymm2
1580 [       ]*[a-f0-9]+:    c5 cc c2 d4 0b          vcmpfalseps %ymm4,%ymm6,%ymm2
1581 [       ]*[a-f0-9]+:    c5 cc c2 11 0b          vcmpfalseps \(%ecx\),%ymm6,%ymm2
1582 [       ]*[a-f0-9]+:    c5 cc c2 11 0b          vcmpfalseps \(%ecx\),%ymm6,%ymm2
1583 [       ]*[a-f0-9]+:    c5 cc c2 d4 0c          vcmpneq_oqps %ymm4,%ymm6,%ymm2
1584 [       ]*[a-f0-9]+:    c5 cc c2 11 0c          vcmpneq_oqps \(%ecx\),%ymm6,%ymm2
1585 [       ]*[a-f0-9]+:    c5 cc c2 11 0c          vcmpneq_oqps \(%ecx\),%ymm6,%ymm2
1586 [       ]*[a-f0-9]+:    c5 cc c2 d4 0d          vcmpgeps %ymm4,%ymm6,%ymm2
1587 [       ]*[a-f0-9]+:    c5 cc c2 11 0d          vcmpgeps \(%ecx\),%ymm6,%ymm2
1588 [       ]*[a-f0-9]+:    c5 cc c2 11 0d          vcmpgeps \(%ecx\),%ymm6,%ymm2
1589 [       ]*[a-f0-9]+:    c5 cc c2 d4 0e          vcmpgtps %ymm4,%ymm6,%ymm2
1590 [       ]*[a-f0-9]+:    c5 cc c2 11 0e          vcmpgtps \(%ecx\),%ymm6,%ymm2
1591 [       ]*[a-f0-9]+:    c5 cc c2 11 0e          vcmpgtps \(%ecx\),%ymm6,%ymm2
1592 [       ]*[a-f0-9]+:    c5 cc c2 d4 0f          vcmptrueps %ymm4,%ymm6,%ymm2
1593 [       ]*[a-f0-9]+:    c5 cc c2 11 0f          vcmptrueps \(%ecx\),%ymm6,%ymm2
1594 [       ]*[a-f0-9]+:    c5 cc c2 11 0f          vcmptrueps \(%ecx\),%ymm6,%ymm2
1595 [       ]*[a-f0-9]+:    c5 cc c2 d4 10          vcmpeq_osps %ymm4,%ymm6,%ymm2
1596 [       ]*[a-f0-9]+:    c5 cc c2 11 10          vcmpeq_osps \(%ecx\),%ymm6,%ymm2
1597 [       ]*[a-f0-9]+:    c5 cc c2 11 10          vcmpeq_osps \(%ecx\),%ymm6,%ymm2
1598 [       ]*[a-f0-9]+:    c5 cc c2 d4 11          vcmplt_oqps %ymm4,%ymm6,%ymm2
1599 [       ]*[a-f0-9]+:    c5 cc c2 11 11          vcmplt_oqps \(%ecx\),%ymm6,%ymm2
1600 [       ]*[a-f0-9]+:    c5 cc c2 11 11          vcmplt_oqps \(%ecx\),%ymm6,%ymm2
1601 [       ]*[a-f0-9]+:    c5 cc c2 d4 12          vcmple_oqps %ymm4,%ymm6,%ymm2
1602 [       ]*[a-f0-9]+:    c5 cc c2 11 12          vcmple_oqps \(%ecx\),%ymm6,%ymm2
1603 [       ]*[a-f0-9]+:    c5 cc c2 11 12          vcmple_oqps \(%ecx\),%ymm6,%ymm2
1604 [       ]*[a-f0-9]+:    c5 cc c2 d4 13          vcmpunord_sps %ymm4,%ymm6,%ymm2
1605 [       ]*[a-f0-9]+:    c5 cc c2 11 13          vcmpunord_sps \(%ecx\),%ymm6,%ymm2
1606 [       ]*[a-f0-9]+:    c5 cc c2 11 13          vcmpunord_sps \(%ecx\),%ymm6,%ymm2
1607 [       ]*[a-f0-9]+:    c5 cc c2 d4 14          vcmpneq_usps %ymm4,%ymm6,%ymm2
1608 [       ]*[a-f0-9]+:    c5 cc c2 11 14          vcmpneq_usps \(%ecx\),%ymm6,%ymm2
1609 [       ]*[a-f0-9]+:    c5 cc c2 11 14          vcmpneq_usps \(%ecx\),%ymm6,%ymm2
1610 [       ]*[a-f0-9]+:    c5 cc c2 d4 15          vcmpnlt_uqps %ymm4,%ymm6,%ymm2
1611 [       ]*[a-f0-9]+:    c5 cc c2 11 15          vcmpnlt_uqps \(%ecx\),%ymm6,%ymm2
1612 [       ]*[a-f0-9]+:    c5 cc c2 11 15          vcmpnlt_uqps \(%ecx\),%ymm6,%ymm2
1613 [       ]*[a-f0-9]+:    c5 cc c2 d4 16          vcmpnle_uqps %ymm4,%ymm6,%ymm2
1614 [       ]*[a-f0-9]+:    c5 cc c2 11 16          vcmpnle_uqps \(%ecx\),%ymm6,%ymm2
1615 [       ]*[a-f0-9]+:    c5 cc c2 11 16          vcmpnle_uqps \(%ecx\),%ymm6,%ymm2
1616 [       ]*[a-f0-9]+:    c5 cc c2 d4 17          vcmpord_sps %ymm4,%ymm6,%ymm2
1617 [       ]*[a-f0-9]+:    c5 cc c2 11 17          vcmpord_sps \(%ecx\),%ymm6,%ymm2
1618 [       ]*[a-f0-9]+:    c5 cc c2 11 17          vcmpord_sps \(%ecx\),%ymm6,%ymm2
1619 [       ]*[a-f0-9]+:    c5 cc c2 d4 18          vcmpeq_usps %ymm4,%ymm6,%ymm2
1620 [       ]*[a-f0-9]+:    c5 cc c2 11 18          vcmpeq_usps \(%ecx\),%ymm6,%ymm2
1621 [       ]*[a-f0-9]+:    c5 cc c2 11 18          vcmpeq_usps \(%ecx\),%ymm6,%ymm2
1622 [       ]*[a-f0-9]+:    c5 cc c2 d4 19          vcmpnge_uqps %ymm4,%ymm6,%ymm2
1623 [       ]*[a-f0-9]+:    c5 cc c2 11 19          vcmpnge_uqps \(%ecx\),%ymm6,%ymm2
1624 [       ]*[a-f0-9]+:    c5 cc c2 11 19          vcmpnge_uqps \(%ecx\),%ymm6,%ymm2
1625 [       ]*[a-f0-9]+:    c5 cc c2 d4 1a          vcmpngt_uqps %ymm4,%ymm6,%ymm2
1626 [       ]*[a-f0-9]+:    c5 cc c2 11 1a          vcmpngt_uqps \(%ecx\),%ymm6,%ymm2
1627 [       ]*[a-f0-9]+:    c5 cc c2 11 1a          vcmpngt_uqps \(%ecx\),%ymm6,%ymm2
1628 [       ]*[a-f0-9]+:    c5 cc c2 d4 1b          vcmpfalse_osps %ymm4,%ymm6,%ymm2
1629 [       ]*[a-f0-9]+:    c5 cc c2 11 1b          vcmpfalse_osps \(%ecx\),%ymm6,%ymm2
1630 [       ]*[a-f0-9]+:    c5 cc c2 11 1b          vcmpfalse_osps \(%ecx\),%ymm6,%ymm2
1631 [       ]*[a-f0-9]+:    c5 cc c2 d4 1c          vcmpneq_osps %ymm4,%ymm6,%ymm2
1632 [       ]*[a-f0-9]+:    c5 cc c2 11 1c          vcmpneq_osps \(%ecx\),%ymm6,%ymm2
1633 [       ]*[a-f0-9]+:    c5 cc c2 11 1c          vcmpneq_osps \(%ecx\),%ymm6,%ymm2
1634 [       ]*[a-f0-9]+:    c5 cc c2 d4 1d          vcmpge_oqps %ymm4,%ymm6,%ymm2
1635 [       ]*[a-f0-9]+:    c5 cc c2 11 1d          vcmpge_oqps \(%ecx\),%ymm6,%ymm2
1636 [       ]*[a-f0-9]+:    c5 cc c2 11 1d          vcmpge_oqps \(%ecx\),%ymm6,%ymm2
1637 [       ]*[a-f0-9]+:    c5 cc c2 d4 1e          vcmpgt_oqps %ymm4,%ymm6,%ymm2
1638 [       ]*[a-f0-9]+:    c5 cc c2 11 1e          vcmpgt_oqps \(%ecx\),%ymm6,%ymm2
1639 [       ]*[a-f0-9]+:    c5 cc c2 11 1e          vcmpgt_oqps \(%ecx\),%ymm6,%ymm2
1640 [       ]*[a-f0-9]+:    c5 cc c2 d4 1f          vcmptrue_usps %ymm4,%ymm6,%ymm2
1641 [       ]*[a-f0-9]+:    c5 cc c2 11 1f          vcmptrue_usps \(%ecx\),%ymm6,%ymm2
1642 [       ]*[a-f0-9]+:    c5 cc c2 11 1f          vcmptrue_usps \(%ecx\),%ymm6,%ymm2
1643 [       ]*[a-f0-9]+:    c5 ff e6 e4             vcvtpd2dq %ymm4,%xmm4
1644 [       ]*[a-f0-9]+:    c5 ff e6 21             vcvtpd2dqy \(%ecx\),%xmm4
1645 [       ]*[a-f0-9]+:    c5 fd 5a e4             vcvtpd2ps %ymm4,%xmm4
1646 [       ]*[a-f0-9]+:    c5 fd 5a 21             vcvtpd2psy \(%ecx\),%xmm4
1647 [       ]*[a-f0-9]+:    c5 fd e6 e4             vcvttpd2dq %ymm4,%xmm4
1648 [       ]*[a-f0-9]+:    c5 fd e6 21             vcvttpd2dqy \(%ecx\),%xmm4
1649 [       ]*[a-f0-9]+:    c5 fc 5b f4             vcvtdq2ps %ymm4,%ymm6
1650 [       ]*[a-f0-9]+:    c5 fc 5b 21             vcvtdq2ps \(%ecx\),%ymm4
1651 [       ]*[a-f0-9]+:    c5 fc 5b 21             vcvtdq2ps \(%ecx\),%ymm4
1652 [       ]*[a-f0-9]+:    c5 fd 5b f4             vcvtps2dq %ymm4,%ymm6
1653 [       ]*[a-f0-9]+:    c5 fd 5b 21             vcvtps2dq \(%ecx\),%ymm4
1654 [       ]*[a-f0-9]+:    c5 fd 5b 21             vcvtps2dq \(%ecx\),%ymm4
1655 [       ]*[a-f0-9]+:    c5 fe 5b f4             vcvttps2dq %ymm4,%ymm6
1656 [       ]*[a-f0-9]+:    c5 fe 5b 21             vcvttps2dq \(%ecx\),%ymm4
1657 [       ]*[a-f0-9]+:    c5 fe 5b 21             vcvttps2dq \(%ecx\),%ymm4
1658 [       ]*[a-f0-9]+:    c5 fd 28 f4             vmovapd %ymm4,%ymm6
1659 [       ]*[a-f0-9]+:    c5 fd 28 21             vmovapd \(%ecx\),%ymm4
1660 [       ]*[a-f0-9]+:    c5 fd 28 21             vmovapd \(%ecx\),%ymm4
1661 [       ]*[a-f0-9]+:    c5 fc 28 f4             vmovaps %ymm4,%ymm6
1662 [       ]*[a-f0-9]+:    c5 fc 28 21             vmovaps \(%ecx\),%ymm4
1663 [       ]*[a-f0-9]+:    c5 fc 28 21             vmovaps \(%ecx\),%ymm4
1664 [       ]*[a-f0-9]+:    c5 fd 6f f4             vmovdqa %ymm4,%ymm6
1665 [       ]*[a-f0-9]+:    c5 fd 6f 21             vmovdqa \(%ecx\),%ymm4
1666 [       ]*[a-f0-9]+:    c5 fd 6f 21             vmovdqa \(%ecx\),%ymm4
1667 [       ]*[a-f0-9]+:    c5 fe 6f f4             vmovdqu %ymm4,%ymm6
1668 [       ]*[a-f0-9]+:    c5 fe 6f 21             vmovdqu \(%ecx\),%ymm4
1669 [       ]*[a-f0-9]+:    c5 fe 6f 21             vmovdqu \(%ecx\),%ymm4
1670 [       ]*[a-f0-9]+:    c5 ff 12 f4             vmovddup %ymm4,%ymm6
1671 [       ]*[a-f0-9]+:    c5 ff 12 21             vmovddup \(%ecx\),%ymm4
1672 [       ]*[a-f0-9]+:    c5 ff 12 21             vmovddup \(%ecx\),%ymm4
1673 [       ]*[a-f0-9]+:    c5 fe 16 f4             vmovshdup %ymm4,%ymm6
1674 [       ]*[a-f0-9]+:    c5 fe 16 21             vmovshdup \(%ecx\),%ymm4
1675 [       ]*[a-f0-9]+:    c5 fe 16 21             vmovshdup \(%ecx\),%ymm4
1676 [       ]*[a-f0-9]+:    c5 fe 12 f4             vmovsldup %ymm4,%ymm6
1677 [       ]*[a-f0-9]+:    c5 fe 12 21             vmovsldup \(%ecx\),%ymm4
1678 [       ]*[a-f0-9]+:    c5 fe 12 21             vmovsldup \(%ecx\),%ymm4
1679 [       ]*[a-f0-9]+:    c5 fd 10 f4             vmovupd %ymm4,%ymm6
1680 [       ]*[a-f0-9]+:    c5 fd 10 21             vmovupd \(%ecx\),%ymm4
1681 [       ]*[a-f0-9]+:    c5 fd 10 21             vmovupd \(%ecx\),%ymm4
1682 [       ]*[a-f0-9]+:    c5 fc 10 f4             vmovups %ymm4,%ymm6
1683 [       ]*[a-f0-9]+:    c5 fc 10 21             vmovups \(%ecx\),%ymm4
1684 [       ]*[a-f0-9]+:    c5 fc 10 21             vmovups \(%ecx\),%ymm4
1685 [       ]*[a-f0-9]+:    c4 e2 7d 17 f4          vptest %ymm4,%ymm6
1686 [       ]*[a-f0-9]+:    c4 e2 7d 17 21          vptest \(%ecx\),%ymm4
1687 [       ]*[a-f0-9]+:    c4 e2 7d 17 21          vptest \(%ecx\),%ymm4
1688 [       ]*[a-f0-9]+:    c5 fc 53 f4             vrcpps %ymm4,%ymm6
1689 [       ]*[a-f0-9]+:    c5 fc 53 21             vrcpps \(%ecx\),%ymm4
1690 [       ]*[a-f0-9]+:    c5 fc 53 21             vrcpps \(%ecx\),%ymm4
1691 [       ]*[a-f0-9]+:    c5 fc 52 f4             vrsqrtps %ymm4,%ymm6
1692 [       ]*[a-f0-9]+:    c5 fc 52 21             vrsqrtps \(%ecx\),%ymm4
1693 [       ]*[a-f0-9]+:    c5 fc 52 21             vrsqrtps \(%ecx\),%ymm4
1694 [       ]*[a-f0-9]+:    c5 fd 51 f4             vsqrtpd %ymm4,%ymm6
1695 [       ]*[a-f0-9]+:    c5 fd 51 21             vsqrtpd \(%ecx\),%ymm4
1696 [       ]*[a-f0-9]+:    c5 fd 51 21             vsqrtpd \(%ecx\),%ymm4
1697 [       ]*[a-f0-9]+:    c5 fc 51 f4             vsqrtps %ymm4,%ymm6
1698 [       ]*[a-f0-9]+:    c5 fc 51 21             vsqrtps \(%ecx\),%ymm4
1699 [       ]*[a-f0-9]+:    c5 fc 51 21             vsqrtps \(%ecx\),%ymm4
1700 [       ]*[a-f0-9]+:    c4 e2 7d 0f f4          vtestpd %ymm4,%ymm6
1701 [       ]*[a-f0-9]+:    c4 e2 7d 0f 21          vtestpd \(%ecx\),%ymm4
1702 [       ]*[a-f0-9]+:    c4 e2 7d 0f 21          vtestpd \(%ecx\),%ymm4
1703 [       ]*[a-f0-9]+:    c4 e2 7d 0e f4          vtestps %ymm4,%ymm6
1704 [       ]*[a-f0-9]+:    c4 e2 7d 0e 21          vtestps \(%ecx\),%ymm4
1705 [       ]*[a-f0-9]+:    c4 e2 7d 0e 21          vtestps \(%ecx\),%ymm4
1706 [       ]*[a-f0-9]+:    c5 fd 28 f4             vmovapd %ymm4,%ymm6
1707 [       ]*[a-f0-9]+:    c5 fd 29 21             vmovapd %ymm4,\(%ecx\)
1708 [       ]*[a-f0-9]+:    c5 fd 29 21             vmovapd %ymm4,\(%ecx\)
1709 [       ]*[a-f0-9]+:    c5 fc 28 f4             vmovaps %ymm4,%ymm6
1710 [       ]*[a-f0-9]+:    c5 fc 29 21             vmovaps %ymm4,\(%ecx\)
1711 [       ]*[a-f0-9]+:    c5 fc 29 21             vmovaps %ymm4,\(%ecx\)
1712 [       ]*[a-f0-9]+:    c5 fd 6f f4             vmovdqa %ymm4,%ymm6
1713 [       ]*[a-f0-9]+:    c5 fd 7f 21             vmovdqa %ymm4,\(%ecx\)
1714 [       ]*[a-f0-9]+:    c5 fd 7f 21             vmovdqa %ymm4,\(%ecx\)
1715 [       ]*[a-f0-9]+:    c5 fe 6f f4             vmovdqu %ymm4,%ymm6
1716 [       ]*[a-f0-9]+:    c5 fe 7f 21             vmovdqu %ymm4,\(%ecx\)
1717 [       ]*[a-f0-9]+:    c5 fe 7f 21             vmovdqu %ymm4,\(%ecx\)
1718 [       ]*[a-f0-9]+:    c5 fd 10 f4             vmovupd %ymm4,%ymm6
1719 [       ]*[a-f0-9]+:    c5 fd 11 21             vmovupd %ymm4,\(%ecx\)
1720 [       ]*[a-f0-9]+:    c5 fd 11 21             vmovupd %ymm4,\(%ecx\)
1721 [       ]*[a-f0-9]+:    c5 fc 10 f4             vmovups %ymm4,%ymm6
1722 [       ]*[a-f0-9]+:    c5 fc 11 21             vmovups %ymm4,\(%ecx\)
1723 [       ]*[a-f0-9]+:    c5 fc 11 21             vmovups %ymm4,\(%ecx\)
1724 [       ]*[a-f0-9]+:    c5 ff f0 21             vlddqu \(%ecx\),%ymm4
1725 [       ]*[a-f0-9]+:    c5 ff f0 21             vlddqu \(%ecx\),%ymm4
1726 [       ]*[a-f0-9]+:    c5 fd e7 21             vmovntdq %ymm4,\(%ecx\)
1727 [       ]*[a-f0-9]+:    c5 fd e7 21             vmovntdq %ymm4,\(%ecx\)
1728 [       ]*[a-f0-9]+:    c5 fd 2b 21             vmovntpd %ymm4,\(%ecx\)
1729 [       ]*[a-f0-9]+:    c5 fd 2b 21             vmovntpd %ymm4,\(%ecx\)
1730 [       ]*[a-f0-9]+:    c5 fc 2b 21             vmovntps %ymm4,\(%ecx\)
1731 [       ]*[a-f0-9]+:    c5 fc 2b 21             vmovntps %ymm4,\(%ecx\)
1732 [       ]*[a-f0-9]+:    c4 e3 4d 0d d4 07       vblendpd \$0x7,%ymm4,%ymm6,%ymm2
1733 [       ]*[a-f0-9]+:    c4 e3 4d 0d 11 07       vblendpd \$0x7,\(%ecx\),%ymm6,%ymm2
1734 [       ]*[a-f0-9]+:    c4 e3 4d 0d 11 07       vblendpd \$0x7,\(%ecx\),%ymm6,%ymm2
1735 [       ]*[a-f0-9]+:    c4 e3 4d 0c d4 07       vblendps \$0x7,%ymm4,%ymm6,%ymm2
1736 [       ]*[a-f0-9]+:    c4 e3 4d 0c 11 07       vblendps \$0x7,\(%ecx\),%ymm6,%ymm2
1737 [       ]*[a-f0-9]+:    c4 e3 4d 0c 11 07       vblendps \$0x7,\(%ecx\),%ymm6,%ymm2
1738 [       ]*[a-f0-9]+:    c5 cd c2 d4 07          vcmpordpd %ymm4,%ymm6,%ymm2
1739 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%ecx\),%ymm6,%ymm2
1740 [       ]*[a-f0-9]+:    c5 cd c2 11 07          vcmpordpd \(%ecx\),%ymm6,%ymm2
1741 [       ]*[a-f0-9]+:    c5 cc c2 d4 07          vcmpordps %ymm4,%ymm6,%ymm2
1742 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%ecx\),%ymm6,%ymm2
1743 [       ]*[a-f0-9]+:    c5 cc c2 11 07          vcmpordps \(%ecx\),%ymm6,%ymm2
1744 [       ]*[a-f0-9]+:    c4 e3 4d 40 d4 07       vdpps  \$0x7,%ymm4,%ymm6,%ymm2
1745 [       ]*[a-f0-9]+:    c4 e3 4d 40 11 07       vdpps  \$0x7,\(%ecx\),%ymm6,%ymm2
1746 [       ]*[a-f0-9]+:    c4 e3 4d 40 11 07       vdpps  \$0x7,\(%ecx\),%ymm6,%ymm2
1747 [       ]*[a-f0-9]+:    c4 e3 4d 06 d4 07       vperm2f128 \$0x7,%ymm4,%ymm6,%ymm2
1748 [       ]*[a-f0-9]+:    c4 e3 4d 06 11 07       vperm2f128 \$0x7,\(%ecx\),%ymm6,%ymm2
1749 [       ]*[a-f0-9]+:    c4 e3 4d 06 11 07       vperm2f128 \$0x7,\(%ecx\),%ymm6,%ymm2
1750 [       ]*[a-f0-9]+:    c5 cd c6 d4 07          vshufpd \$0x7,%ymm4,%ymm6,%ymm2
1751 [       ]*[a-f0-9]+:    c5 cd c6 11 07          vshufpd \$0x7,\(%ecx\),%ymm6,%ymm2
1752 [       ]*[a-f0-9]+:    c5 cd c6 11 07          vshufpd \$0x7,\(%ecx\),%ymm6,%ymm2
1753 [       ]*[a-f0-9]+:    c5 cc c6 d4 07          vshufps \$0x7,%ymm4,%ymm6,%ymm2
1754 [       ]*[a-f0-9]+:    c5 cc c6 11 07          vshufps \$0x7,\(%ecx\),%ymm6,%ymm2
1755 [       ]*[a-f0-9]+:    c5 cc c6 11 07          vshufps \$0x7,\(%ecx\),%ymm6,%ymm2
1756 [       ]*[a-f0-9]+:    c4 e3 6d 4b fe 40       vblendvpd %ymm4,%ymm6,%ymm2,%ymm7
1757 [       ]*[a-f0-9]+:    c4 e3 6d 4b 39 40       vblendvpd %ymm4,\(%ecx\),%ymm2,%ymm7
1758 [       ]*[a-f0-9]+:    c4 e3 6d 4b 39 40       vblendvpd %ymm4,\(%ecx\),%ymm2,%ymm7
1759 [       ]*[a-f0-9]+:    c4 e3 6d 4a fe 40       vblendvps %ymm4,%ymm6,%ymm2,%ymm7
1760 [       ]*[a-f0-9]+:    c4 e3 6d 4a 39 40       vblendvps %ymm4,\(%ecx\),%ymm2,%ymm7
1761 [       ]*[a-f0-9]+:    c4 e3 6d 4a 39 40       vblendvps %ymm4,\(%ecx\),%ymm2,%ymm7
1762 [       ]*[a-f0-9]+:    c4 e3 5d 18 f4 07       vinsertf128 \$0x7,%xmm4,%ymm4,%ymm6
1763 [       ]*[a-f0-9]+:    c4 e3 5d 18 31 07       vinsertf128 \$0x7,\(%ecx\),%ymm4,%ymm6
1764 [       ]*[a-f0-9]+:    c4 e3 5d 18 31 07       vinsertf128 \$0x7,\(%ecx\),%ymm4,%ymm6
1765 [       ]*[a-f0-9]+:    c4 e3 7d 19 e4 07       vextractf128 \$0x7,%ymm4,%xmm4
1766 [       ]*[a-f0-9]+:    c4 e3 7d 19 21 07       vextractf128 \$0x7,%ymm4,\(%ecx\)
1767 [       ]*[a-f0-9]+:    c4 e3 7d 19 21 07       vextractf128 \$0x7,%ymm4,\(%ecx\)
1768 [       ]*[a-f0-9]+:    c4 e2 7d 1a 21          vbroadcastf128 \(%ecx\),%ymm4
1769 [       ]*[a-f0-9]+:    c4 e2 7d 1a 21          vbroadcastf128 \(%ecx\),%ymm4
1770 [       ]*[a-f0-9]+:    c5 f8 5b f4             vcvtdq2ps %xmm4,%xmm6
1771 [       ]*[a-f0-9]+:    c5 f8 5b 21             vcvtdq2ps \(%ecx\),%xmm4
1772 [       ]*[a-f0-9]+:    c5 f8 5b 21             vcvtdq2ps \(%ecx\),%xmm4
1773 [       ]*[a-f0-9]+:    c5 fb e6 f4             vcvtpd2dq %xmm4,%xmm6
1774 [       ]*[a-f0-9]+:    c5 fb e6 21             vcvtpd2dqx \(%ecx\),%xmm4
1775 [       ]*[a-f0-9]+:    c5 f9 5a f4             vcvtpd2ps %xmm4,%xmm6
1776 [       ]*[a-f0-9]+:    c5 f9 5a 21             vcvtpd2psx \(%ecx\),%xmm4
1777 [       ]*[a-f0-9]+:    c5 f9 5b f4             vcvtps2dq %xmm4,%xmm6
1778 [       ]*[a-f0-9]+:    c5 f9 5b 21             vcvtps2dq \(%ecx\),%xmm4
1779 [       ]*[a-f0-9]+:    c5 f9 5b 21             vcvtps2dq \(%ecx\),%xmm4
1780 [       ]*[a-f0-9]+:    c5 f9 e6 f4             vcvttpd2dq %xmm4,%xmm6
1781 [       ]*[a-f0-9]+:    c5 f9 e6 21             vcvttpd2dqx \(%ecx\),%xmm4
1782 [       ]*[a-f0-9]+:    c5 fa 5b f4             vcvttps2dq %xmm4,%xmm6
1783 [       ]*[a-f0-9]+:    c5 fa 5b 21             vcvttps2dq \(%ecx\),%xmm4
1784 [       ]*[a-f0-9]+:    c5 fa 5b 21             vcvttps2dq \(%ecx\),%xmm4
1785 [       ]*[a-f0-9]+:    c5 f9 28 f4             vmovapd %xmm4,%xmm6
1786 [       ]*[a-f0-9]+:    c5 f9 28 21             vmovapd \(%ecx\),%xmm4
1787 [       ]*[a-f0-9]+:    c5 f9 28 21             vmovapd \(%ecx\),%xmm4
1788 [       ]*[a-f0-9]+:    c5 f8 28 f4             vmovaps %xmm4,%xmm6
1789 [       ]*[a-f0-9]+:    c5 f8 28 21             vmovaps \(%ecx\),%xmm4
1790 [       ]*[a-f0-9]+:    c5 f8 28 21             vmovaps \(%ecx\),%xmm4
1791 [       ]*[a-f0-9]+:    c5 f9 6f f4             vmovdqa %xmm4,%xmm6
1792 [       ]*[a-f0-9]+:    c5 f9 6f 21             vmovdqa \(%ecx\),%xmm4
1793 [       ]*[a-f0-9]+:    c5 f9 6f 21             vmovdqa \(%ecx\),%xmm4
1794 [       ]*[a-f0-9]+:    c5 fa 6f f4             vmovdqu %xmm4,%xmm6
1795 [       ]*[a-f0-9]+:    c5 fa 6f 21             vmovdqu \(%ecx\),%xmm4
1796 [       ]*[a-f0-9]+:    c5 fa 6f 21             vmovdqu \(%ecx\),%xmm4
1797 [       ]*[a-f0-9]+:    c5 fa 16 f4             vmovshdup %xmm4,%xmm6
1798 [       ]*[a-f0-9]+:    c5 fa 16 21             vmovshdup \(%ecx\),%xmm4
1799 [       ]*[a-f0-9]+:    c5 fa 16 21             vmovshdup \(%ecx\),%xmm4
1800 [       ]*[a-f0-9]+:    c5 fa 12 f4             vmovsldup %xmm4,%xmm6
1801 [       ]*[a-f0-9]+:    c5 fa 12 21             vmovsldup \(%ecx\),%xmm4
1802 [       ]*[a-f0-9]+:    c5 fa 12 21             vmovsldup \(%ecx\),%xmm4
1803 [       ]*[a-f0-9]+:    c5 f9 10 f4             vmovupd %xmm4,%xmm6
1804 [       ]*[a-f0-9]+:    c5 f9 10 21             vmovupd \(%ecx\),%xmm4
1805 [       ]*[a-f0-9]+:    c5 f9 10 21             vmovupd \(%ecx\),%xmm4
1806 [       ]*[a-f0-9]+:    c5 f8 10 f4             vmovups %xmm4,%xmm6
1807 [       ]*[a-f0-9]+:    c5 f8 10 21             vmovups \(%ecx\),%xmm4
1808 [       ]*[a-f0-9]+:    c5 f8 10 21             vmovups \(%ecx\),%xmm4
1809 [       ]*[a-f0-9]+:    c4 e2 79 1c f4          vpabsb %xmm4,%xmm6
1810 [       ]*[a-f0-9]+:    c4 e2 79 1c 21          vpabsb \(%ecx\),%xmm4
1811 [       ]*[a-f0-9]+:    c4 e2 79 1c 21          vpabsb \(%ecx\),%xmm4
1812 [       ]*[a-f0-9]+:    c4 e2 79 1d f4          vpabsw %xmm4,%xmm6
1813 [       ]*[a-f0-9]+:    c4 e2 79 1d 21          vpabsw \(%ecx\),%xmm4
1814 [       ]*[a-f0-9]+:    c4 e2 79 1d 21          vpabsw \(%ecx\),%xmm4
1815 [       ]*[a-f0-9]+:    c4 e2 79 1e f4          vpabsd %xmm4,%xmm6
1816 [       ]*[a-f0-9]+:    c4 e2 79 1e 21          vpabsd \(%ecx\),%xmm4
1817 [       ]*[a-f0-9]+:    c4 e2 79 1e 21          vpabsd \(%ecx\),%xmm4
1818 [       ]*[a-f0-9]+:    c4 e2 79 41 f4          vphminposuw %xmm4,%xmm6
1819 [       ]*[a-f0-9]+:    c4 e2 79 41 21          vphminposuw \(%ecx\),%xmm4
1820 [       ]*[a-f0-9]+:    c4 e2 79 41 21          vphminposuw \(%ecx\),%xmm4
1821 [       ]*[a-f0-9]+:    c4 e2 79 17 f4          vptest %xmm4,%xmm6
1822 [       ]*[a-f0-9]+:    c4 e2 79 17 21          vptest \(%ecx\),%xmm4
1823 [       ]*[a-f0-9]+:    c4 e2 79 17 21          vptest \(%ecx\),%xmm4
1824 [       ]*[a-f0-9]+:    c4 e2 79 0e f4          vtestps %xmm4,%xmm6
1825 [       ]*[a-f0-9]+:    c4 e2 79 0e 21          vtestps \(%ecx\),%xmm4
1826 [       ]*[a-f0-9]+:    c4 e2 79 0e 21          vtestps \(%ecx\),%xmm4
1827 [       ]*[a-f0-9]+:    c4 e2 79 0f f4          vtestpd %xmm4,%xmm6
1828 [       ]*[a-f0-9]+:    c4 e2 79 0f 21          vtestpd \(%ecx\),%xmm4
1829 [       ]*[a-f0-9]+:    c4 e2 79 0f 21          vtestpd \(%ecx\),%xmm4
1830 [       ]*[a-f0-9]+:    c5 f8 53 f4             vrcpps %xmm4,%xmm6
1831 [       ]*[a-f0-9]+:    c5 f8 53 21             vrcpps \(%ecx\),%xmm4
1832 [       ]*[a-f0-9]+:    c5 f8 53 21             vrcpps \(%ecx\),%xmm4
1833 [       ]*[a-f0-9]+:    c5 f8 52 f4             vrsqrtps %xmm4,%xmm6
1834 [       ]*[a-f0-9]+:    c5 f8 52 21             vrsqrtps \(%ecx\),%xmm4
1835 [       ]*[a-f0-9]+:    c5 f8 52 21             vrsqrtps \(%ecx\),%xmm4
1836 [       ]*[a-f0-9]+:    c5 f9 51 f4             vsqrtpd %xmm4,%xmm6
1837 [       ]*[a-f0-9]+:    c5 f9 51 21             vsqrtpd \(%ecx\),%xmm4
1838 [       ]*[a-f0-9]+:    c5 f9 51 21             vsqrtpd \(%ecx\),%xmm4
1839 [       ]*[a-f0-9]+:    c5 f8 51 f4             vsqrtps %xmm4,%xmm6
1840 [       ]*[a-f0-9]+:    c5 f8 51 21             vsqrtps \(%ecx\),%xmm4
1841 [       ]*[a-f0-9]+:    c5 f8 51 21             vsqrtps \(%ecx\),%xmm4
1842 [       ]*[a-f0-9]+:    c4 e2 79 db f4          vaesimc %xmm4,%xmm6
1843 [       ]*[a-f0-9]+:    c4 e2 79 db 21          vaesimc \(%ecx\),%xmm4
1844 [       ]*[a-f0-9]+:    c4 e2 79 db 21          vaesimc \(%ecx\),%xmm4
1845 [       ]*[a-f0-9]+:    c5 f9 28 f4             vmovapd %xmm4,%xmm6
1846 [       ]*[a-f0-9]+:    c5 f9 29 21             vmovapd %xmm4,\(%ecx\)
1847 [       ]*[a-f0-9]+:    c5 f9 29 21             vmovapd %xmm4,\(%ecx\)
1848 [       ]*[a-f0-9]+:    c5 f8 28 f4             vmovaps %xmm4,%xmm6
1849 [       ]*[a-f0-9]+:    c5 f8 29 21             vmovaps %xmm4,\(%ecx\)
1850 [       ]*[a-f0-9]+:    c5 f8 29 21             vmovaps %xmm4,\(%ecx\)
1851 [       ]*[a-f0-9]+:    c5 f9 6f f4             vmovdqa %xmm4,%xmm6
1852 [       ]*[a-f0-9]+:    c5 f9 7f 21             vmovdqa %xmm4,\(%ecx\)
1853 [       ]*[a-f0-9]+:    c5 f9 7f 21             vmovdqa %xmm4,\(%ecx\)
1854 [       ]*[a-f0-9]+:    c5 fa 6f f4             vmovdqu %xmm4,%xmm6
1855 [       ]*[a-f0-9]+:    c5 fa 7f 21             vmovdqu %xmm4,\(%ecx\)
1856 [       ]*[a-f0-9]+:    c5 fa 7f 21             vmovdqu %xmm4,\(%ecx\)
1857 [       ]*[a-f0-9]+:    c5 f9 10 f4             vmovupd %xmm4,%xmm6
1858 [       ]*[a-f0-9]+:    c5 f9 11 21             vmovupd %xmm4,\(%ecx\)
1859 [       ]*[a-f0-9]+:    c5 f9 11 21             vmovupd %xmm4,\(%ecx\)
1860 [       ]*[a-f0-9]+:    c5 f8 10 f4             vmovups %xmm4,%xmm6
1861 [       ]*[a-f0-9]+:    c5 f8 11 21             vmovups %xmm4,\(%ecx\)
1862 [       ]*[a-f0-9]+:    c5 f8 11 21             vmovups %xmm4,\(%ecx\)
1863 [       ]*[a-f0-9]+:    c5 fb f0 21             vlddqu \(%ecx\),%xmm4
1864 [       ]*[a-f0-9]+:    c5 fb f0 21             vlddqu \(%ecx\),%xmm4
1865 [       ]*[a-f0-9]+:    c4 e2 79 2a 21          vmovntdqa \(%ecx\),%xmm4
1866 [       ]*[a-f0-9]+:    c4 e2 79 2a 21          vmovntdqa \(%ecx\),%xmm4
1867 [       ]*[a-f0-9]+:    c5 f9 e7 21             vmovntdq %xmm4,\(%ecx\)
1868 [       ]*[a-f0-9]+:    c5 f9 e7 21             vmovntdq %xmm4,\(%ecx\)
1869 [       ]*[a-f0-9]+:    c5 f9 2b 21             vmovntpd %xmm4,\(%ecx\)
1870 [       ]*[a-f0-9]+:    c5 f9 2b 21             vmovntpd %xmm4,\(%ecx\)
1871 [       ]*[a-f0-9]+:    c5 f8 2b 21             vmovntps %xmm4,\(%ecx\)
1872 [       ]*[a-f0-9]+:    c5 f8 2b 21             vmovntps %xmm4,\(%ecx\)
1873 [       ]*[a-f0-9]+:    c5 fe e6 e4             vcvtdq2pd %xmm4,%ymm4
1874 [       ]*[a-f0-9]+:    c5 fe e6 21             vcvtdq2pd \(%ecx\),%ymm4
1875 [       ]*[a-f0-9]+:    c5 fe e6 21             vcvtdq2pd \(%ecx\),%ymm4
1876 [       ]*[a-f0-9]+:    c5 fc 5a e4             vcvtps2pd %xmm4,%ymm4
1877 [       ]*[a-f0-9]+:    c5 fc 5a 21             vcvtps2pd \(%ecx\),%ymm4
1878 [       ]*[a-f0-9]+:    c5 fc 5a 21             vcvtps2pd \(%ecx\),%ymm4
1879 [       ]*[a-f0-9]+:    c5 c9 58 d4             vaddpd %xmm4,%xmm6,%xmm2
1880 [       ]*[a-f0-9]+:    c5 c9 58 39             vaddpd \(%ecx\),%xmm6,%xmm7
1881 [       ]*[a-f0-9]+:    c5 c9 58 39             vaddpd \(%ecx\),%xmm6,%xmm7
1882 [       ]*[a-f0-9]+:    c5 c8 58 d4             vaddps %xmm4,%xmm6,%xmm2
1883 [       ]*[a-f0-9]+:    c5 c8 58 39             vaddps \(%ecx\),%xmm6,%xmm7
1884 [       ]*[a-f0-9]+:    c5 c8 58 39             vaddps \(%ecx\),%xmm6,%xmm7
1885 [       ]*[a-f0-9]+:    c5 c9 d0 d4             vaddsubpd %xmm4,%xmm6,%xmm2
1886 [       ]*[a-f0-9]+:    c5 c9 d0 39             vaddsubpd \(%ecx\),%xmm6,%xmm7
1887 [       ]*[a-f0-9]+:    c5 c9 d0 39             vaddsubpd \(%ecx\),%xmm6,%xmm7
1888 [       ]*[a-f0-9]+:    c5 cb d0 d4             vaddsubps %xmm4,%xmm6,%xmm2
1889 [       ]*[a-f0-9]+:    c5 cb d0 39             vaddsubps \(%ecx\),%xmm6,%xmm7
1890 [       ]*[a-f0-9]+:    c5 cb d0 39             vaddsubps \(%ecx\),%xmm6,%xmm7
1891 [       ]*[a-f0-9]+:    c5 c9 55 d4             vandnpd %xmm4,%xmm6,%xmm2
1892 [       ]*[a-f0-9]+:    c5 c9 55 39             vandnpd \(%ecx\),%xmm6,%xmm7
1893 [       ]*[a-f0-9]+:    c5 c9 55 39             vandnpd \(%ecx\),%xmm6,%xmm7
1894 [       ]*[a-f0-9]+:    c5 c8 55 d4             vandnps %xmm4,%xmm6,%xmm2
1895 [       ]*[a-f0-9]+:    c5 c8 55 39             vandnps \(%ecx\),%xmm6,%xmm7
1896 [       ]*[a-f0-9]+:    c5 c8 55 39             vandnps \(%ecx\),%xmm6,%xmm7
1897 [       ]*[a-f0-9]+:    c5 c9 54 d4             vandpd %xmm4,%xmm6,%xmm2
1898 [       ]*[a-f0-9]+:    c5 c9 54 39             vandpd \(%ecx\),%xmm6,%xmm7
1899 [       ]*[a-f0-9]+:    c5 c9 54 39             vandpd \(%ecx\),%xmm6,%xmm7
1900 [       ]*[a-f0-9]+:    c5 c8 54 d4             vandps %xmm4,%xmm6,%xmm2
1901 [       ]*[a-f0-9]+:    c5 c8 54 39             vandps \(%ecx\),%xmm6,%xmm7
1902 [       ]*[a-f0-9]+:    c5 c8 54 39             vandps \(%ecx\),%xmm6,%xmm7
1903 [       ]*[a-f0-9]+:    c5 c9 5e d4             vdivpd %xmm4,%xmm6,%xmm2
1904 [       ]*[a-f0-9]+:    c5 c9 5e 39             vdivpd \(%ecx\),%xmm6,%xmm7
1905 [       ]*[a-f0-9]+:    c5 c9 5e 39             vdivpd \(%ecx\),%xmm6,%xmm7
1906 [       ]*[a-f0-9]+:    c5 c8 5e d4             vdivps %xmm4,%xmm6,%xmm2
1907 [       ]*[a-f0-9]+:    c5 c8 5e 39             vdivps \(%ecx\),%xmm6,%xmm7
1908 [       ]*[a-f0-9]+:    c5 c8 5e 39             vdivps \(%ecx\),%xmm6,%xmm7
1909 [       ]*[a-f0-9]+:    c5 c9 7c d4             vhaddpd %xmm4,%xmm6,%xmm2
1910 [       ]*[a-f0-9]+:    c5 c9 7c 39             vhaddpd \(%ecx\),%xmm6,%xmm7
1911 [       ]*[a-f0-9]+:    c5 c9 7c 39             vhaddpd \(%ecx\),%xmm6,%xmm7
1912 [       ]*[a-f0-9]+:    c5 cb 7c d4             vhaddps %xmm4,%xmm6,%xmm2
1913 [       ]*[a-f0-9]+:    c5 cb 7c 39             vhaddps \(%ecx\),%xmm6,%xmm7
1914 [       ]*[a-f0-9]+:    c5 cb 7c 39             vhaddps \(%ecx\),%xmm6,%xmm7
1915 [       ]*[a-f0-9]+:    c5 c9 7d d4             vhsubpd %xmm4,%xmm6,%xmm2
1916 [       ]*[a-f0-9]+:    c5 c9 7d 39             vhsubpd \(%ecx\),%xmm6,%xmm7
1917 [       ]*[a-f0-9]+:    c5 c9 7d 39             vhsubpd \(%ecx\),%xmm6,%xmm7
1918 [       ]*[a-f0-9]+:    c5 cb 7d d4             vhsubps %xmm4,%xmm6,%xmm2
1919 [       ]*[a-f0-9]+:    c5 cb 7d 39             vhsubps \(%ecx\),%xmm6,%xmm7
1920 [       ]*[a-f0-9]+:    c5 cb 7d 39             vhsubps \(%ecx\),%xmm6,%xmm7
1921 [       ]*[a-f0-9]+:    c5 c9 5f d4             vmaxpd %xmm4,%xmm6,%xmm2
1922 [       ]*[a-f0-9]+:    c5 c9 5f 39             vmaxpd \(%ecx\),%xmm6,%xmm7
1923 [       ]*[a-f0-9]+:    c5 c9 5f 39             vmaxpd \(%ecx\),%xmm6,%xmm7
1924 [       ]*[a-f0-9]+:    c5 c8 5f d4             vmaxps %xmm4,%xmm6,%xmm2
1925 [       ]*[a-f0-9]+:    c5 c8 5f 39             vmaxps \(%ecx\),%xmm6,%xmm7
1926 [       ]*[a-f0-9]+:    c5 c8 5f 39             vmaxps \(%ecx\),%xmm6,%xmm7
1927 [       ]*[a-f0-9]+:    c5 c9 5d d4             vminpd %xmm4,%xmm6,%xmm2
1928 [       ]*[a-f0-9]+:    c5 c9 5d 39             vminpd \(%ecx\),%xmm6,%xmm7
1929 [       ]*[a-f0-9]+:    c5 c9 5d 39             vminpd \(%ecx\),%xmm6,%xmm7
1930 [       ]*[a-f0-9]+:    c5 c8 5d d4             vminps %xmm4,%xmm6,%xmm2
1931 [       ]*[a-f0-9]+:    c5 c8 5d 39             vminps \(%ecx\),%xmm6,%xmm7
1932 [       ]*[a-f0-9]+:    c5 c8 5d 39             vminps \(%ecx\),%xmm6,%xmm7
1933 [       ]*[a-f0-9]+:    c5 c9 59 d4             vmulpd %xmm4,%xmm6,%xmm2
1934 [       ]*[a-f0-9]+:    c5 c9 59 39             vmulpd \(%ecx\),%xmm6,%xmm7
1935 [       ]*[a-f0-9]+:    c5 c9 59 39             vmulpd \(%ecx\),%xmm6,%xmm7
1936 [       ]*[a-f0-9]+:    c5 c8 59 d4             vmulps %xmm4,%xmm6,%xmm2
1937 [       ]*[a-f0-9]+:    c5 c8 59 39             vmulps \(%ecx\),%xmm6,%xmm7
1938 [       ]*[a-f0-9]+:    c5 c8 59 39             vmulps \(%ecx\),%xmm6,%xmm7
1939 [       ]*[a-f0-9]+:    c5 c9 56 d4             vorpd  %xmm4,%xmm6,%xmm2
1940 [       ]*[a-f0-9]+:    c5 c9 56 39             vorpd  \(%ecx\),%xmm6,%xmm7
1941 [       ]*[a-f0-9]+:    c5 c9 56 39             vorpd  \(%ecx\),%xmm6,%xmm7
1942 [       ]*[a-f0-9]+:    c5 c8 56 d4             vorps  %xmm4,%xmm6,%xmm2
1943 [       ]*[a-f0-9]+:    c5 c8 56 39             vorps  \(%ecx\),%xmm6,%xmm7
1944 [       ]*[a-f0-9]+:    c5 c8 56 39             vorps  \(%ecx\),%xmm6,%xmm7
1945 [       ]*[a-f0-9]+:    c5 c9 63 d4             vpacksswb %xmm4,%xmm6,%xmm2
1946 [       ]*[a-f0-9]+:    c5 c9 63 39             vpacksswb \(%ecx\),%xmm6,%xmm7
1947 [       ]*[a-f0-9]+:    c5 c9 63 39             vpacksswb \(%ecx\),%xmm6,%xmm7
1948 [       ]*[a-f0-9]+:    c5 c9 6b d4             vpackssdw %xmm4,%xmm6,%xmm2
1949 [       ]*[a-f0-9]+:    c5 c9 6b 39             vpackssdw \(%ecx\),%xmm6,%xmm7
1950 [       ]*[a-f0-9]+:    c5 c9 6b 39             vpackssdw \(%ecx\),%xmm6,%xmm7
1951 [       ]*[a-f0-9]+:    c5 c9 67 d4             vpackuswb %xmm4,%xmm6,%xmm2
1952 [       ]*[a-f0-9]+:    c5 c9 67 39             vpackuswb \(%ecx\),%xmm6,%xmm7
1953 [       ]*[a-f0-9]+:    c5 c9 67 39             vpackuswb \(%ecx\),%xmm6,%xmm7
1954 [       ]*[a-f0-9]+:    c4 e2 49 2b d4          vpackusdw %xmm4,%xmm6,%xmm2
1955 [       ]*[a-f0-9]+:    c4 e2 49 2b 39          vpackusdw \(%ecx\),%xmm6,%xmm7
1956 [       ]*[a-f0-9]+:    c4 e2 49 2b 39          vpackusdw \(%ecx\),%xmm6,%xmm7
1957 [       ]*[a-f0-9]+:    c5 c9 fc d4             vpaddb %xmm4,%xmm6,%xmm2
1958 [       ]*[a-f0-9]+:    c5 c9 fc 39             vpaddb \(%ecx\),%xmm6,%xmm7
1959 [       ]*[a-f0-9]+:    c5 c9 fc 39             vpaddb \(%ecx\),%xmm6,%xmm7
1960 [       ]*[a-f0-9]+:    c5 c9 fd d4             vpaddw %xmm4,%xmm6,%xmm2
1961 [       ]*[a-f0-9]+:    c5 c9 fd 39             vpaddw \(%ecx\),%xmm6,%xmm7
1962 [       ]*[a-f0-9]+:    c5 c9 fd 39             vpaddw \(%ecx\),%xmm6,%xmm7
1963 [       ]*[a-f0-9]+:    c5 c9 fe d4             vpaddd %xmm4,%xmm6,%xmm2
1964 [       ]*[a-f0-9]+:    c5 c9 fe 39             vpaddd \(%ecx\),%xmm6,%xmm7
1965 [       ]*[a-f0-9]+:    c5 c9 fe 39             vpaddd \(%ecx\),%xmm6,%xmm7
1966 [       ]*[a-f0-9]+:    c5 c9 d4 d4             vpaddq %xmm4,%xmm6,%xmm2
1967 [       ]*[a-f0-9]+:    c5 c9 d4 39             vpaddq \(%ecx\),%xmm6,%xmm7
1968 [       ]*[a-f0-9]+:    c5 c9 d4 39             vpaddq \(%ecx\),%xmm6,%xmm7
1969 [       ]*[a-f0-9]+:    c5 c9 ec d4             vpaddsb %xmm4,%xmm6,%xmm2
1970 [       ]*[a-f0-9]+:    c5 c9 ec 39             vpaddsb \(%ecx\),%xmm6,%xmm7
1971 [       ]*[a-f0-9]+:    c5 c9 ec 39             vpaddsb \(%ecx\),%xmm6,%xmm7
1972 [       ]*[a-f0-9]+:    c5 c9 ed d4             vpaddsw %xmm4,%xmm6,%xmm2
1973 [       ]*[a-f0-9]+:    c5 c9 ed 39             vpaddsw \(%ecx\),%xmm6,%xmm7
1974 [       ]*[a-f0-9]+:    c5 c9 ed 39             vpaddsw \(%ecx\),%xmm6,%xmm7
1975 [       ]*[a-f0-9]+:    c5 c9 dc d4             vpaddusb %xmm4,%xmm6,%xmm2
1976 [       ]*[a-f0-9]+:    c5 c9 dc 39             vpaddusb \(%ecx\),%xmm6,%xmm7
1977 [       ]*[a-f0-9]+:    c5 c9 dc 39             vpaddusb \(%ecx\),%xmm6,%xmm7
1978 [       ]*[a-f0-9]+:    c5 c9 dd d4             vpaddusw %xmm4,%xmm6,%xmm2
1979 [       ]*[a-f0-9]+:    c5 c9 dd 39             vpaddusw \(%ecx\),%xmm6,%xmm7
1980 [       ]*[a-f0-9]+:    c5 c9 dd 39             vpaddusw \(%ecx\),%xmm6,%xmm7
1981 [       ]*[a-f0-9]+:    c5 c9 db d4             vpand  %xmm4,%xmm6,%xmm2
1982 [       ]*[a-f0-9]+:    c5 c9 db 39             vpand  \(%ecx\),%xmm6,%xmm7
1983 [       ]*[a-f0-9]+:    c5 c9 db 39             vpand  \(%ecx\),%xmm6,%xmm7
1984 [       ]*[a-f0-9]+:    c5 c9 df d4             vpandn %xmm4,%xmm6,%xmm2
1985 [       ]*[a-f0-9]+:    c5 c9 df 39             vpandn \(%ecx\),%xmm6,%xmm7
1986 [       ]*[a-f0-9]+:    c5 c9 df 39             vpandn \(%ecx\),%xmm6,%xmm7
1987 [       ]*[a-f0-9]+:    c5 c9 e0 d4             vpavgb %xmm4,%xmm6,%xmm2
1988 [       ]*[a-f0-9]+:    c5 c9 e0 39             vpavgb \(%ecx\),%xmm6,%xmm7
1989 [       ]*[a-f0-9]+:    c5 c9 e0 39             vpavgb \(%ecx\),%xmm6,%xmm7
1990 [       ]*[a-f0-9]+:    c5 c9 e3 d4             vpavgw %xmm4,%xmm6,%xmm2
1991 [       ]*[a-f0-9]+:    c5 c9 e3 39             vpavgw \(%ecx\),%xmm6,%xmm7
1992 [       ]*[a-f0-9]+:    c5 c9 e3 39             vpavgw \(%ecx\),%xmm6,%xmm7
1993 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 00       vpclmullqlqdq %xmm4,%xmm6,%xmm2
1994 [       ]*[a-f0-9]+:    c4 e3 49 44 39 00       vpclmullqlqdq \(%ecx\),%xmm6,%xmm7
1995 [       ]*[a-f0-9]+:    c4 e3 49 44 39 00       vpclmullqlqdq \(%ecx\),%xmm6,%xmm7
1996 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 01       vpclmulhqlqdq %xmm4,%xmm6,%xmm2
1997 [       ]*[a-f0-9]+:    c4 e3 49 44 39 01       vpclmulhqlqdq \(%ecx\),%xmm6,%xmm7
1998 [       ]*[a-f0-9]+:    c4 e3 49 44 39 01       vpclmulhqlqdq \(%ecx\),%xmm6,%xmm7
1999 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 10       vpclmullqhqdq %xmm4,%xmm6,%xmm2
2000 [       ]*[a-f0-9]+:    c4 e3 49 44 39 10       vpclmullqhqdq \(%ecx\),%xmm6,%xmm7
2001 [       ]*[a-f0-9]+:    c4 e3 49 44 39 10       vpclmullqhqdq \(%ecx\),%xmm6,%xmm7
2002 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 11       vpclmulhqhqdq %xmm4,%xmm6,%xmm2
2003 [       ]*[a-f0-9]+:    c4 e3 49 44 39 11       vpclmulhqhqdq \(%ecx\),%xmm6,%xmm7
2004 [       ]*[a-f0-9]+:    c4 e3 49 44 39 11       vpclmulhqhqdq \(%ecx\),%xmm6,%xmm7
2005 [       ]*[a-f0-9]+:    c5 c9 74 d4             vpcmpeqb %xmm4,%xmm6,%xmm2
2006 [       ]*[a-f0-9]+:    c5 c9 74 39             vpcmpeqb \(%ecx\),%xmm6,%xmm7
2007 [       ]*[a-f0-9]+:    c5 c9 74 39             vpcmpeqb \(%ecx\),%xmm6,%xmm7
2008 [       ]*[a-f0-9]+:    c5 c9 75 d4             vpcmpeqw %xmm4,%xmm6,%xmm2
2009 [       ]*[a-f0-9]+:    c5 c9 75 39             vpcmpeqw \(%ecx\),%xmm6,%xmm7
2010 [       ]*[a-f0-9]+:    c5 c9 75 39             vpcmpeqw \(%ecx\),%xmm6,%xmm7
2011 [       ]*[a-f0-9]+:    c5 c9 76 d4             vpcmpeqd %xmm4,%xmm6,%xmm2
2012 [       ]*[a-f0-9]+:    c5 c9 76 39             vpcmpeqd \(%ecx\),%xmm6,%xmm7
2013 [       ]*[a-f0-9]+:    c5 c9 76 39             vpcmpeqd \(%ecx\),%xmm6,%xmm7
2014 [       ]*[a-f0-9]+:    c4 e2 49 29 d4          vpcmpeqq %xmm4,%xmm6,%xmm2
2015 [       ]*[a-f0-9]+:    c4 e2 49 29 39          vpcmpeqq \(%ecx\),%xmm6,%xmm7
2016 [       ]*[a-f0-9]+:    c4 e2 49 29 39          vpcmpeqq \(%ecx\),%xmm6,%xmm7
2017 [       ]*[a-f0-9]+:    c5 c9 64 d4             vpcmpgtb %xmm4,%xmm6,%xmm2
2018 [       ]*[a-f0-9]+:    c5 c9 64 39             vpcmpgtb \(%ecx\),%xmm6,%xmm7
2019 [       ]*[a-f0-9]+:    c5 c9 64 39             vpcmpgtb \(%ecx\),%xmm6,%xmm7
2020 [       ]*[a-f0-9]+:    c5 c9 65 d4             vpcmpgtw %xmm4,%xmm6,%xmm2
2021 [       ]*[a-f0-9]+:    c5 c9 65 39             vpcmpgtw \(%ecx\),%xmm6,%xmm7
2022 [       ]*[a-f0-9]+:    c5 c9 65 39             vpcmpgtw \(%ecx\),%xmm6,%xmm7
2023 [       ]*[a-f0-9]+:    c5 c9 66 d4             vpcmpgtd %xmm4,%xmm6,%xmm2
2024 [       ]*[a-f0-9]+:    c5 c9 66 39             vpcmpgtd \(%ecx\),%xmm6,%xmm7
2025 [       ]*[a-f0-9]+:    c5 c9 66 39             vpcmpgtd \(%ecx\),%xmm6,%xmm7
2026 [       ]*[a-f0-9]+:    c4 e2 49 37 d4          vpcmpgtq %xmm4,%xmm6,%xmm2
2027 [       ]*[a-f0-9]+:    c4 e2 49 37 39          vpcmpgtq \(%ecx\),%xmm6,%xmm7
2028 [       ]*[a-f0-9]+:    c4 e2 49 37 39          vpcmpgtq \(%ecx\),%xmm6,%xmm7
2029 [       ]*[a-f0-9]+:    c4 e2 49 0d d4          vpermilpd %xmm4,%xmm6,%xmm2
2030 [       ]*[a-f0-9]+:    c4 e2 49 0d 39          vpermilpd \(%ecx\),%xmm6,%xmm7
2031 [       ]*[a-f0-9]+:    c4 e2 49 0d 39          vpermilpd \(%ecx\),%xmm6,%xmm7
2032 [       ]*[a-f0-9]+:    c4 e2 49 0c d4          vpermilps %xmm4,%xmm6,%xmm2
2033 [       ]*[a-f0-9]+:    c4 e2 49 0c 39          vpermilps \(%ecx\),%xmm6,%xmm7
2034 [       ]*[a-f0-9]+:    c4 e2 49 0c 39          vpermilps \(%ecx\),%xmm6,%xmm7
2035 [       ]*[a-f0-9]+:    c4 e2 49 01 d4          vphaddw %xmm4,%xmm6,%xmm2
2036 [       ]*[a-f0-9]+:    c4 e2 49 01 39          vphaddw \(%ecx\),%xmm6,%xmm7
2037 [       ]*[a-f0-9]+:    c4 e2 49 01 39          vphaddw \(%ecx\),%xmm6,%xmm7
2038 [       ]*[a-f0-9]+:    c4 e2 49 02 d4          vphaddd %xmm4,%xmm6,%xmm2
2039 [       ]*[a-f0-9]+:    c4 e2 49 02 39          vphaddd \(%ecx\),%xmm6,%xmm7
2040 [       ]*[a-f0-9]+:    c4 e2 49 02 39          vphaddd \(%ecx\),%xmm6,%xmm7
2041 [       ]*[a-f0-9]+:    c4 e2 49 03 d4          vphaddsw %xmm4,%xmm6,%xmm2
2042 [       ]*[a-f0-9]+:    c4 e2 49 03 39          vphaddsw \(%ecx\),%xmm6,%xmm7
2043 [       ]*[a-f0-9]+:    c4 e2 49 03 39          vphaddsw \(%ecx\),%xmm6,%xmm7
2044 [       ]*[a-f0-9]+:    c4 e2 49 05 d4          vphsubw %xmm4,%xmm6,%xmm2
2045 [       ]*[a-f0-9]+:    c4 e2 49 05 39          vphsubw \(%ecx\),%xmm6,%xmm7
2046 [       ]*[a-f0-9]+:    c4 e2 49 05 39          vphsubw \(%ecx\),%xmm6,%xmm7
2047 [       ]*[a-f0-9]+:    c4 e2 49 06 d4          vphsubd %xmm4,%xmm6,%xmm2
2048 [       ]*[a-f0-9]+:    c4 e2 49 06 39          vphsubd \(%ecx\),%xmm6,%xmm7
2049 [       ]*[a-f0-9]+:    c4 e2 49 06 39          vphsubd \(%ecx\),%xmm6,%xmm7
2050 [       ]*[a-f0-9]+:    c4 e2 49 07 d4          vphsubsw %xmm4,%xmm6,%xmm2
2051 [       ]*[a-f0-9]+:    c4 e2 49 07 39          vphsubsw \(%ecx\),%xmm6,%xmm7
2052 [       ]*[a-f0-9]+:    c4 e2 49 07 39          vphsubsw \(%ecx\),%xmm6,%xmm7
2053 [       ]*[a-f0-9]+:    c5 c9 f5 d4             vpmaddwd %xmm4,%xmm6,%xmm2
2054 [       ]*[a-f0-9]+:    c5 c9 f5 39             vpmaddwd \(%ecx\),%xmm6,%xmm7
2055 [       ]*[a-f0-9]+:    c5 c9 f5 39             vpmaddwd \(%ecx\),%xmm6,%xmm7
2056 [       ]*[a-f0-9]+:    c4 e2 49 04 d4          vpmaddubsw %xmm4,%xmm6,%xmm2
2057 [       ]*[a-f0-9]+:    c4 e2 49 04 39          vpmaddubsw \(%ecx\),%xmm6,%xmm7
2058 [       ]*[a-f0-9]+:    c4 e2 49 04 39          vpmaddubsw \(%ecx\),%xmm6,%xmm7
2059 [       ]*[a-f0-9]+:    c4 e2 49 3c d4          vpmaxsb %xmm4,%xmm6,%xmm2
2060 [       ]*[a-f0-9]+:    c4 e2 49 3c 39          vpmaxsb \(%ecx\),%xmm6,%xmm7
2061 [       ]*[a-f0-9]+:    c4 e2 49 3c 39          vpmaxsb \(%ecx\),%xmm6,%xmm7
2062 [       ]*[a-f0-9]+:    c5 c9 ee d4             vpmaxsw %xmm4,%xmm6,%xmm2
2063 [       ]*[a-f0-9]+:    c5 c9 ee 39             vpmaxsw \(%ecx\),%xmm6,%xmm7
2064 [       ]*[a-f0-9]+:    c5 c9 ee 39             vpmaxsw \(%ecx\),%xmm6,%xmm7
2065 [       ]*[a-f0-9]+:    c4 e2 49 3d d4          vpmaxsd %xmm4,%xmm6,%xmm2
2066 [       ]*[a-f0-9]+:    c4 e2 49 3d 39          vpmaxsd \(%ecx\),%xmm6,%xmm7
2067 [       ]*[a-f0-9]+:    c4 e2 49 3d 39          vpmaxsd \(%ecx\),%xmm6,%xmm7
2068 [       ]*[a-f0-9]+:    c5 c9 de d4             vpmaxub %xmm4,%xmm6,%xmm2
2069 [       ]*[a-f0-9]+:    c5 c9 de 39             vpmaxub \(%ecx\),%xmm6,%xmm7
2070 [       ]*[a-f0-9]+:    c5 c9 de 39             vpmaxub \(%ecx\),%xmm6,%xmm7
2071 [       ]*[a-f0-9]+:    c4 e2 49 3e d4          vpmaxuw %xmm4,%xmm6,%xmm2
2072 [       ]*[a-f0-9]+:    c4 e2 49 3e 39          vpmaxuw \(%ecx\),%xmm6,%xmm7
2073 [       ]*[a-f0-9]+:    c4 e2 49 3e 39          vpmaxuw \(%ecx\),%xmm6,%xmm7
2074 [       ]*[a-f0-9]+:    c4 e2 49 3f d4          vpmaxud %xmm4,%xmm6,%xmm2
2075 [       ]*[a-f0-9]+:    c4 e2 49 3f 39          vpmaxud \(%ecx\),%xmm6,%xmm7
2076 [       ]*[a-f0-9]+:    c4 e2 49 3f 39          vpmaxud \(%ecx\),%xmm6,%xmm7
2077 [       ]*[a-f0-9]+:    c4 e2 49 38 d4          vpminsb %xmm4,%xmm6,%xmm2
2078 [       ]*[a-f0-9]+:    c4 e2 49 38 39          vpminsb \(%ecx\),%xmm6,%xmm7
2079 [       ]*[a-f0-9]+:    c4 e2 49 38 39          vpminsb \(%ecx\),%xmm6,%xmm7
2080 [       ]*[a-f0-9]+:    c5 c9 ea d4             vpminsw %xmm4,%xmm6,%xmm2
2081 [       ]*[a-f0-9]+:    c5 c9 ea 39             vpminsw \(%ecx\),%xmm6,%xmm7
2082 [       ]*[a-f0-9]+:    c5 c9 ea 39             vpminsw \(%ecx\),%xmm6,%xmm7
2083 [       ]*[a-f0-9]+:    c4 e2 49 39 d4          vpminsd %xmm4,%xmm6,%xmm2
2084 [       ]*[a-f0-9]+:    c4 e2 49 39 39          vpminsd \(%ecx\),%xmm6,%xmm7
2085 [       ]*[a-f0-9]+:    c4 e2 49 39 39          vpminsd \(%ecx\),%xmm6,%xmm7
2086 [       ]*[a-f0-9]+:    c5 c9 da d4             vpminub %xmm4,%xmm6,%xmm2
2087 [       ]*[a-f0-9]+:    c5 c9 da 39             vpminub \(%ecx\),%xmm6,%xmm7
2088 [       ]*[a-f0-9]+:    c5 c9 da 39             vpminub \(%ecx\),%xmm6,%xmm7
2089 [       ]*[a-f0-9]+:    c4 e2 49 3a d4          vpminuw %xmm4,%xmm6,%xmm2
2090 [       ]*[a-f0-9]+:    c4 e2 49 3a 39          vpminuw \(%ecx\),%xmm6,%xmm7
2091 [       ]*[a-f0-9]+:    c4 e2 49 3a 39          vpminuw \(%ecx\),%xmm6,%xmm7
2092 [       ]*[a-f0-9]+:    c4 e2 49 3b d4          vpminud %xmm4,%xmm6,%xmm2
2093 [       ]*[a-f0-9]+:    c4 e2 49 3b 39          vpminud \(%ecx\),%xmm6,%xmm7
2094 [       ]*[a-f0-9]+:    c4 e2 49 3b 39          vpminud \(%ecx\),%xmm6,%xmm7
2095 [       ]*[a-f0-9]+:    c5 c9 e4 d4             vpmulhuw %xmm4,%xmm6,%xmm2
2096 [       ]*[a-f0-9]+:    c5 c9 e4 39             vpmulhuw \(%ecx\),%xmm6,%xmm7
2097 [       ]*[a-f0-9]+:    c5 c9 e4 39             vpmulhuw \(%ecx\),%xmm6,%xmm7
2098 [       ]*[a-f0-9]+:    c4 e2 49 0b d4          vpmulhrsw %xmm4,%xmm6,%xmm2
2099 [       ]*[a-f0-9]+:    c4 e2 49 0b 39          vpmulhrsw \(%ecx\),%xmm6,%xmm7
2100 [       ]*[a-f0-9]+:    c4 e2 49 0b 39          vpmulhrsw \(%ecx\),%xmm6,%xmm7
2101 [       ]*[a-f0-9]+:    c5 c9 e5 d4             vpmulhw %xmm4,%xmm6,%xmm2
2102 [       ]*[a-f0-9]+:    c5 c9 e5 39             vpmulhw \(%ecx\),%xmm6,%xmm7
2103 [       ]*[a-f0-9]+:    c5 c9 e5 39             vpmulhw \(%ecx\),%xmm6,%xmm7
2104 [       ]*[a-f0-9]+:    c5 c9 d5 d4             vpmullw %xmm4,%xmm6,%xmm2
2105 [       ]*[a-f0-9]+:    c5 c9 d5 39             vpmullw \(%ecx\),%xmm6,%xmm7
2106 [       ]*[a-f0-9]+:    c5 c9 d5 39             vpmullw \(%ecx\),%xmm6,%xmm7
2107 [       ]*[a-f0-9]+:    c4 e2 49 40 d4          vpmulld %xmm4,%xmm6,%xmm2
2108 [       ]*[a-f0-9]+:    c4 e2 49 40 39          vpmulld \(%ecx\),%xmm6,%xmm7
2109 [       ]*[a-f0-9]+:    c4 e2 49 40 39          vpmulld \(%ecx\),%xmm6,%xmm7
2110 [       ]*[a-f0-9]+:    c5 c9 f4 d4             vpmuludq %xmm4,%xmm6,%xmm2
2111 [       ]*[a-f0-9]+:    c5 c9 f4 39             vpmuludq \(%ecx\),%xmm6,%xmm7
2112 [       ]*[a-f0-9]+:    c5 c9 f4 39             vpmuludq \(%ecx\),%xmm6,%xmm7
2113 [       ]*[a-f0-9]+:    c4 e2 49 28 d4          vpmuldq %xmm4,%xmm6,%xmm2
2114 [       ]*[a-f0-9]+:    c4 e2 49 28 39          vpmuldq \(%ecx\),%xmm6,%xmm7
2115 [       ]*[a-f0-9]+:    c4 e2 49 28 39          vpmuldq \(%ecx\),%xmm6,%xmm7
2116 [       ]*[a-f0-9]+:    c5 c9 eb d4             vpor   %xmm4,%xmm6,%xmm2
2117 [       ]*[a-f0-9]+:    c5 c9 eb 39             vpor   \(%ecx\),%xmm6,%xmm7
2118 [       ]*[a-f0-9]+:    c5 c9 eb 39             vpor   \(%ecx\),%xmm6,%xmm7
2119 [       ]*[a-f0-9]+:    c5 c9 f6 d4             vpsadbw %xmm4,%xmm6,%xmm2
2120 [       ]*[a-f0-9]+:    c5 c9 f6 39             vpsadbw \(%ecx\),%xmm6,%xmm7
2121 [       ]*[a-f0-9]+:    c5 c9 f6 39             vpsadbw \(%ecx\),%xmm6,%xmm7
2122 [       ]*[a-f0-9]+:    c4 e2 49 00 d4          vpshufb %xmm4,%xmm6,%xmm2
2123 [       ]*[a-f0-9]+:    c4 e2 49 00 39          vpshufb \(%ecx\),%xmm6,%xmm7
2124 [       ]*[a-f0-9]+:    c4 e2 49 00 39          vpshufb \(%ecx\),%xmm6,%xmm7
2125 [       ]*[a-f0-9]+:    c4 e2 49 08 d4          vpsignb %xmm4,%xmm6,%xmm2
2126 [       ]*[a-f0-9]+:    c4 e2 49 08 39          vpsignb \(%ecx\),%xmm6,%xmm7
2127 [       ]*[a-f0-9]+:    c4 e2 49 08 39          vpsignb \(%ecx\),%xmm6,%xmm7
2128 [       ]*[a-f0-9]+:    c4 e2 49 09 d4          vpsignw %xmm4,%xmm6,%xmm2
2129 [       ]*[a-f0-9]+:    c4 e2 49 09 39          vpsignw \(%ecx\),%xmm6,%xmm7
2130 [       ]*[a-f0-9]+:    c4 e2 49 09 39          vpsignw \(%ecx\),%xmm6,%xmm7
2131 [       ]*[a-f0-9]+:    c4 e2 49 0a d4          vpsignd %xmm4,%xmm6,%xmm2
2132 [       ]*[a-f0-9]+:    c4 e2 49 0a 39          vpsignd \(%ecx\),%xmm6,%xmm7
2133 [       ]*[a-f0-9]+:    c4 e2 49 0a 39          vpsignd \(%ecx\),%xmm6,%xmm7
2134 [       ]*[a-f0-9]+:    c5 c9 f1 d4             vpsllw %xmm4,%xmm6,%xmm2
2135 [       ]*[a-f0-9]+:    c5 c9 f1 39             vpsllw \(%ecx\),%xmm6,%xmm7
2136 [       ]*[a-f0-9]+:    c5 c9 f1 39             vpsllw \(%ecx\),%xmm6,%xmm7
2137 [       ]*[a-f0-9]+:    c5 c9 f2 d4             vpslld %xmm4,%xmm6,%xmm2
2138 [       ]*[a-f0-9]+:    c5 c9 f2 39             vpslld \(%ecx\),%xmm6,%xmm7
2139 [       ]*[a-f0-9]+:    c5 c9 f2 39             vpslld \(%ecx\),%xmm6,%xmm7
2140 [       ]*[a-f0-9]+:    c5 c9 f3 d4             vpsllq %xmm4,%xmm6,%xmm2
2141 [       ]*[a-f0-9]+:    c5 c9 f3 39             vpsllq \(%ecx\),%xmm6,%xmm7
2142 [       ]*[a-f0-9]+:    c5 c9 f3 39             vpsllq \(%ecx\),%xmm6,%xmm7
2143 [       ]*[a-f0-9]+:    c5 c9 e1 d4             vpsraw %xmm4,%xmm6,%xmm2
2144 [       ]*[a-f0-9]+:    c5 c9 e1 39             vpsraw \(%ecx\),%xmm6,%xmm7
2145 [       ]*[a-f0-9]+:    c5 c9 e1 39             vpsraw \(%ecx\),%xmm6,%xmm7
2146 [       ]*[a-f0-9]+:    c5 c9 e2 d4             vpsrad %xmm4,%xmm6,%xmm2
2147 [       ]*[a-f0-9]+:    c5 c9 e2 39             vpsrad \(%ecx\),%xmm6,%xmm7
2148 [       ]*[a-f0-9]+:    c5 c9 e2 39             vpsrad \(%ecx\),%xmm6,%xmm7
2149 [       ]*[a-f0-9]+:    c5 c9 d1 d4             vpsrlw %xmm4,%xmm6,%xmm2
2150 [       ]*[a-f0-9]+:    c5 c9 d1 39             vpsrlw \(%ecx\),%xmm6,%xmm7
2151 [       ]*[a-f0-9]+:    c5 c9 d1 39             vpsrlw \(%ecx\),%xmm6,%xmm7
2152 [       ]*[a-f0-9]+:    c5 c9 d2 d4             vpsrld %xmm4,%xmm6,%xmm2
2153 [       ]*[a-f0-9]+:    c5 c9 d2 39             vpsrld \(%ecx\),%xmm6,%xmm7
2154 [       ]*[a-f0-9]+:    c5 c9 d2 39             vpsrld \(%ecx\),%xmm6,%xmm7
2155 [       ]*[a-f0-9]+:    c5 c9 d3 d4             vpsrlq %xmm4,%xmm6,%xmm2
2156 [       ]*[a-f0-9]+:    c5 c9 d3 39             vpsrlq \(%ecx\),%xmm6,%xmm7
2157 [       ]*[a-f0-9]+:    c5 c9 d3 39             vpsrlq \(%ecx\),%xmm6,%xmm7
2158 [       ]*[a-f0-9]+:    c5 c9 f8 d4             vpsubb %xmm4,%xmm6,%xmm2
2159 [       ]*[a-f0-9]+:    c5 c9 f8 39             vpsubb \(%ecx\),%xmm6,%xmm7
2160 [       ]*[a-f0-9]+:    c5 c9 f8 39             vpsubb \(%ecx\),%xmm6,%xmm7
2161 [       ]*[a-f0-9]+:    c5 c9 f9 d4             vpsubw %xmm4,%xmm6,%xmm2
2162 [       ]*[a-f0-9]+:    c5 c9 f9 39             vpsubw \(%ecx\),%xmm6,%xmm7
2163 [       ]*[a-f0-9]+:    c5 c9 f9 39             vpsubw \(%ecx\),%xmm6,%xmm7
2164 [       ]*[a-f0-9]+:    c5 c9 fa d4             vpsubd %xmm4,%xmm6,%xmm2
2165 [       ]*[a-f0-9]+:    c5 c9 fa 39             vpsubd \(%ecx\),%xmm6,%xmm7
2166 [       ]*[a-f0-9]+:    c5 c9 fa 39             vpsubd \(%ecx\),%xmm6,%xmm7
2167 [       ]*[a-f0-9]+:    c5 c9 fb d4             vpsubq %xmm4,%xmm6,%xmm2
2168 [       ]*[a-f0-9]+:    c5 c9 fb 39             vpsubq \(%ecx\),%xmm6,%xmm7
2169 [       ]*[a-f0-9]+:    c5 c9 fb 39             vpsubq \(%ecx\),%xmm6,%xmm7
2170 [       ]*[a-f0-9]+:    c5 c9 e8 d4             vpsubsb %xmm4,%xmm6,%xmm2
2171 [       ]*[a-f0-9]+:    c5 c9 e8 39             vpsubsb \(%ecx\),%xmm6,%xmm7
2172 [       ]*[a-f0-9]+:    c5 c9 e8 39             vpsubsb \(%ecx\),%xmm6,%xmm7
2173 [       ]*[a-f0-9]+:    c5 c9 e9 d4             vpsubsw %xmm4,%xmm6,%xmm2
2174 [       ]*[a-f0-9]+:    c5 c9 e9 39             vpsubsw \(%ecx\),%xmm6,%xmm7
2175 [       ]*[a-f0-9]+:    c5 c9 e9 39             vpsubsw \(%ecx\),%xmm6,%xmm7
2176 [       ]*[a-f0-9]+:    c5 c9 d8 d4             vpsubusb %xmm4,%xmm6,%xmm2
2177 [       ]*[a-f0-9]+:    c5 c9 d8 39             vpsubusb \(%ecx\),%xmm6,%xmm7
2178 [       ]*[a-f0-9]+:    c5 c9 d8 39             vpsubusb \(%ecx\),%xmm6,%xmm7
2179 [       ]*[a-f0-9]+:    c5 c9 d9 d4             vpsubusw %xmm4,%xmm6,%xmm2
2180 [       ]*[a-f0-9]+:    c5 c9 d9 39             vpsubusw \(%ecx\),%xmm6,%xmm7
2181 [       ]*[a-f0-9]+:    c5 c9 d9 39             vpsubusw \(%ecx\),%xmm6,%xmm7
2182 [       ]*[a-f0-9]+:    c5 c9 68 d4             vpunpckhbw %xmm4,%xmm6,%xmm2
2183 [       ]*[a-f0-9]+:    c5 c9 68 39             vpunpckhbw \(%ecx\),%xmm6,%xmm7
2184 [       ]*[a-f0-9]+:    c5 c9 68 39             vpunpckhbw \(%ecx\),%xmm6,%xmm7
2185 [       ]*[a-f0-9]+:    c5 c9 69 d4             vpunpckhwd %xmm4,%xmm6,%xmm2
2186 [       ]*[a-f0-9]+:    c5 c9 69 39             vpunpckhwd \(%ecx\),%xmm6,%xmm7
2187 [       ]*[a-f0-9]+:    c5 c9 69 39             vpunpckhwd \(%ecx\),%xmm6,%xmm7
2188 [       ]*[a-f0-9]+:    c5 c9 6a d4             vpunpckhdq %xmm4,%xmm6,%xmm2
2189 [       ]*[a-f0-9]+:    c5 c9 6a 39             vpunpckhdq \(%ecx\),%xmm6,%xmm7
2190 [       ]*[a-f0-9]+:    c5 c9 6a 39             vpunpckhdq \(%ecx\),%xmm6,%xmm7
2191 [       ]*[a-f0-9]+:    c5 c9 6d d4             vpunpckhqdq %xmm4,%xmm6,%xmm2
2192 [       ]*[a-f0-9]+:    c5 c9 6d 39             vpunpckhqdq \(%ecx\),%xmm6,%xmm7
2193 [       ]*[a-f0-9]+:    c5 c9 6d 39             vpunpckhqdq \(%ecx\),%xmm6,%xmm7
2194 [       ]*[a-f0-9]+:    c5 c9 60 d4             vpunpcklbw %xmm4,%xmm6,%xmm2
2195 [       ]*[a-f0-9]+:    c5 c9 60 39             vpunpcklbw \(%ecx\),%xmm6,%xmm7
2196 [       ]*[a-f0-9]+:    c5 c9 60 39             vpunpcklbw \(%ecx\),%xmm6,%xmm7
2197 [       ]*[a-f0-9]+:    c5 c9 61 d4             vpunpcklwd %xmm4,%xmm6,%xmm2
2198 [       ]*[a-f0-9]+:    c5 c9 61 39             vpunpcklwd \(%ecx\),%xmm6,%xmm7
2199 [       ]*[a-f0-9]+:    c5 c9 61 39             vpunpcklwd \(%ecx\),%xmm6,%xmm7
2200 [       ]*[a-f0-9]+:    c5 c9 62 d4             vpunpckldq %xmm4,%xmm6,%xmm2
2201 [       ]*[a-f0-9]+:    c5 c9 62 39             vpunpckldq \(%ecx\),%xmm6,%xmm7
2202 [       ]*[a-f0-9]+:    c5 c9 62 39             vpunpckldq \(%ecx\),%xmm6,%xmm7
2203 [       ]*[a-f0-9]+:    c5 c9 6c d4             vpunpcklqdq %xmm4,%xmm6,%xmm2
2204 [       ]*[a-f0-9]+:    c5 c9 6c 39             vpunpcklqdq \(%ecx\),%xmm6,%xmm7
2205 [       ]*[a-f0-9]+:    c5 c9 6c 39             vpunpcklqdq \(%ecx\),%xmm6,%xmm7
2206 [       ]*[a-f0-9]+:    c5 c9 ef d4             vpxor  %xmm4,%xmm6,%xmm2
2207 [       ]*[a-f0-9]+:    c5 c9 ef 39             vpxor  \(%ecx\),%xmm6,%xmm7
2208 [       ]*[a-f0-9]+:    c5 c9 ef 39             vpxor  \(%ecx\),%xmm6,%xmm7
2209 [       ]*[a-f0-9]+:    c5 c9 5c d4             vsubpd %xmm4,%xmm6,%xmm2
2210 [       ]*[a-f0-9]+:    c5 c9 5c 39             vsubpd \(%ecx\),%xmm6,%xmm7
2211 [       ]*[a-f0-9]+:    c5 c9 5c 39             vsubpd \(%ecx\),%xmm6,%xmm7
2212 [       ]*[a-f0-9]+:    c5 c8 5c d4             vsubps %xmm4,%xmm6,%xmm2
2213 [       ]*[a-f0-9]+:    c5 c8 5c 39             vsubps \(%ecx\),%xmm6,%xmm7
2214 [       ]*[a-f0-9]+:    c5 c8 5c 39             vsubps \(%ecx\),%xmm6,%xmm7
2215 [       ]*[a-f0-9]+:    c5 c9 15 d4             vunpckhpd %xmm4,%xmm6,%xmm2
2216 [       ]*[a-f0-9]+:    c5 c9 15 39             vunpckhpd \(%ecx\),%xmm6,%xmm7
2217 [       ]*[a-f0-9]+:    c5 c9 15 39             vunpckhpd \(%ecx\),%xmm6,%xmm7
2218 [       ]*[a-f0-9]+:    c5 c8 15 d4             vunpckhps %xmm4,%xmm6,%xmm2
2219 [       ]*[a-f0-9]+:    c5 c8 15 39             vunpckhps \(%ecx\),%xmm6,%xmm7
2220 [       ]*[a-f0-9]+:    c5 c8 15 39             vunpckhps \(%ecx\),%xmm6,%xmm7
2221 [       ]*[a-f0-9]+:    c5 c9 14 d4             vunpcklpd %xmm4,%xmm6,%xmm2
2222 [       ]*[a-f0-9]+:    c5 c9 14 39             vunpcklpd \(%ecx\),%xmm6,%xmm7
2223 [       ]*[a-f0-9]+:    c5 c9 14 39             vunpcklpd \(%ecx\),%xmm6,%xmm7
2224 [       ]*[a-f0-9]+:    c5 c8 14 d4             vunpcklps %xmm4,%xmm6,%xmm2
2225 [       ]*[a-f0-9]+:    c5 c8 14 39             vunpcklps \(%ecx\),%xmm6,%xmm7
2226 [       ]*[a-f0-9]+:    c5 c8 14 39             vunpcklps \(%ecx\),%xmm6,%xmm7
2227 [       ]*[a-f0-9]+:    c5 c9 57 d4             vxorpd %xmm4,%xmm6,%xmm2
2228 [       ]*[a-f0-9]+:    c5 c9 57 39             vxorpd \(%ecx\),%xmm6,%xmm7
2229 [       ]*[a-f0-9]+:    c5 c9 57 39             vxorpd \(%ecx\),%xmm6,%xmm7
2230 [       ]*[a-f0-9]+:    c5 c8 57 d4             vxorps %xmm4,%xmm6,%xmm2
2231 [       ]*[a-f0-9]+:    c5 c8 57 39             vxorps \(%ecx\),%xmm6,%xmm7
2232 [       ]*[a-f0-9]+:    c5 c8 57 39             vxorps \(%ecx\),%xmm6,%xmm7
2233 [       ]*[a-f0-9]+:    c4 e2 49 dc d4          vaesenc %xmm4,%xmm6,%xmm2
2234 [       ]*[a-f0-9]+:    c4 e2 49 dc 39          vaesenc \(%ecx\),%xmm6,%xmm7
2235 [       ]*[a-f0-9]+:    c4 e2 49 dc 39          vaesenc \(%ecx\),%xmm6,%xmm7
2236 [       ]*[a-f0-9]+:    c4 e2 49 dd d4          vaesenclast %xmm4,%xmm6,%xmm2
2237 [       ]*[a-f0-9]+:    c4 e2 49 dd 39          vaesenclast \(%ecx\),%xmm6,%xmm7
2238 [       ]*[a-f0-9]+:    c4 e2 49 dd 39          vaesenclast \(%ecx\),%xmm6,%xmm7
2239 [       ]*[a-f0-9]+:    c4 e2 49 de d4          vaesdec %xmm4,%xmm6,%xmm2
2240 [       ]*[a-f0-9]+:    c4 e2 49 de 39          vaesdec \(%ecx\),%xmm6,%xmm7
2241 [       ]*[a-f0-9]+:    c4 e2 49 de 39          vaesdec \(%ecx\),%xmm6,%xmm7
2242 [       ]*[a-f0-9]+:    c4 e2 49 df d4          vaesdeclast %xmm4,%xmm6,%xmm2
2243 [       ]*[a-f0-9]+:    c4 e2 49 df 39          vaesdeclast \(%ecx\),%xmm6,%xmm7
2244 [       ]*[a-f0-9]+:    c4 e2 49 df 39          vaesdeclast \(%ecx\),%xmm6,%xmm7
2245 [       ]*[a-f0-9]+:    c5 c9 c2 d4 00          vcmpeqpd %xmm4,%xmm6,%xmm2
2246 [       ]*[a-f0-9]+:    c5 c9 c2 39 00          vcmpeqpd \(%ecx\),%xmm6,%xmm7
2247 [       ]*[a-f0-9]+:    c5 c9 c2 39 00          vcmpeqpd \(%ecx\),%xmm6,%xmm7
2248 [       ]*[a-f0-9]+:    c5 c9 c2 d4 01          vcmpltpd %xmm4,%xmm6,%xmm2
2249 [       ]*[a-f0-9]+:    c5 c9 c2 39 01          vcmpltpd \(%ecx\),%xmm6,%xmm7
2250 [       ]*[a-f0-9]+:    c5 c9 c2 39 01          vcmpltpd \(%ecx\),%xmm6,%xmm7
2251 [       ]*[a-f0-9]+:    c5 c9 c2 d4 02          vcmplepd %xmm4,%xmm6,%xmm2
2252 [       ]*[a-f0-9]+:    c5 c9 c2 39 02          vcmplepd \(%ecx\),%xmm6,%xmm7
2253 [       ]*[a-f0-9]+:    c5 c9 c2 39 02          vcmplepd \(%ecx\),%xmm6,%xmm7
2254 [       ]*[a-f0-9]+:    c5 c9 c2 d4 03          vcmpunordpd %xmm4,%xmm6,%xmm2
2255 [       ]*[a-f0-9]+:    c5 c9 c2 39 03          vcmpunordpd \(%ecx\),%xmm6,%xmm7
2256 [       ]*[a-f0-9]+:    c5 c9 c2 39 03          vcmpunordpd \(%ecx\),%xmm6,%xmm7
2257 [       ]*[a-f0-9]+:    c5 c9 c2 d4 04          vcmpneqpd %xmm4,%xmm6,%xmm2
2258 [       ]*[a-f0-9]+:    c5 c9 c2 39 04          vcmpneqpd \(%ecx\),%xmm6,%xmm7
2259 [       ]*[a-f0-9]+:    c5 c9 c2 39 04          vcmpneqpd \(%ecx\),%xmm6,%xmm7
2260 [       ]*[a-f0-9]+:    c5 c9 c2 d4 05          vcmpnltpd %xmm4,%xmm6,%xmm2
2261 [       ]*[a-f0-9]+:    c5 c9 c2 39 05          vcmpnltpd \(%ecx\),%xmm6,%xmm7
2262 [       ]*[a-f0-9]+:    c5 c9 c2 39 05          vcmpnltpd \(%ecx\),%xmm6,%xmm7
2263 [       ]*[a-f0-9]+:    c5 c9 c2 d4 06          vcmpnlepd %xmm4,%xmm6,%xmm2
2264 [       ]*[a-f0-9]+:    c5 c9 c2 39 06          vcmpnlepd \(%ecx\),%xmm6,%xmm7
2265 [       ]*[a-f0-9]+:    c5 c9 c2 39 06          vcmpnlepd \(%ecx\),%xmm6,%xmm7
2266 [       ]*[a-f0-9]+:    c5 c9 c2 d4 07          vcmpordpd %xmm4,%xmm6,%xmm2
2267 [       ]*[a-f0-9]+:    c5 c9 c2 39 07          vcmpordpd \(%ecx\),%xmm6,%xmm7
2268 [       ]*[a-f0-9]+:    c5 c9 c2 39 07          vcmpordpd \(%ecx\),%xmm6,%xmm7
2269 [       ]*[a-f0-9]+:    c5 c9 c2 d4 08          vcmpeq_uqpd %xmm4,%xmm6,%xmm2
2270 [       ]*[a-f0-9]+:    c5 c9 c2 39 08          vcmpeq_uqpd \(%ecx\),%xmm6,%xmm7
2271 [       ]*[a-f0-9]+:    c5 c9 c2 39 08          vcmpeq_uqpd \(%ecx\),%xmm6,%xmm7
2272 [       ]*[a-f0-9]+:    c5 c9 c2 d4 09          vcmpngepd %xmm4,%xmm6,%xmm2
2273 [       ]*[a-f0-9]+:    c5 c9 c2 39 09          vcmpngepd \(%ecx\),%xmm6,%xmm7
2274 [       ]*[a-f0-9]+:    c5 c9 c2 39 09          vcmpngepd \(%ecx\),%xmm6,%xmm7
2275 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0a          vcmpngtpd %xmm4,%xmm6,%xmm2
2276 [       ]*[a-f0-9]+:    c5 c9 c2 39 0a          vcmpngtpd \(%ecx\),%xmm6,%xmm7
2277 [       ]*[a-f0-9]+:    c5 c9 c2 39 0a          vcmpngtpd \(%ecx\),%xmm6,%xmm7
2278 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0b          vcmpfalsepd %xmm4,%xmm6,%xmm2
2279 [       ]*[a-f0-9]+:    c5 c9 c2 39 0b          vcmpfalsepd \(%ecx\),%xmm6,%xmm7
2280 [       ]*[a-f0-9]+:    c5 c9 c2 39 0b          vcmpfalsepd \(%ecx\),%xmm6,%xmm7
2281 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0c          vcmpneq_oqpd %xmm4,%xmm6,%xmm2
2282 [       ]*[a-f0-9]+:    c5 c9 c2 39 0c          vcmpneq_oqpd \(%ecx\),%xmm6,%xmm7
2283 [       ]*[a-f0-9]+:    c5 c9 c2 39 0c          vcmpneq_oqpd \(%ecx\),%xmm6,%xmm7
2284 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0d          vcmpgepd %xmm4,%xmm6,%xmm2
2285 [       ]*[a-f0-9]+:    c5 c9 c2 39 0d          vcmpgepd \(%ecx\),%xmm6,%xmm7
2286 [       ]*[a-f0-9]+:    c5 c9 c2 39 0d          vcmpgepd \(%ecx\),%xmm6,%xmm7
2287 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0e          vcmpgtpd %xmm4,%xmm6,%xmm2
2288 [       ]*[a-f0-9]+:    c5 c9 c2 39 0e          vcmpgtpd \(%ecx\),%xmm6,%xmm7
2289 [       ]*[a-f0-9]+:    c5 c9 c2 39 0e          vcmpgtpd \(%ecx\),%xmm6,%xmm7
2290 [       ]*[a-f0-9]+:    c5 c9 c2 d4 0f          vcmptruepd %xmm4,%xmm6,%xmm2
2291 [       ]*[a-f0-9]+:    c5 c9 c2 39 0f          vcmptruepd \(%ecx\),%xmm6,%xmm7
2292 [       ]*[a-f0-9]+:    c5 c9 c2 39 0f          vcmptruepd \(%ecx\),%xmm6,%xmm7
2293 [       ]*[a-f0-9]+:    c5 c9 c2 d4 10          vcmpeq_ospd %xmm4,%xmm6,%xmm2
2294 [       ]*[a-f0-9]+:    c5 c9 c2 39 10          vcmpeq_ospd \(%ecx\),%xmm6,%xmm7
2295 [       ]*[a-f0-9]+:    c5 c9 c2 39 10          vcmpeq_ospd \(%ecx\),%xmm6,%xmm7
2296 [       ]*[a-f0-9]+:    c5 c9 c2 d4 11          vcmplt_oqpd %xmm4,%xmm6,%xmm2
2297 [       ]*[a-f0-9]+:    c5 c9 c2 39 11          vcmplt_oqpd \(%ecx\),%xmm6,%xmm7
2298 [       ]*[a-f0-9]+:    c5 c9 c2 39 11          vcmplt_oqpd \(%ecx\),%xmm6,%xmm7
2299 [       ]*[a-f0-9]+:    c5 c9 c2 d4 12          vcmple_oqpd %xmm4,%xmm6,%xmm2
2300 [       ]*[a-f0-9]+:    c5 c9 c2 39 12          vcmple_oqpd \(%ecx\),%xmm6,%xmm7
2301 [       ]*[a-f0-9]+:    c5 c9 c2 39 12          vcmple_oqpd \(%ecx\),%xmm6,%xmm7
2302 [       ]*[a-f0-9]+:    c5 c9 c2 d4 13          vcmpunord_spd %xmm4,%xmm6,%xmm2
2303 [       ]*[a-f0-9]+:    c5 c9 c2 39 13          vcmpunord_spd \(%ecx\),%xmm6,%xmm7
2304 [       ]*[a-f0-9]+:    c5 c9 c2 39 13          vcmpunord_spd \(%ecx\),%xmm6,%xmm7
2305 [       ]*[a-f0-9]+:    c5 c9 c2 d4 14          vcmpneq_uspd %xmm4,%xmm6,%xmm2
2306 [       ]*[a-f0-9]+:    c5 c9 c2 39 14          vcmpneq_uspd \(%ecx\),%xmm6,%xmm7
2307 [       ]*[a-f0-9]+:    c5 c9 c2 39 14          vcmpneq_uspd \(%ecx\),%xmm6,%xmm7
2308 [       ]*[a-f0-9]+:    c5 c9 c2 d4 15          vcmpnlt_uqpd %xmm4,%xmm6,%xmm2
2309 [       ]*[a-f0-9]+:    c5 c9 c2 39 15          vcmpnlt_uqpd \(%ecx\),%xmm6,%xmm7
2310 [       ]*[a-f0-9]+:    c5 c9 c2 39 15          vcmpnlt_uqpd \(%ecx\),%xmm6,%xmm7
2311 [       ]*[a-f0-9]+:    c5 c9 c2 d4 16          vcmpnle_uqpd %xmm4,%xmm6,%xmm2
2312 [       ]*[a-f0-9]+:    c5 c9 c2 39 16          vcmpnle_uqpd \(%ecx\),%xmm6,%xmm7
2313 [       ]*[a-f0-9]+:    c5 c9 c2 39 16          vcmpnle_uqpd \(%ecx\),%xmm6,%xmm7
2314 [       ]*[a-f0-9]+:    c5 c9 c2 d4 17          vcmpord_spd %xmm4,%xmm6,%xmm2
2315 [       ]*[a-f0-9]+:    c5 c9 c2 39 17          vcmpord_spd \(%ecx\),%xmm6,%xmm7
2316 [       ]*[a-f0-9]+:    c5 c9 c2 39 17          vcmpord_spd \(%ecx\),%xmm6,%xmm7
2317 [       ]*[a-f0-9]+:    c5 c9 c2 d4 18          vcmpeq_uspd %xmm4,%xmm6,%xmm2
2318 [       ]*[a-f0-9]+:    c5 c9 c2 39 18          vcmpeq_uspd \(%ecx\),%xmm6,%xmm7
2319 [       ]*[a-f0-9]+:    c5 c9 c2 39 18          vcmpeq_uspd \(%ecx\),%xmm6,%xmm7
2320 [       ]*[a-f0-9]+:    c5 c9 c2 d4 19          vcmpnge_uqpd %xmm4,%xmm6,%xmm2
2321 [       ]*[a-f0-9]+:    c5 c9 c2 39 19          vcmpnge_uqpd \(%ecx\),%xmm6,%xmm7
2322 [       ]*[a-f0-9]+:    c5 c9 c2 39 19          vcmpnge_uqpd \(%ecx\),%xmm6,%xmm7
2323 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1a          vcmpngt_uqpd %xmm4,%xmm6,%xmm2
2324 [       ]*[a-f0-9]+:    c5 c9 c2 39 1a          vcmpngt_uqpd \(%ecx\),%xmm6,%xmm7
2325 [       ]*[a-f0-9]+:    c5 c9 c2 39 1a          vcmpngt_uqpd \(%ecx\),%xmm6,%xmm7
2326 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1b          vcmpfalse_ospd %xmm4,%xmm6,%xmm2
2327 [       ]*[a-f0-9]+:    c5 c9 c2 39 1b          vcmpfalse_ospd \(%ecx\),%xmm6,%xmm7
2328 [       ]*[a-f0-9]+:    c5 c9 c2 39 1b          vcmpfalse_ospd \(%ecx\),%xmm6,%xmm7
2329 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1c          vcmpneq_ospd %xmm4,%xmm6,%xmm2
2330 [       ]*[a-f0-9]+:    c5 c9 c2 39 1c          vcmpneq_ospd \(%ecx\),%xmm6,%xmm7
2331 [       ]*[a-f0-9]+:    c5 c9 c2 39 1c          vcmpneq_ospd \(%ecx\),%xmm6,%xmm7
2332 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1d          vcmpge_oqpd %xmm4,%xmm6,%xmm2
2333 [       ]*[a-f0-9]+:    c5 c9 c2 39 1d          vcmpge_oqpd \(%ecx\),%xmm6,%xmm7
2334 [       ]*[a-f0-9]+:    c5 c9 c2 39 1d          vcmpge_oqpd \(%ecx\),%xmm6,%xmm7
2335 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1e          vcmpgt_oqpd %xmm4,%xmm6,%xmm2
2336 [       ]*[a-f0-9]+:    c5 c9 c2 39 1e          vcmpgt_oqpd \(%ecx\),%xmm6,%xmm7
2337 [       ]*[a-f0-9]+:    c5 c9 c2 39 1e          vcmpgt_oqpd \(%ecx\),%xmm6,%xmm7
2338 [       ]*[a-f0-9]+:    c5 c9 c2 d4 1f          vcmptrue_uspd %xmm4,%xmm6,%xmm2
2339 [       ]*[a-f0-9]+:    c5 c9 c2 39 1f          vcmptrue_uspd \(%ecx\),%xmm6,%xmm7
2340 [       ]*[a-f0-9]+:    c5 c9 c2 39 1f          vcmptrue_uspd \(%ecx\),%xmm6,%xmm7
2341 [       ]*[a-f0-9]+:    c5 c8 c2 d4 00          vcmpeqps %xmm4,%xmm6,%xmm2
2342 [       ]*[a-f0-9]+:    c5 c8 c2 39 00          vcmpeqps \(%ecx\),%xmm6,%xmm7
2343 [       ]*[a-f0-9]+:    c5 c8 c2 39 00          vcmpeqps \(%ecx\),%xmm6,%xmm7
2344 [       ]*[a-f0-9]+:    c5 c8 c2 d4 01          vcmpltps %xmm4,%xmm6,%xmm2
2345 [       ]*[a-f0-9]+:    c5 c8 c2 39 01          vcmpltps \(%ecx\),%xmm6,%xmm7
2346 [       ]*[a-f0-9]+:    c5 c8 c2 39 01          vcmpltps \(%ecx\),%xmm6,%xmm7
2347 [       ]*[a-f0-9]+:    c5 c8 c2 d4 02          vcmpleps %xmm4,%xmm6,%xmm2
2348 [       ]*[a-f0-9]+:    c5 c8 c2 39 02          vcmpleps \(%ecx\),%xmm6,%xmm7
2349 [       ]*[a-f0-9]+:    c5 c8 c2 39 02          vcmpleps \(%ecx\),%xmm6,%xmm7
2350 [       ]*[a-f0-9]+:    c5 c8 c2 d4 03          vcmpunordps %xmm4,%xmm6,%xmm2
2351 [       ]*[a-f0-9]+:    c5 c8 c2 39 03          vcmpunordps \(%ecx\),%xmm6,%xmm7
2352 [       ]*[a-f0-9]+:    c5 c8 c2 39 03          vcmpunordps \(%ecx\),%xmm6,%xmm7
2353 [       ]*[a-f0-9]+:    c5 c8 c2 d4 04          vcmpneqps %xmm4,%xmm6,%xmm2
2354 [       ]*[a-f0-9]+:    c5 c8 c2 39 04          vcmpneqps \(%ecx\),%xmm6,%xmm7
2355 [       ]*[a-f0-9]+:    c5 c8 c2 39 04          vcmpneqps \(%ecx\),%xmm6,%xmm7
2356 [       ]*[a-f0-9]+:    c5 c8 c2 d4 05          vcmpnltps %xmm4,%xmm6,%xmm2
2357 [       ]*[a-f0-9]+:    c5 c8 c2 39 05          vcmpnltps \(%ecx\),%xmm6,%xmm7
2358 [       ]*[a-f0-9]+:    c5 c8 c2 39 05          vcmpnltps \(%ecx\),%xmm6,%xmm7
2359 [       ]*[a-f0-9]+:    c5 c8 c2 d4 06          vcmpnleps %xmm4,%xmm6,%xmm2
2360 [       ]*[a-f0-9]+:    c5 c8 c2 39 06          vcmpnleps \(%ecx\),%xmm6,%xmm7
2361 [       ]*[a-f0-9]+:    c5 c8 c2 39 06          vcmpnleps \(%ecx\),%xmm6,%xmm7
2362 [       ]*[a-f0-9]+:    c5 c8 c2 d4 07          vcmpordps %xmm4,%xmm6,%xmm2
2363 [       ]*[a-f0-9]+:    c5 c8 c2 39 07          vcmpordps \(%ecx\),%xmm6,%xmm7
2364 [       ]*[a-f0-9]+:    c5 c8 c2 39 07          vcmpordps \(%ecx\),%xmm6,%xmm7
2365 [       ]*[a-f0-9]+:    c5 c8 c2 d4 08          vcmpeq_uqps %xmm4,%xmm6,%xmm2
2366 [       ]*[a-f0-9]+:    c5 c8 c2 39 08          vcmpeq_uqps \(%ecx\),%xmm6,%xmm7
2367 [       ]*[a-f0-9]+:    c5 c8 c2 39 08          vcmpeq_uqps \(%ecx\),%xmm6,%xmm7
2368 [       ]*[a-f0-9]+:    c5 c8 c2 d4 09          vcmpngeps %xmm4,%xmm6,%xmm2
2369 [       ]*[a-f0-9]+:    c5 c8 c2 39 09          vcmpngeps \(%ecx\),%xmm6,%xmm7
2370 [       ]*[a-f0-9]+:    c5 c8 c2 39 09          vcmpngeps \(%ecx\),%xmm6,%xmm7
2371 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0a          vcmpngtps %xmm4,%xmm6,%xmm2
2372 [       ]*[a-f0-9]+:    c5 c8 c2 39 0a          vcmpngtps \(%ecx\),%xmm6,%xmm7
2373 [       ]*[a-f0-9]+:    c5 c8 c2 39 0a          vcmpngtps \(%ecx\),%xmm6,%xmm7
2374 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0b          vcmpfalseps %xmm4,%xmm6,%xmm2
2375 [       ]*[a-f0-9]+:    c5 c8 c2 39 0b          vcmpfalseps \(%ecx\),%xmm6,%xmm7
2376 [       ]*[a-f0-9]+:    c5 c8 c2 39 0b          vcmpfalseps \(%ecx\),%xmm6,%xmm7
2377 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0c          vcmpneq_oqps %xmm4,%xmm6,%xmm2
2378 [       ]*[a-f0-9]+:    c5 c8 c2 39 0c          vcmpneq_oqps \(%ecx\),%xmm6,%xmm7
2379 [       ]*[a-f0-9]+:    c5 c8 c2 39 0c          vcmpneq_oqps \(%ecx\),%xmm6,%xmm7
2380 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0d          vcmpgeps %xmm4,%xmm6,%xmm2
2381 [       ]*[a-f0-9]+:    c5 c8 c2 39 0d          vcmpgeps \(%ecx\),%xmm6,%xmm7
2382 [       ]*[a-f0-9]+:    c5 c8 c2 39 0d          vcmpgeps \(%ecx\),%xmm6,%xmm7
2383 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0e          vcmpgtps %xmm4,%xmm6,%xmm2
2384 [       ]*[a-f0-9]+:    c5 c8 c2 39 0e          vcmpgtps \(%ecx\),%xmm6,%xmm7
2385 [       ]*[a-f0-9]+:    c5 c8 c2 39 0e          vcmpgtps \(%ecx\),%xmm6,%xmm7
2386 [       ]*[a-f0-9]+:    c5 c8 c2 d4 0f          vcmptrueps %xmm4,%xmm6,%xmm2
2387 [       ]*[a-f0-9]+:    c5 c8 c2 39 0f          vcmptrueps \(%ecx\),%xmm6,%xmm7
2388 [       ]*[a-f0-9]+:    c5 c8 c2 39 0f          vcmptrueps \(%ecx\),%xmm6,%xmm7
2389 [       ]*[a-f0-9]+:    c5 c8 c2 d4 10          vcmpeq_osps %xmm4,%xmm6,%xmm2
2390 [       ]*[a-f0-9]+:    c5 c8 c2 39 10          vcmpeq_osps \(%ecx\),%xmm6,%xmm7
2391 [       ]*[a-f0-9]+:    c5 c8 c2 39 10          vcmpeq_osps \(%ecx\),%xmm6,%xmm7
2392 [       ]*[a-f0-9]+:    c5 c8 c2 d4 11          vcmplt_oqps %xmm4,%xmm6,%xmm2
2393 [       ]*[a-f0-9]+:    c5 c8 c2 39 11          vcmplt_oqps \(%ecx\),%xmm6,%xmm7
2394 [       ]*[a-f0-9]+:    c5 c8 c2 39 11          vcmplt_oqps \(%ecx\),%xmm6,%xmm7
2395 [       ]*[a-f0-9]+:    c5 c8 c2 d4 12          vcmple_oqps %xmm4,%xmm6,%xmm2
2396 [       ]*[a-f0-9]+:    c5 c8 c2 39 12          vcmple_oqps \(%ecx\),%xmm6,%xmm7
2397 [       ]*[a-f0-9]+:    c5 c8 c2 39 12          vcmple_oqps \(%ecx\),%xmm6,%xmm7
2398 [       ]*[a-f0-9]+:    c5 c8 c2 d4 13          vcmpunord_sps %xmm4,%xmm6,%xmm2
2399 [       ]*[a-f0-9]+:    c5 c8 c2 39 13          vcmpunord_sps \(%ecx\),%xmm6,%xmm7
2400 [       ]*[a-f0-9]+:    c5 c8 c2 39 13          vcmpunord_sps \(%ecx\),%xmm6,%xmm7
2401 [       ]*[a-f0-9]+:    c5 c8 c2 d4 14          vcmpneq_usps %xmm4,%xmm6,%xmm2
2402 [       ]*[a-f0-9]+:    c5 c8 c2 39 14          vcmpneq_usps \(%ecx\),%xmm6,%xmm7
2403 [       ]*[a-f0-9]+:    c5 c8 c2 39 14          vcmpneq_usps \(%ecx\),%xmm6,%xmm7
2404 [       ]*[a-f0-9]+:    c5 c8 c2 d4 15          vcmpnlt_uqps %xmm4,%xmm6,%xmm2
2405 [       ]*[a-f0-9]+:    c5 c8 c2 39 15          vcmpnlt_uqps \(%ecx\),%xmm6,%xmm7
2406 [       ]*[a-f0-9]+:    c5 c8 c2 39 15          vcmpnlt_uqps \(%ecx\),%xmm6,%xmm7
2407 [       ]*[a-f0-9]+:    c5 c8 c2 d4 16          vcmpnle_uqps %xmm4,%xmm6,%xmm2
2408 [       ]*[a-f0-9]+:    c5 c8 c2 39 16          vcmpnle_uqps \(%ecx\),%xmm6,%xmm7
2409 [       ]*[a-f0-9]+:    c5 c8 c2 39 16          vcmpnle_uqps \(%ecx\),%xmm6,%xmm7
2410 [       ]*[a-f0-9]+:    c5 c8 c2 d4 17          vcmpord_sps %xmm4,%xmm6,%xmm2
2411 [       ]*[a-f0-9]+:    c5 c8 c2 39 17          vcmpord_sps \(%ecx\),%xmm6,%xmm7
2412 [       ]*[a-f0-9]+:    c5 c8 c2 39 17          vcmpord_sps \(%ecx\),%xmm6,%xmm7
2413 [       ]*[a-f0-9]+:    c5 c8 c2 d4 18          vcmpeq_usps %xmm4,%xmm6,%xmm2
2414 [       ]*[a-f0-9]+:    c5 c8 c2 39 18          vcmpeq_usps \(%ecx\),%xmm6,%xmm7
2415 [       ]*[a-f0-9]+:    c5 c8 c2 39 18          vcmpeq_usps \(%ecx\),%xmm6,%xmm7
2416 [       ]*[a-f0-9]+:    c5 c8 c2 d4 19          vcmpnge_uqps %xmm4,%xmm6,%xmm2
2417 [       ]*[a-f0-9]+:    c5 c8 c2 39 19          vcmpnge_uqps \(%ecx\),%xmm6,%xmm7
2418 [       ]*[a-f0-9]+:    c5 c8 c2 39 19          vcmpnge_uqps \(%ecx\),%xmm6,%xmm7
2419 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1a          vcmpngt_uqps %xmm4,%xmm6,%xmm2
2420 [       ]*[a-f0-9]+:    c5 c8 c2 39 1a          vcmpngt_uqps \(%ecx\),%xmm6,%xmm7
2421 [       ]*[a-f0-9]+:    c5 c8 c2 39 1a          vcmpngt_uqps \(%ecx\),%xmm6,%xmm7
2422 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1b          vcmpfalse_osps %xmm4,%xmm6,%xmm2
2423 [       ]*[a-f0-9]+:    c5 c8 c2 39 1b          vcmpfalse_osps \(%ecx\),%xmm6,%xmm7
2424 [       ]*[a-f0-9]+:    c5 c8 c2 39 1b          vcmpfalse_osps \(%ecx\),%xmm6,%xmm7
2425 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1c          vcmpneq_osps %xmm4,%xmm6,%xmm2
2426 [       ]*[a-f0-9]+:    c5 c8 c2 39 1c          vcmpneq_osps \(%ecx\),%xmm6,%xmm7
2427 [       ]*[a-f0-9]+:    c5 c8 c2 39 1c          vcmpneq_osps \(%ecx\),%xmm6,%xmm7
2428 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1d          vcmpge_oqps %xmm4,%xmm6,%xmm2
2429 [       ]*[a-f0-9]+:    c5 c8 c2 39 1d          vcmpge_oqps \(%ecx\),%xmm6,%xmm7
2430 [       ]*[a-f0-9]+:    c5 c8 c2 39 1d          vcmpge_oqps \(%ecx\),%xmm6,%xmm7
2431 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1e          vcmpgt_oqps %xmm4,%xmm6,%xmm2
2432 [       ]*[a-f0-9]+:    c5 c8 c2 39 1e          vcmpgt_oqps \(%ecx\),%xmm6,%xmm7
2433 [       ]*[a-f0-9]+:    c5 c8 c2 39 1e          vcmpgt_oqps \(%ecx\),%xmm6,%xmm7
2434 [       ]*[a-f0-9]+:    c5 c8 c2 d4 1f          vcmptrue_usps %xmm4,%xmm6,%xmm2
2435 [       ]*[a-f0-9]+:    c5 c8 c2 39 1f          vcmptrue_usps \(%ecx\),%xmm6,%xmm7
2436 [       ]*[a-f0-9]+:    c5 c8 c2 39 1f          vcmptrue_usps \(%ecx\),%xmm6,%xmm7
2437 [       ]*[a-f0-9]+:    c4 e2 59 2c 31          vmaskmovps \(%ecx\),%xmm4,%xmm6
2438 [       ]*[a-f0-9]+:    c4 e2 59 2c 31          vmaskmovps \(%ecx\),%xmm4,%xmm6
2439 [       ]*[a-f0-9]+:    c4 e2 59 2d 31          vmaskmovpd \(%ecx\),%xmm4,%xmm6
2440 [       ]*[a-f0-9]+:    c4 e2 59 2d 31          vmaskmovpd \(%ecx\),%xmm4,%xmm6
2441 [       ]*[a-f0-9]+:    c4 e3 79 df f4 07       vaeskeygenassist \$0x7,%xmm4,%xmm6
2442 [       ]*[a-f0-9]+:    c4 e3 79 df 31 07       vaeskeygenassist \$0x7,\(%ecx\),%xmm6
2443 [       ]*[a-f0-9]+:    c4 e3 79 df 31 07       vaeskeygenassist \$0x7,\(%ecx\),%xmm6
2444 [       ]*[a-f0-9]+:    c4 e3 79 61 f4 07       vpcmpestri \$0x7,%xmm4,%xmm6
2445 [       ]*[a-f0-9]+:    c4 e3 79 61 31 07       vpcmpestri \$0x7,\(%ecx\),%xmm6
2446 [       ]*[a-f0-9]+:    c4 e3 79 61 31 07       vpcmpestri \$0x7,\(%ecx\),%xmm6
2447 [       ]*[a-f0-9]+:    c4 e3 79 60 f4 07       vpcmpestrm \$0x7,%xmm4,%xmm6
2448 [       ]*[a-f0-9]+:    c4 e3 79 60 31 07       vpcmpestrm \$0x7,\(%ecx\),%xmm6
2449 [       ]*[a-f0-9]+:    c4 e3 79 60 31 07       vpcmpestrm \$0x7,\(%ecx\),%xmm6
2450 [       ]*[a-f0-9]+:    c4 e3 79 63 f4 07       vpcmpistri \$0x7,%xmm4,%xmm6
2451 [       ]*[a-f0-9]+:    c4 e3 79 63 31 07       vpcmpistri \$0x7,\(%ecx\),%xmm6
2452 [       ]*[a-f0-9]+:    c4 e3 79 63 31 07       vpcmpistri \$0x7,\(%ecx\),%xmm6
2453 [       ]*[a-f0-9]+:    c4 e3 79 62 f4 07       vpcmpistrm \$0x7,%xmm4,%xmm6
2454 [       ]*[a-f0-9]+:    c4 e3 79 62 31 07       vpcmpistrm \$0x7,\(%ecx\),%xmm6
2455 [       ]*[a-f0-9]+:    c4 e3 79 62 31 07       vpcmpistrm \$0x7,\(%ecx\),%xmm6
2456 [       ]*[a-f0-9]+:    c4 e3 79 05 f4 07       vpermilpd \$0x7,%xmm4,%xmm6
2457 [       ]*[a-f0-9]+:    c4 e3 79 05 31 07       vpermilpd \$0x7,\(%ecx\),%xmm6
2458 [       ]*[a-f0-9]+:    c4 e3 79 05 31 07       vpermilpd \$0x7,\(%ecx\),%xmm6
2459 [       ]*[a-f0-9]+:    c4 e3 79 04 f4 07       vpermilps \$0x7,%xmm4,%xmm6
2460 [       ]*[a-f0-9]+:    c4 e3 79 04 31 07       vpermilps \$0x7,\(%ecx\),%xmm6
2461 [       ]*[a-f0-9]+:    c4 e3 79 04 31 07       vpermilps \$0x7,\(%ecx\),%xmm6
2462 [       ]*[a-f0-9]+:    c5 f9 70 f4 07          vpshufd \$0x7,%xmm4,%xmm6
2463 [       ]*[a-f0-9]+:    c5 f9 70 31 07          vpshufd \$0x7,\(%ecx\),%xmm6
2464 [       ]*[a-f0-9]+:    c5 f9 70 31 07          vpshufd \$0x7,\(%ecx\),%xmm6
2465 [       ]*[a-f0-9]+:    c5 fa 70 f4 07          vpshufhw \$0x7,%xmm4,%xmm6
2466 [       ]*[a-f0-9]+:    c5 fa 70 31 07          vpshufhw \$0x7,\(%ecx\),%xmm6
2467 [       ]*[a-f0-9]+:    c5 fa 70 31 07          vpshufhw \$0x7,\(%ecx\),%xmm6
2468 [       ]*[a-f0-9]+:    c5 fb 70 f4 07          vpshuflw \$0x7,%xmm4,%xmm6
2469 [       ]*[a-f0-9]+:    c5 fb 70 31 07          vpshuflw \$0x7,\(%ecx\),%xmm6
2470 [       ]*[a-f0-9]+:    c5 fb 70 31 07          vpshuflw \$0x7,\(%ecx\),%xmm6
2471 [       ]*[a-f0-9]+:    c4 e3 79 09 f4 07       vroundpd \$0x7,%xmm4,%xmm6
2472 [       ]*[a-f0-9]+:    c4 e3 79 09 31 07       vroundpd \$0x7,\(%ecx\),%xmm6
2473 [       ]*[a-f0-9]+:    c4 e3 79 09 31 07       vroundpd \$0x7,\(%ecx\),%xmm6
2474 [       ]*[a-f0-9]+:    c4 e3 79 08 f4 07       vroundps \$0x7,%xmm4,%xmm6
2475 [       ]*[a-f0-9]+:    c4 e3 79 08 31 07       vroundps \$0x7,\(%ecx\),%xmm6
2476 [       ]*[a-f0-9]+:    c4 e3 79 08 31 07       vroundps \$0x7,\(%ecx\),%xmm6
2477 [       ]*[a-f0-9]+:    c4 e2 49 2e 21          vmaskmovps %xmm4,%xmm6,\(%ecx\)
2478 [       ]*[a-f0-9]+:    c4 e2 49 2e 21          vmaskmovps %xmm4,%xmm6,\(%ecx\)
2479 [       ]*[a-f0-9]+:    c4 e2 49 2f 21          vmaskmovpd %xmm4,%xmm6,\(%ecx\)
2480 [       ]*[a-f0-9]+:    c4 e2 49 2f 21          vmaskmovpd %xmm4,%xmm6,\(%ecx\)
2481 [       ]*[a-f0-9]+:    c4 e3 49 0d d4 07       vblendpd \$0x7,%xmm4,%xmm6,%xmm2
2482 [       ]*[a-f0-9]+:    c4 e3 49 0d 11 07       vblendpd \$0x7,\(%ecx\),%xmm6,%xmm2
2483 [       ]*[a-f0-9]+:    c4 e3 49 0d 11 07       vblendpd \$0x7,\(%ecx\),%xmm6,%xmm2
2484 [       ]*[a-f0-9]+:    c4 e3 49 0c d4 07       vblendps \$0x7,%xmm4,%xmm6,%xmm2
2485 [       ]*[a-f0-9]+:    c4 e3 49 0c 11 07       vblendps \$0x7,\(%ecx\),%xmm6,%xmm2
2486 [       ]*[a-f0-9]+:    c4 e3 49 0c 11 07       vblendps \$0x7,\(%ecx\),%xmm6,%xmm2
2487 [       ]*[a-f0-9]+:    c5 c9 c2 d4 07          vcmpordpd %xmm4,%xmm6,%xmm2
2488 [       ]*[a-f0-9]+:    c5 c9 c2 11 07          vcmpordpd \(%ecx\),%xmm6,%xmm2
2489 [       ]*[a-f0-9]+:    c5 c9 c2 11 07          vcmpordpd \(%ecx\),%xmm6,%xmm2
2490 [       ]*[a-f0-9]+:    c5 c8 c2 d4 07          vcmpordps %xmm4,%xmm6,%xmm2
2491 [       ]*[a-f0-9]+:    c5 c8 c2 11 07          vcmpordps \(%ecx\),%xmm6,%xmm2
2492 [       ]*[a-f0-9]+:    c5 c8 c2 11 07          vcmpordps \(%ecx\),%xmm6,%xmm2
2493 [       ]*[a-f0-9]+:    c4 e3 49 41 d4 07       vdppd  \$0x7,%xmm4,%xmm6,%xmm2
2494 [       ]*[a-f0-9]+:    c4 e3 49 41 11 07       vdppd  \$0x7,\(%ecx\),%xmm6,%xmm2
2495 [       ]*[a-f0-9]+:    c4 e3 49 41 11 07       vdppd  \$0x7,\(%ecx\),%xmm6,%xmm2
2496 [       ]*[a-f0-9]+:    c4 e3 49 40 d4 07       vdpps  \$0x7,%xmm4,%xmm6,%xmm2
2497 [       ]*[a-f0-9]+:    c4 e3 49 40 11 07       vdpps  \$0x7,\(%ecx\),%xmm6,%xmm2
2498 [       ]*[a-f0-9]+:    c4 e3 49 40 11 07       vdpps  \$0x7,\(%ecx\),%xmm6,%xmm2
2499 [       ]*[a-f0-9]+:    c4 e3 49 42 d4 07       vmpsadbw \$0x7,%xmm4,%xmm6,%xmm2
2500 [       ]*[a-f0-9]+:    c4 e3 49 42 11 07       vmpsadbw \$0x7,\(%ecx\),%xmm6,%xmm2
2501 [       ]*[a-f0-9]+:    c4 e3 49 42 11 07       vmpsadbw \$0x7,\(%ecx\),%xmm6,%xmm2
2502 [       ]*[a-f0-9]+:    c4 e3 49 0f d4 07       vpalignr \$0x7,%xmm4,%xmm6,%xmm2
2503 [       ]*[a-f0-9]+:    c4 e3 49 0f 11 07       vpalignr \$0x7,\(%ecx\),%xmm6,%xmm2
2504 [       ]*[a-f0-9]+:    c4 e3 49 0f 11 07       vpalignr \$0x7,\(%ecx\),%xmm6,%xmm2
2505 [       ]*[a-f0-9]+:    c4 e3 49 0e d4 07       vpblendw \$0x7,%xmm4,%xmm6,%xmm2
2506 [       ]*[a-f0-9]+:    c4 e3 49 0e 11 07       vpblendw \$0x7,\(%ecx\),%xmm6,%xmm2
2507 [       ]*[a-f0-9]+:    c4 e3 49 0e 11 07       vpblendw \$0x7,\(%ecx\),%xmm6,%xmm2
2508 [       ]*[a-f0-9]+:    c4 e3 49 44 d4 07       vpclmulqdq \$0x7,%xmm4,%xmm6,%xmm2
2509 [       ]*[a-f0-9]+:    c4 e3 49 44 11 07       vpclmulqdq \$0x7,\(%ecx\),%xmm6,%xmm2
2510 [       ]*[a-f0-9]+:    c4 e3 49 44 11 07       vpclmulqdq \$0x7,\(%ecx\),%xmm6,%xmm2
2511 [       ]*[a-f0-9]+:    c5 c9 c6 d4 07          vshufpd \$0x7,%xmm4,%xmm6,%xmm2
2512 [       ]*[a-f0-9]+:    c5 c9 c6 11 07          vshufpd \$0x7,\(%ecx\),%xmm6,%xmm2
2513 [       ]*[a-f0-9]+:    c5 c9 c6 11 07          vshufpd \$0x7,\(%ecx\),%xmm6,%xmm2
2514 [       ]*[a-f0-9]+:    c5 c8 c6 d4 07          vshufps \$0x7,%xmm4,%xmm6,%xmm2
2515 [       ]*[a-f0-9]+:    c5 c8 c6 11 07          vshufps \$0x7,\(%ecx\),%xmm6,%xmm2
2516 [       ]*[a-f0-9]+:    c5 c8 c6 11 07          vshufps \$0x7,\(%ecx\),%xmm6,%xmm2
2517 [       ]*[a-f0-9]+:    c4 e3 69 4b fe 40       vblendvpd %xmm4,%xmm6,%xmm2,%xmm7
2518 [       ]*[a-f0-9]+:    c4 e3 69 4b 39 40       vblendvpd %xmm4,\(%ecx\),%xmm2,%xmm7
2519 [       ]*[a-f0-9]+:    c4 e3 69 4b 39 40       vblendvpd %xmm4,\(%ecx\),%xmm2,%xmm7
2520 [       ]*[a-f0-9]+:    c4 e3 69 4a fe 40       vblendvps %xmm4,%xmm6,%xmm2,%xmm7
2521 [       ]*[a-f0-9]+:    c4 e3 69 4a 39 40       vblendvps %xmm4,\(%ecx\),%xmm2,%xmm7
2522 [       ]*[a-f0-9]+:    c4 e3 69 4a 39 40       vblendvps %xmm4,\(%ecx\),%xmm2,%xmm7
2523 [       ]*[a-f0-9]+:    c4 e3 69 4c fe 40       vpblendvb %xmm4,%xmm6,%xmm2,%xmm7
2524 [       ]*[a-f0-9]+:    c4 e3 69 4c 39 40       vpblendvb %xmm4,\(%ecx\),%xmm2,%xmm7
2525 [       ]*[a-f0-9]+:    c4 e3 69 4c 39 40       vpblendvb %xmm4,\(%ecx\),%xmm2,%xmm7
2526 [       ]*[a-f0-9]+:    c4 e2 7d 19 21          vbroadcastsd \(%ecx\),%ymm4
2527 [       ]*[a-f0-9]+:    c4 e2 7d 19 21          vbroadcastsd \(%ecx\),%ymm4
2528 [       ]*[a-f0-9]+:    c5 f9 2f f4             vcomisd %xmm4,%xmm6
2529 [       ]*[a-f0-9]+:    c5 f9 2f 21             vcomisd \(%ecx\),%xmm4
2530 [       ]*[a-f0-9]+:    c5 f9 2f 21             vcomisd \(%ecx\),%xmm4
2531 [       ]*[a-f0-9]+:    c5 fa e6 f4             vcvtdq2pd %xmm4,%xmm6
2532 [       ]*[a-f0-9]+:    c5 fa e6 21             vcvtdq2pd \(%ecx\),%xmm4
2533 [       ]*[a-f0-9]+:    c5 fa e6 21             vcvtdq2pd \(%ecx\),%xmm4
2534 [       ]*[a-f0-9]+:    c5 f8 5a f4             vcvtps2pd %xmm4,%xmm6
2535 [       ]*[a-f0-9]+:    c5 f8 5a 21             vcvtps2pd \(%ecx\),%xmm4
2536 [       ]*[a-f0-9]+:    c5 f8 5a 21             vcvtps2pd \(%ecx\),%xmm4
2537 [       ]*[a-f0-9]+:    c5 fb 12 f4             vmovddup %xmm4,%xmm6
2538 [       ]*[a-f0-9]+:    c5 fb 12 21             vmovddup \(%ecx\),%xmm4
2539 [       ]*[a-f0-9]+:    c5 fb 12 21             vmovddup \(%ecx\),%xmm4
2540 [       ]*[a-f0-9]+:    c4 e2 79 20 f4          vpmovsxbw %xmm4,%xmm6
2541 [       ]*[a-f0-9]+:    c4 e2 79 20 21          vpmovsxbw \(%ecx\),%xmm4
2542 [       ]*[a-f0-9]+:    c4 e2 79 20 21          vpmovsxbw \(%ecx\),%xmm4
2543 [       ]*[a-f0-9]+:    c4 e2 79 23 f4          vpmovsxwd %xmm4,%xmm6
2544 [       ]*[a-f0-9]+:    c4 e2 79 23 21          vpmovsxwd \(%ecx\),%xmm4
2545 [       ]*[a-f0-9]+:    c4 e2 79 23 21          vpmovsxwd \(%ecx\),%xmm4
2546 [       ]*[a-f0-9]+:    c4 e2 79 25 f4          vpmovsxdq %xmm4,%xmm6
2547 [       ]*[a-f0-9]+:    c4 e2 79 25 21          vpmovsxdq \(%ecx\),%xmm4
2548 [       ]*[a-f0-9]+:    c4 e2 79 25 21          vpmovsxdq \(%ecx\),%xmm4
2549 [       ]*[a-f0-9]+:    c4 e2 79 30 f4          vpmovzxbw %xmm4,%xmm6
2550 [       ]*[a-f0-9]+:    c4 e2 79 30 21          vpmovzxbw \(%ecx\),%xmm4
2551 [       ]*[a-f0-9]+:    c4 e2 79 30 21          vpmovzxbw \(%ecx\),%xmm4
2552 [       ]*[a-f0-9]+:    c4 e2 79 33 f4          vpmovzxwd %xmm4,%xmm6
2553 [       ]*[a-f0-9]+:    c4 e2 79 33 21          vpmovzxwd \(%ecx\),%xmm4
2554 [       ]*[a-f0-9]+:    c4 e2 79 33 21          vpmovzxwd \(%ecx\),%xmm4
2555 [       ]*[a-f0-9]+:    c4 e2 79 35 f4          vpmovzxdq %xmm4,%xmm6
2556 [       ]*[a-f0-9]+:    c4 e2 79 35 21          vpmovzxdq \(%ecx\),%xmm4
2557 [       ]*[a-f0-9]+:    c4 e2 79 35 21          vpmovzxdq \(%ecx\),%xmm4
2558 [       ]*[a-f0-9]+:    c5 f9 2e f4             vucomisd %xmm4,%xmm6
2559 [       ]*[a-f0-9]+:    c5 f9 2e 21             vucomisd \(%ecx\),%xmm4
2560 [       ]*[a-f0-9]+:    c5 f9 2e 21             vucomisd \(%ecx\),%xmm4
2561 [       ]*[a-f0-9]+:    c5 fb 10 21             vmovsd \(%ecx\),%xmm4
2562 [       ]*[a-f0-9]+:    c5 fb 10 21             vmovsd \(%ecx\),%xmm4
2563 [       ]*[a-f0-9]+:    c5 f9 13 21             vmovlpd %xmm4,\(%ecx\)
2564 [       ]*[a-f0-9]+:    c5 f9 13 21             vmovlpd %xmm4,\(%ecx\)
2565 [       ]*[a-f0-9]+:    c5 f8 13 21             vmovlps %xmm4,\(%ecx\)
2566 [       ]*[a-f0-9]+:    c5 f8 13 21             vmovlps %xmm4,\(%ecx\)
2567 [       ]*[a-f0-9]+:    c5 f9 17 21             vmovhpd %xmm4,\(%ecx\)
2568 [       ]*[a-f0-9]+:    c5 f9 17 21             vmovhpd %xmm4,\(%ecx\)
2569 [       ]*[a-f0-9]+:    c5 f8 17 21             vmovhps %xmm4,\(%ecx\)
2570 [       ]*[a-f0-9]+:    c5 f8 17 21             vmovhps %xmm4,\(%ecx\)
2571 [       ]*[a-f0-9]+:    c5 fb 11 21             vmovsd %xmm4,\(%ecx\)
2572 [       ]*[a-f0-9]+:    c5 fb 11 21             vmovsd %xmm4,\(%ecx\)
2573 [       ]*[a-f0-9]+:    c5 f9 d6 21             vmovq  %xmm4,\(%ecx\)
2574 [       ]*[a-f0-9]+:    c5 fa 7e 21             vmovq  \(%ecx\),%xmm4
2575 [       ]*[a-f0-9]+:    c5 f9 d6 21             vmovq  %xmm4,\(%ecx\)
2576 [       ]*[a-f0-9]+:    c5 fa 7e 21             vmovq  \(%ecx\),%xmm4
2577 [       ]*[a-f0-9]+:    c5 fb 2d cc             vcvtsd2si %xmm4,%ecx
2578 [       ]*[a-f0-9]+:    c5 fb 2d 09             vcvtsd2si \(%ecx\),%ecx
2579 [       ]*[a-f0-9]+:    c5 fb 2d 09             vcvtsd2si \(%ecx\),%ecx
2580 [       ]*[a-f0-9]+:    c5 fb 2c cc             vcvttsd2si %xmm4,%ecx
2581 [       ]*[a-f0-9]+:    c5 fb 2c 09             vcvttsd2si \(%ecx\),%ecx
2582 [       ]*[a-f0-9]+:    c5 fb 2c 09             vcvttsd2si \(%ecx\),%ecx
2583 [       ]*[a-f0-9]+:    c5 d9 12 31             vmovlpd \(%ecx\),%xmm4,%xmm6
2584 [       ]*[a-f0-9]+:    c5 d9 12 31             vmovlpd \(%ecx\),%xmm4,%xmm6
2585 [       ]*[a-f0-9]+:    c5 d8 12 31             vmovlps \(%ecx\),%xmm4,%xmm6
2586 [       ]*[a-f0-9]+:    c5 d8 12 31             vmovlps \(%ecx\),%xmm4,%xmm6
2587 [       ]*[a-f0-9]+:    c5 d9 16 31             vmovhpd \(%ecx\),%xmm4,%xmm6
2588 [       ]*[a-f0-9]+:    c5 d9 16 31             vmovhpd \(%ecx\),%xmm4,%xmm6
2589 [       ]*[a-f0-9]+:    c5 d8 16 31             vmovhps \(%ecx\),%xmm4,%xmm6
2590 [       ]*[a-f0-9]+:    c5 d8 16 31             vmovhps \(%ecx\),%xmm4,%xmm6
2591 [       ]*[a-f0-9]+:    c5 cb c2 d4 07          vcmpordsd %xmm4,%xmm6,%xmm2
2592 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%ecx\),%xmm6,%xmm2
2593 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%ecx\),%xmm6,%xmm2
2594 [       ]*[a-f0-9]+:    c4 e3 49 0b d4 07       vroundsd \$0x7,%xmm4,%xmm6,%xmm2
2595 [       ]*[a-f0-9]+:    c4 e3 49 0b 11 07       vroundsd \$0x7,\(%ecx\),%xmm6,%xmm2
2596 [       ]*[a-f0-9]+:    c4 e3 49 0b 11 07       vroundsd \$0x7,\(%ecx\),%xmm6,%xmm2
2597 [       ]*[a-f0-9]+:    c5 cb 58 d4             vaddsd %xmm4,%xmm6,%xmm2
2598 [       ]*[a-f0-9]+:    c5 cb 58 11             vaddsd \(%ecx\),%xmm6,%xmm2
2599 [       ]*[a-f0-9]+:    c5 cb 58 11             vaddsd \(%ecx\),%xmm6,%xmm2
2600 [       ]*[a-f0-9]+:    c5 cb 5a d4             vcvtsd2ss %xmm4,%xmm6,%xmm2
2601 [       ]*[a-f0-9]+:    c5 cb 5a 11             vcvtsd2ss \(%ecx\),%xmm6,%xmm2
2602 [       ]*[a-f0-9]+:    c5 cb 5a 11             vcvtsd2ss \(%ecx\),%xmm6,%xmm2
2603 [       ]*[a-f0-9]+:    c5 cb 5e d4             vdivsd %xmm4,%xmm6,%xmm2
2604 [       ]*[a-f0-9]+:    c5 cb 5e 11             vdivsd \(%ecx\),%xmm6,%xmm2
2605 [       ]*[a-f0-9]+:    c5 cb 5e 11             vdivsd \(%ecx\),%xmm6,%xmm2
2606 [       ]*[a-f0-9]+:    c5 cb 5f d4             vmaxsd %xmm4,%xmm6,%xmm2
2607 [       ]*[a-f0-9]+:    c5 cb 5f 11             vmaxsd \(%ecx\),%xmm6,%xmm2
2608 [       ]*[a-f0-9]+:    c5 cb 5f 11             vmaxsd \(%ecx\),%xmm6,%xmm2
2609 [       ]*[a-f0-9]+:    c5 cb 5d d4             vminsd %xmm4,%xmm6,%xmm2
2610 [       ]*[a-f0-9]+:    c5 cb 5d 11             vminsd \(%ecx\),%xmm6,%xmm2
2611 [       ]*[a-f0-9]+:    c5 cb 5d 11             vminsd \(%ecx\),%xmm6,%xmm2
2612 [       ]*[a-f0-9]+:    c5 cb 59 d4             vmulsd %xmm4,%xmm6,%xmm2
2613 [       ]*[a-f0-9]+:    c5 cb 59 11             vmulsd \(%ecx\),%xmm6,%xmm2
2614 [       ]*[a-f0-9]+:    c5 cb 59 11             vmulsd \(%ecx\),%xmm6,%xmm2
2615 [       ]*[a-f0-9]+:    c5 cb 51 d4             vsqrtsd %xmm4,%xmm6,%xmm2
2616 [       ]*[a-f0-9]+:    c5 cb 51 11             vsqrtsd \(%ecx\),%xmm6,%xmm2
2617 [       ]*[a-f0-9]+:    c5 cb 51 11             vsqrtsd \(%ecx\),%xmm6,%xmm2
2618 [       ]*[a-f0-9]+:    c5 cb 5c d4             vsubsd %xmm4,%xmm6,%xmm2
2619 [       ]*[a-f0-9]+:    c5 cb 5c 11             vsubsd \(%ecx\),%xmm6,%xmm2
2620 [       ]*[a-f0-9]+:    c5 cb 5c 11             vsubsd \(%ecx\),%xmm6,%xmm2
2621 [       ]*[a-f0-9]+:    c5 cb c2 d4 00          vcmpeqsd %xmm4,%xmm6,%xmm2
2622 [       ]*[a-f0-9]+:    c5 cb c2 11 00          vcmpeqsd \(%ecx\),%xmm6,%xmm2
2623 [       ]*[a-f0-9]+:    c5 cb c2 11 00          vcmpeqsd \(%ecx\),%xmm6,%xmm2
2624 [       ]*[a-f0-9]+:    c5 cb c2 d4 01          vcmpltsd %xmm4,%xmm6,%xmm2
2625 [       ]*[a-f0-9]+:    c5 cb c2 11 01          vcmpltsd \(%ecx\),%xmm6,%xmm2
2626 [       ]*[a-f0-9]+:    c5 cb c2 11 01          vcmpltsd \(%ecx\),%xmm6,%xmm2
2627 [       ]*[a-f0-9]+:    c5 cb c2 d4 02          vcmplesd %xmm4,%xmm6,%xmm2
2628 [       ]*[a-f0-9]+:    c5 cb c2 11 02          vcmplesd \(%ecx\),%xmm6,%xmm2
2629 [       ]*[a-f0-9]+:    c5 cb c2 11 02          vcmplesd \(%ecx\),%xmm6,%xmm2
2630 [       ]*[a-f0-9]+:    c5 cb c2 d4 03          vcmpunordsd %xmm4,%xmm6,%xmm2
2631 [       ]*[a-f0-9]+:    c5 cb c2 11 03          vcmpunordsd \(%ecx\),%xmm6,%xmm2
2632 [       ]*[a-f0-9]+:    c5 cb c2 11 03          vcmpunordsd \(%ecx\),%xmm6,%xmm2
2633 [       ]*[a-f0-9]+:    c5 cb c2 d4 04          vcmpneqsd %xmm4,%xmm6,%xmm2
2634 [       ]*[a-f0-9]+:    c5 cb c2 11 04          vcmpneqsd \(%ecx\),%xmm6,%xmm2
2635 [       ]*[a-f0-9]+:    c5 cb c2 11 04          vcmpneqsd \(%ecx\),%xmm6,%xmm2
2636 [       ]*[a-f0-9]+:    c5 cb c2 d4 05          vcmpnltsd %xmm4,%xmm6,%xmm2
2637 [       ]*[a-f0-9]+:    c5 cb c2 11 05          vcmpnltsd \(%ecx\),%xmm6,%xmm2
2638 [       ]*[a-f0-9]+:    c5 cb c2 11 05          vcmpnltsd \(%ecx\),%xmm6,%xmm2
2639 [       ]*[a-f0-9]+:    c5 cb c2 d4 06          vcmpnlesd %xmm4,%xmm6,%xmm2
2640 [       ]*[a-f0-9]+:    c5 cb c2 11 06          vcmpnlesd \(%ecx\),%xmm6,%xmm2
2641 [       ]*[a-f0-9]+:    c5 cb c2 11 06          vcmpnlesd \(%ecx\),%xmm6,%xmm2
2642 [       ]*[a-f0-9]+:    c5 cb c2 d4 07          vcmpordsd %xmm4,%xmm6,%xmm2
2643 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%ecx\),%xmm6,%xmm2
2644 [       ]*[a-f0-9]+:    c5 cb c2 11 07          vcmpordsd \(%ecx\),%xmm6,%xmm2
2645 [       ]*[a-f0-9]+:    c5 cb c2 d4 08          vcmpeq_uqsd %xmm4,%xmm6,%xmm2
2646 [       ]*[a-f0-9]+:    c5 cb c2 11 08          vcmpeq_uqsd \(%ecx\),%xmm6,%xmm2
2647 [       ]*[a-f0-9]+:    c5 cb c2 11 08          vcmpeq_uqsd \(%ecx\),%xmm6,%xmm2
2648 [       ]*[a-f0-9]+:    c5 cb c2 d4 09          vcmpngesd %xmm4,%xmm6,%xmm2
2649 [       ]*[a-f0-9]+:    c5 cb c2 11 09          vcmpngesd \(%ecx\),%xmm6,%xmm2
2650 [       ]*[a-f0-9]+:    c5 cb c2 11 09          vcmpngesd \(%ecx\),%xmm6,%xmm2
2651 [       ]*[a-f0-9]+:    c5 cb c2 d4 0a          vcmpngtsd %xmm4,%xmm6,%xmm2
2652 [       ]*[a-f0-9]+:    c5 cb c2 11 0a          vcmpngtsd \(%ecx\),%xmm6,%xmm2
2653 [       ]*[a-f0-9]+:    c5 cb c2 11 0a          vcmpngtsd \(%ecx\),%xmm6,%xmm2
2654 [       ]*[a-f0-9]+:    c5 cb c2 d4 0b          vcmpfalsesd %xmm4,%xmm6,%xmm2
2655 [       ]*[a-f0-9]+:    c5 cb c2 11 0b          vcmpfalsesd \(%ecx\),%xmm6,%xmm2
2656 [       ]*[a-f0-9]+:    c5 cb c2 11 0b          vcmpfalsesd \(%ecx\),%xmm6,%xmm2
2657 [       ]*[a-f0-9]+:    c5 cb c2 d4 0c          vcmpneq_oqsd %xmm4,%xmm6,%xmm2
2658 [       ]*[a-f0-9]+:    c5 cb c2 11 0c          vcmpneq_oqsd \(%ecx\),%xmm6,%xmm2
2659 [       ]*[a-f0-9]+:    c5 cb c2 11 0c          vcmpneq_oqsd \(%ecx\),%xmm6,%xmm2
2660 [       ]*[a-f0-9]+:    c5 cb c2 d4 0d          vcmpgesd %xmm4,%xmm6,%xmm2
2661 [       ]*[a-f0-9]+:    c5 cb c2 11 0d          vcmpgesd \(%ecx\),%xmm6,%xmm2
2662 [       ]*[a-f0-9]+:    c5 cb c2 11 0d          vcmpgesd \(%ecx\),%xmm6,%xmm2
2663 [       ]*[a-f0-9]+:    c5 cb c2 d4 0e          vcmpgtsd %xmm4,%xmm6,%xmm2
2664 [       ]*[a-f0-9]+:    c5 cb c2 11 0e          vcmpgtsd \(%ecx\),%xmm6,%xmm2
2665 [       ]*[a-f0-9]+:    c5 cb c2 11 0e          vcmpgtsd \(%ecx\),%xmm6,%xmm2
2666 [       ]*[a-f0-9]+:    c5 cb c2 d4 0f          vcmptruesd %xmm4,%xmm6,%xmm2
2667 [       ]*[a-f0-9]+:    c5 cb c2 11 0f          vcmptruesd \(%ecx\),%xmm6,%xmm2
2668 [       ]*[a-f0-9]+:    c5 cb c2 11 0f          vcmptruesd \(%ecx\),%xmm6,%xmm2
2669 [       ]*[a-f0-9]+:    c5 cb c2 d4 10          vcmpeq_ossd %xmm4,%xmm6,%xmm2
2670 [       ]*[a-f0-9]+:    c5 cb c2 11 10          vcmpeq_ossd \(%ecx\),%xmm6,%xmm2
2671 [       ]*[a-f0-9]+:    c5 cb c2 11 10          vcmpeq_ossd \(%ecx\),%xmm6,%xmm2
2672 [       ]*[a-f0-9]+:    c5 cb c2 d4 11          vcmplt_oqsd %xmm4,%xmm6,%xmm2
2673 [       ]*[a-f0-9]+:    c5 cb c2 11 11          vcmplt_oqsd \(%ecx\),%xmm6,%xmm2
2674 [       ]*[a-f0-9]+:    c5 cb c2 11 11          vcmplt_oqsd \(%ecx\),%xmm6,%xmm2
2675 [       ]*[a-f0-9]+:    c5 cb c2 d4 12          vcmple_oqsd %xmm4,%xmm6,%xmm2
2676 [       ]*[a-f0-9]+:    c5 cb c2 11 12          vcmple_oqsd \(%ecx\),%xmm6,%xmm2
2677 [       ]*[a-f0-9]+:    c5 cb c2 11 12          vcmple_oqsd \(%ecx\),%xmm6,%xmm2
2678 [       ]*[a-f0-9]+:    c5 cb c2 d4 13          vcmpunord_ssd %xmm4,%xmm6,%xmm2
2679 [       ]*[a-f0-9]+:    c5 cb c2 11 13          vcmpunord_ssd \(%ecx\),%xmm6,%xmm2
2680 [       ]*[a-f0-9]+:    c5 cb c2 11 13          vcmpunord_ssd \(%ecx\),%xmm6,%xmm2
2681 [       ]*[a-f0-9]+:    c5 cb c2 d4 14          vcmpneq_ussd %xmm4,%xmm6,%xmm2
2682 [       ]*[a-f0-9]+:    c5 cb c2 11 14          vcmpneq_ussd \(%ecx\),%xmm6,%xmm2
2683 [       ]*[a-f0-9]+:    c5 cb c2 11 14          vcmpneq_ussd \(%ecx\),%xmm6,%xmm2
2684 [       ]*[a-f0-9]+:    c5 cb c2 d4 15          vcmpnlt_uqsd %xmm4,%xmm6,%xmm2
2685 [       ]*[a-f0-9]+:    c5 cb c2 11 15          vcmpnlt_uqsd \(%ecx\),%xmm6,%xmm2
2686 [       ]*[a-f0-9]+:    c5 cb c2 11 15          vcmpnlt_uqsd \(%ecx\),%xmm6,%xmm2
2687 [       ]*[a-f0-9]+:    c5 cb c2 d4 16          vcmpnle_uqsd %xmm4,%xmm6,%xmm2
2688 [       ]*[a-f0-9]+:    c5 cb c2 11 16          vcmpnle_uqsd \(%ecx\),%xmm6,%xmm2
2689 [       ]*[a-f0-9]+:    c5 cb c2 11 16          vcmpnle_uqsd \(%ecx\),%xmm6,%xmm2
2690 [       ]*[a-f0-9]+:    c5 cb c2 d4 17          vcmpord_ssd %xmm4,%xmm6,%xmm2
2691 [       ]*[a-f0-9]+:    c5 cb c2 11 17          vcmpord_ssd \(%ecx\),%xmm6,%xmm2
2692 [       ]*[a-f0-9]+:    c5 cb c2 11 17          vcmpord_ssd \(%ecx\),%xmm6,%xmm2
2693 [       ]*[a-f0-9]+:    c5 cb c2 d4 18          vcmpeq_ussd %xmm4,%xmm6,%xmm2
2694 [       ]*[a-f0-9]+:    c5 cb c2 11 18          vcmpeq_ussd \(%ecx\),%xmm6,%xmm2
2695 [       ]*[a-f0-9]+:    c5 cb c2 11 18          vcmpeq_ussd \(%ecx\),%xmm6,%xmm2
2696 [       ]*[a-f0-9]+:    c5 cb c2 d4 19          vcmpnge_uqsd %xmm4,%xmm6,%xmm2
2697 [       ]*[a-f0-9]+:    c5 cb c2 11 19          vcmpnge_uqsd \(%ecx\),%xmm6,%xmm2
2698 [       ]*[a-f0-9]+:    c5 cb c2 11 19          vcmpnge_uqsd \(%ecx\),%xmm6,%xmm2
2699 [       ]*[a-f0-9]+:    c5 cb c2 d4 1a          vcmpngt_uqsd %xmm4,%xmm6,%xmm2
2700 [       ]*[a-f0-9]+:    c5 cb c2 11 1a          vcmpngt_uqsd \(%ecx\),%xmm6,%xmm2
2701 [       ]*[a-f0-9]+:    c5 cb c2 11 1a          vcmpngt_uqsd \(%ecx\),%xmm6,%xmm2
2702 [       ]*[a-f0-9]+:    c5 cb c2 d4 1b          vcmpfalse_ossd %xmm4,%xmm6,%xmm2
2703 [       ]*[a-f0-9]+:    c5 cb c2 11 1b          vcmpfalse_ossd \(%ecx\),%xmm6,%xmm2
2704 [       ]*[a-f0-9]+:    c5 cb c2 11 1b          vcmpfalse_ossd \(%ecx\),%xmm6,%xmm2
2705 [       ]*[a-f0-9]+:    c5 cb c2 d4 1c          vcmpneq_ossd %xmm4,%xmm6,%xmm2
2706 [       ]*[a-f0-9]+:    c5 cb c2 11 1c          vcmpneq_ossd \(%ecx\),%xmm6,%xmm2
2707 [       ]*[a-f0-9]+:    c5 cb c2 11 1c          vcmpneq_ossd \(%ecx\),%xmm6,%xmm2
2708 [       ]*[a-f0-9]+:    c5 cb c2 d4 1d          vcmpge_oqsd %xmm4,%xmm6,%xmm2
2709 [       ]*[a-f0-9]+:    c5 cb c2 11 1d          vcmpge_oqsd \(%ecx\),%xmm6,%xmm2
2710 [       ]*[a-f0-9]+:    c5 cb c2 11 1d          vcmpge_oqsd \(%ecx\),%xmm6,%xmm2
2711 [       ]*[a-f0-9]+:    c5 cb c2 d4 1e          vcmpgt_oqsd %xmm4,%xmm6,%xmm2
2712 [       ]*[a-f0-9]+:    c5 cb c2 11 1e          vcmpgt_oqsd \(%ecx\),%xmm6,%xmm2
2713 [       ]*[a-f0-9]+:    c5 cb c2 11 1e          vcmpgt_oqsd \(%ecx\),%xmm6,%xmm2
2714 [       ]*[a-f0-9]+:    c5 cb c2 d4 1f          vcmptrue_ussd %xmm4,%xmm6,%xmm2
2715 [       ]*[a-f0-9]+:    c5 cb c2 11 1f          vcmptrue_ussd \(%ecx\),%xmm6,%xmm2
2716 [       ]*[a-f0-9]+:    c5 cb c2 11 1f          vcmptrue_ussd \(%ecx\),%xmm6,%xmm2
2717 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%ecx\)
2718 [       ]*[a-f0-9]+:    c5 f8 ae 11             vldmxcsr \(%ecx\)
2719 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%ecx\)
2720 [       ]*[a-f0-9]+:    c5 f8 ae 19             vstmxcsr \(%ecx\)
2721 [       ]*[a-f0-9]+:    c5 ca 58 d4             vaddss %xmm4,%xmm6,%xmm2
2722 [       ]*[a-f0-9]+:    c5 ca 58 11             vaddss \(%ecx\),%xmm6,%xmm2
2723 [       ]*[a-f0-9]+:    c5 ca 58 11             vaddss \(%ecx\),%xmm6,%xmm2
2724 [       ]*[a-f0-9]+:    c5 ca 5a d4             vcvtss2sd %xmm4,%xmm6,%xmm2
2725 [       ]*[a-f0-9]+:    c5 ca 5a 11             vcvtss2sd \(%ecx\),%xmm6,%xmm2
2726 [       ]*[a-f0-9]+:    c5 ca 5a 11             vcvtss2sd \(%ecx\),%xmm6,%xmm2
2727 [       ]*[a-f0-9]+:    c5 ca 5e d4             vdivss %xmm4,%xmm6,%xmm2
2728 [       ]*[a-f0-9]+:    c5 ca 5e 11             vdivss \(%ecx\),%xmm6,%xmm2
2729 [       ]*[a-f0-9]+:    c5 ca 5e 11             vdivss \(%ecx\),%xmm6,%xmm2
2730 [       ]*[a-f0-9]+:    c5 ca 5f d4             vmaxss %xmm4,%xmm6,%xmm2
2731 [       ]*[a-f0-9]+:    c5 ca 5f 11             vmaxss \(%ecx\),%xmm6,%xmm2
2732 [       ]*[a-f0-9]+:    c5 ca 5f 11             vmaxss \(%ecx\),%xmm6,%xmm2
2733 [       ]*[a-f0-9]+:    c5 ca 5d d4             vminss %xmm4,%xmm6,%xmm2
2734 [       ]*[a-f0-9]+:    c5 ca 5d 11             vminss \(%ecx\),%xmm6,%xmm2
2735 [       ]*[a-f0-9]+:    c5 ca 5d 11             vminss \(%ecx\),%xmm6,%xmm2
2736 [       ]*[a-f0-9]+:    c5 ca 59 d4             vmulss %xmm4,%xmm6,%xmm2
2737 [       ]*[a-f0-9]+:    c5 ca 59 11             vmulss \(%ecx\),%xmm6,%xmm2
2738 [       ]*[a-f0-9]+:    c5 ca 59 11             vmulss \(%ecx\),%xmm6,%xmm2
2739 [       ]*[a-f0-9]+:    c5 ca 53 d4             vrcpss %xmm4,%xmm6,%xmm2
2740 [       ]*[a-f0-9]+:    c5 ca 53 11             vrcpss \(%ecx\),%xmm6,%xmm2
2741 [       ]*[a-f0-9]+:    c5 ca 53 11             vrcpss \(%ecx\),%xmm6,%xmm2
2742 [       ]*[a-f0-9]+:    c5 ca 52 d4             vrsqrtss %xmm4,%xmm6,%xmm2
2743 [       ]*[a-f0-9]+:    c5 ca 52 11             vrsqrtss \(%ecx\),%xmm6,%xmm2
2744 [       ]*[a-f0-9]+:    c5 ca 52 11             vrsqrtss \(%ecx\),%xmm6,%xmm2
2745 [       ]*[a-f0-9]+:    c5 ca 51 d4             vsqrtss %xmm4,%xmm6,%xmm2
2746 [       ]*[a-f0-9]+:    c5 ca 51 11             vsqrtss \(%ecx\),%xmm6,%xmm2
2747 [       ]*[a-f0-9]+:    c5 ca 51 11             vsqrtss \(%ecx\),%xmm6,%xmm2
2748 [       ]*[a-f0-9]+:    c5 ca 5c d4             vsubss %xmm4,%xmm6,%xmm2
2749 [       ]*[a-f0-9]+:    c5 ca 5c 11             vsubss \(%ecx\),%xmm6,%xmm2
2750 [       ]*[a-f0-9]+:    c5 ca 5c 11             vsubss \(%ecx\),%xmm6,%xmm2
2751 [       ]*[a-f0-9]+:    c5 ca c2 d4 00          vcmpeqss %xmm4,%xmm6,%xmm2
2752 [       ]*[a-f0-9]+:    c5 ca c2 11 00          vcmpeqss \(%ecx\),%xmm6,%xmm2
2753 [       ]*[a-f0-9]+:    c5 ca c2 11 00          vcmpeqss \(%ecx\),%xmm6,%xmm2
2754 [       ]*[a-f0-9]+:    c5 ca c2 d4 01          vcmpltss %xmm4,%xmm6,%xmm2
2755 [       ]*[a-f0-9]+:    c5 ca c2 11 01          vcmpltss \(%ecx\),%xmm6,%xmm2
2756 [       ]*[a-f0-9]+:    c5 ca c2 11 01          vcmpltss \(%ecx\),%xmm6,%xmm2
2757 [       ]*[a-f0-9]+:    c5 ca c2 d4 02          vcmpless %xmm4,%xmm6,%xmm2
2758 [       ]*[a-f0-9]+:    c5 ca c2 11 02          vcmpless \(%ecx\),%xmm6,%xmm2
2759 [       ]*[a-f0-9]+:    c5 ca c2 11 02          vcmpless \(%ecx\),%xmm6,%xmm2
2760 [       ]*[a-f0-9]+:    c5 ca c2 d4 03          vcmpunordss %xmm4,%xmm6,%xmm2
2761 [       ]*[a-f0-9]+:    c5 ca c2 11 03          vcmpunordss \(%ecx\),%xmm6,%xmm2
2762 [       ]*[a-f0-9]+:    c5 ca c2 11 03          vcmpunordss \(%ecx\),%xmm6,%xmm2
2763 [       ]*[a-f0-9]+:    c5 ca c2 d4 04          vcmpneqss %xmm4,%xmm6,%xmm2
2764 [       ]*[a-f0-9]+:    c5 ca c2 11 04          vcmpneqss \(%ecx\),%xmm6,%xmm2
2765 [       ]*[a-f0-9]+:    c5 ca c2 11 04          vcmpneqss \(%ecx\),%xmm6,%xmm2
2766 [       ]*[a-f0-9]+:    c5 ca c2 d4 05          vcmpnltss %xmm4,%xmm6,%xmm2
2767 [       ]*[a-f0-9]+:    c5 ca c2 11 05          vcmpnltss \(%ecx\),%xmm6,%xmm2
2768 [       ]*[a-f0-9]+:    c5 ca c2 11 05          vcmpnltss \(%ecx\),%xmm6,%xmm2
2769 [       ]*[a-f0-9]+:    c5 ca c2 d4 06          vcmpnless %xmm4,%xmm6,%xmm2
2770 [       ]*[a-f0-9]+:    c5 ca c2 11 06          vcmpnless \(%ecx\),%xmm6,%xmm2
2771 [       ]*[a-f0-9]+:    c5 ca c2 11 06          vcmpnless \(%ecx\),%xmm6,%xmm2
2772 [       ]*[a-f0-9]+:    c5 ca c2 d4 07          vcmpordss %xmm4,%xmm6,%xmm2
2773 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%ecx\),%xmm6,%xmm2
2774 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%ecx\),%xmm6,%xmm2
2775 [       ]*[a-f0-9]+:    c5 ca c2 d4 08          vcmpeq_uqss %xmm4,%xmm6,%xmm2
2776 [       ]*[a-f0-9]+:    c5 ca c2 11 08          vcmpeq_uqss \(%ecx\),%xmm6,%xmm2
2777 [       ]*[a-f0-9]+:    c5 ca c2 11 08          vcmpeq_uqss \(%ecx\),%xmm6,%xmm2
2778 [       ]*[a-f0-9]+:    c5 ca c2 d4 09          vcmpngess %xmm4,%xmm6,%xmm2
2779 [       ]*[a-f0-9]+:    c5 ca c2 11 09          vcmpngess \(%ecx\),%xmm6,%xmm2
2780 [       ]*[a-f0-9]+:    c5 ca c2 11 09          vcmpngess \(%ecx\),%xmm6,%xmm2
2781 [       ]*[a-f0-9]+:    c5 ca c2 d4 0a          vcmpngtss %xmm4,%xmm6,%xmm2
2782 [       ]*[a-f0-9]+:    c5 ca c2 11 0a          vcmpngtss \(%ecx\),%xmm6,%xmm2
2783 [       ]*[a-f0-9]+:    c5 ca c2 11 0a          vcmpngtss \(%ecx\),%xmm6,%xmm2
2784 [       ]*[a-f0-9]+:    c5 ca c2 d4 0b          vcmpfalsess %xmm4,%xmm6,%xmm2
2785 [       ]*[a-f0-9]+:    c5 ca c2 11 0b          vcmpfalsess \(%ecx\),%xmm6,%xmm2
2786 [       ]*[a-f0-9]+:    c5 ca c2 11 0b          vcmpfalsess \(%ecx\),%xmm6,%xmm2
2787 [       ]*[a-f0-9]+:    c5 ca c2 d4 0c          vcmpneq_oqss %xmm4,%xmm6,%xmm2
2788 [       ]*[a-f0-9]+:    c5 ca c2 11 0c          vcmpneq_oqss \(%ecx\),%xmm6,%xmm2
2789 [       ]*[a-f0-9]+:    c5 ca c2 11 0c          vcmpneq_oqss \(%ecx\),%xmm6,%xmm2
2790 [       ]*[a-f0-9]+:    c5 ca c2 d4 0d          vcmpgess %xmm4,%xmm6,%xmm2
2791 [       ]*[a-f0-9]+:    c5 ca c2 11 0d          vcmpgess \(%ecx\),%xmm6,%xmm2
2792 [       ]*[a-f0-9]+:    c5 ca c2 11 0d          vcmpgess \(%ecx\),%xmm6,%xmm2
2793 [       ]*[a-f0-9]+:    c5 ca c2 d4 0e          vcmpgtss %xmm4,%xmm6,%xmm2
2794 [       ]*[a-f0-9]+:    c5 ca c2 11 0e          vcmpgtss \(%ecx\),%xmm6,%xmm2
2795 [       ]*[a-f0-9]+:    c5 ca c2 11 0e          vcmpgtss \(%ecx\),%xmm6,%xmm2
2796 [       ]*[a-f0-9]+:    c5 ca c2 d4 0f          vcmptruess %xmm4,%xmm6,%xmm2
2797 [       ]*[a-f0-9]+:    c5 ca c2 11 0f          vcmptruess \(%ecx\),%xmm6,%xmm2
2798 [       ]*[a-f0-9]+:    c5 ca c2 11 0f          vcmptruess \(%ecx\),%xmm6,%xmm2
2799 [       ]*[a-f0-9]+:    c5 ca c2 d4 10          vcmpeq_osss %xmm4,%xmm6,%xmm2
2800 [       ]*[a-f0-9]+:    c5 ca c2 11 10          vcmpeq_osss \(%ecx\),%xmm6,%xmm2
2801 [       ]*[a-f0-9]+:    c5 ca c2 11 10          vcmpeq_osss \(%ecx\),%xmm6,%xmm2
2802 [       ]*[a-f0-9]+:    c5 ca c2 d4 11          vcmplt_oqss %xmm4,%xmm6,%xmm2
2803 [       ]*[a-f0-9]+:    c5 ca c2 11 11          vcmplt_oqss \(%ecx\),%xmm6,%xmm2
2804 [       ]*[a-f0-9]+:    c5 ca c2 11 11          vcmplt_oqss \(%ecx\),%xmm6,%xmm2
2805 [       ]*[a-f0-9]+:    c5 ca c2 d4 12          vcmple_oqss %xmm4,%xmm6,%xmm2
2806 [       ]*[a-f0-9]+:    c5 ca c2 11 12          vcmple_oqss \(%ecx\),%xmm6,%xmm2
2807 [       ]*[a-f0-9]+:    c5 ca c2 11 12          vcmple_oqss \(%ecx\),%xmm6,%xmm2
2808 [       ]*[a-f0-9]+:    c5 ca c2 d4 13          vcmpunord_sss %xmm4,%xmm6,%xmm2
2809 [       ]*[a-f0-9]+:    c5 ca c2 11 13          vcmpunord_sss \(%ecx\),%xmm6,%xmm2
2810 [       ]*[a-f0-9]+:    c5 ca c2 11 13          vcmpunord_sss \(%ecx\),%xmm6,%xmm2
2811 [       ]*[a-f0-9]+:    c5 ca c2 d4 14          vcmpneq_usss %xmm4,%xmm6,%xmm2
2812 [       ]*[a-f0-9]+:    c5 ca c2 11 14          vcmpneq_usss \(%ecx\),%xmm6,%xmm2
2813 [       ]*[a-f0-9]+:    c5 ca c2 11 14          vcmpneq_usss \(%ecx\),%xmm6,%xmm2
2814 [       ]*[a-f0-9]+:    c5 ca c2 d4 15          vcmpnlt_uqss %xmm4,%xmm6,%xmm2
2815 [       ]*[a-f0-9]+:    c5 ca c2 11 15          vcmpnlt_uqss \(%ecx\),%xmm6,%xmm2
2816 [       ]*[a-f0-9]+:    c5 ca c2 11 15          vcmpnlt_uqss \(%ecx\),%xmm6,%xmm2
2817 [       ]*[a-f0-9]+:    c5 ca c2 d4 16          vcmpnle_uqss %xmm4,%xmm6,%xmm2
2818 [       ]*[a-f0-9]+:    c5 ca c2 11 16          vcmpnle_uqss \(%ecx\),%xmm6,%xmm2
2819 [       ]*[a-f0-9]+:    c5 ca c2 11 16          vcmpnle_uqss \(%ecx\),%xmm6,%xmm2
2820 [       ]*[a-f0-9]+:    c5 ca c2 d4 17          vcmpord_sss %xmm4,%xmm6,%xmm2
2821 [       ]*[a-f0-9]+:    c5 ca c2 11 17          vcmpord_sss \(%ecx\),%xmm6,%xmm2
2822 [       ]*[a-f0-9]+:    c5 ca c2 11 17          vcmpord_sss \(%ecx\),%xmm6,%xmm2
2823 [       ]*[a-f0-9]+:    c5 ca c2 d4 18          vcmpeq_usss %xmm4,%xmm6,%xmm2
2824 [       ]*[a-f0-9]+:    c5 ca c2 11 18          vcmpeq_usss \(%ecx\),%xmm6,%xmm2
2825 [       ]*[a-f0-9]+:    c5 ca c2 11 18          vcmpeq_usss \(%ecx\),%xmm6,%xmm2
2826 [       ]*[a-f0-9]+:    c5 ca c2 d4 19          vcmpnge_uqss %xmm4,%xmm6,%xmm2
2827 [       ]*[a-f0-9]+:    c5 ca c2 11 19          vcmpnge_uqss \(%ecx\),%xmm6,%xmm2
2828 [       ]*[a-f0-9]+:    c5 ca c2 11 19          vcmpnge_uqss \(%ecx\),%xmm6,%xmm2
2829 [       ]*[a-f0-9]+:    c5 ca c2 d4 1a          vcmpngt_uqss %xmm4,%xmm6,%xmm2
2830 [       ]*[a-f0-9]+:    c5 ca c2 11 1a          vcmpngt_uqss \(%ecx\),%xmm6,%xmm2
2831 [       ]*[a-f0-9]+:    c5 ca c2 11 1a          vcmpngt_uqss \(%ecx\),%xmm6,%xmm2
2832 [       ]*[a-f0-9]+:    c5 ca c2 d4 1b          vcmpfalse_osss %xmm4,%xmm6,%xmm2
2833 [       ]*[a-f0-9]+:    c5 ca c2 11 1b          vcmpfalse_osss \(%ecx\),%xmm6,%xmm2
2834 [       ]*[a-f0-9]+:    c5 ca c2 11 1b          vcmpfalse_osss \(%ecx\),%xmm6,%xmm2
2835 [       ]*[a-f0-9]+:    c5 ca c2 d4 1c          vcmpneq_osss %xmm4,%xmm6,%xmm2
2836 [       ]*[a-f0-9]+:    c5 ca c2 11 1c          vcmpneq_osss \(%ecx\),%xmm6,%xmm2
2837 [       ]*[a-f0-9]+:    c5 ca c2 11 1c          vcmpneq_osss \(%ecx\),%xmm6,%xmm2
2838 [       ]*[a-f0-9]+:    c5 ca c2 d4 1d          vcmpge_oqss %xmm4,%xmm6,%xmm2
2839 [       ]*[a-f0-9]+:    c5 ca c2 11 1d          vcmpge_oqss \(%ecx\),%xmm6,%xmm2
2840 [       ]*[a-f0-9]+:    c5 ca c2 11 1d          vcmpge_oqss \(%ecx\),%xmm6,%xmm2
2841 [       ]*[a-f0-9]+:    c5 ca c2 d4 1e          vcmpgt_oqss %xmm4,%xmm6,%xmm2
2842 [       ]*[a-f0-9]+:    c5 ca c2 11 1e          vcmpgt_oqss \(%ecx\),%xmm6,%xmm2
2843 [       ]*[a-f0-9]+:    c5 ca c2 11 1e          vcmpgt_oqss \(%ecx\),%xmm6,%xmm2
2844 [       ]*[a-f0-9]+:    c5 ca c2 d4 1f          vcmptrue_usss %xmm4,%xmm6,%xmm2
2845 [       ]*[a-f0-9]+:    c5 ca c2 11 1f          vcmptrue_usss \(%ecx\),%xmm6,%xmm2
2846 [       ]*[a-f0-9]+:    c5 ca c2 11 1f          vcmptrue_usss \(%ecx\),%xmm6,%xmm2
2847 [       ]*[a-f0-9]+:    c4 e2 7d 18 21          vbroadcastss \(%ecx\),%ymm4
2848 [       ]*[a-f0-9]+:    c4 e2 7d 18 21          vbroadcastss \(%ecx\),%ymm4
2849 [       ]*[a-f0-9]+:    c5 f8 2f f4             vcomiss %xmm4,%xmm6
2850 [       ]*[a-f0-9]+:    c5 f8 2f 21             vcomiss \(%ecx\),%xmm4
2851 [       ]*[a-f0-9]+:    c5 f8 2f 21             vcomiss \(%ecx\),%xmm4
2852 [       ]*[a-f0-9]+:    c4 e2 79 21 f4          vpmovsxbd %xmm4,%xmm6
2853 [       ]*[a-f0-9]+:    c4 e2 79 21 21          vpmovsxbd \(%ecx\),%xmm4
2854 [       ]*[a-f0-9]+:    c4 e2 79 21 21          vpmovsxbd \(%ecx\),%xmm4
2855 [       ]*[a-f0-9]+:    c4 e2 79 24 f4          vpmovsxwq %xmm4,%xmm6
2856 [       ]*[a-f0-9]+:    c4 e2 79 24 21          vpmovsxwq \(%ecx\),%xmm4
2857 [       ]*[a-f0-9]+:    c4 e2 79 24 21          vpmovsxwq \(%ecx\),%xmm4
2858 [       ]*[a-f0-9]+:    c4 e2 79 31 f4          vpmovzxbd %xmm4,%xmm6
2859 [       ]*[a-f0-9]+:    c4 e2 79 31 21          vpmovzxbd \(%ecx\),%xmm4
2860 [       ]*[a-f0-9]+:    c4 e2 79 31 21          vpmovzxbd \(%ecx\),%xmm4
2861 [       ]*[a-f0-9]+:    c4 e2 79 34 f4          vpmovzxwq %xmm4,%xmm6
2862 [       ]*[a-f0-9]+:    c4 e2 79 34 21          vpmovzxwq \(%ecx\),%xmm4
2863 [       ]*[a-f0-9]+:    c4 e2 79 34 21          vpmovzxwq \(%ecx\),%xmm4
2864 [       ]*[a-f0-9]+:    c5 f8 2e f4             vucomiss %xmm4,%xmm6
2865 [       ]*[a-f0-9]+:    c5 f8 2e 21             vucomiss \(%ecx\),%xmm4
2866 [       ]*[a-f0-9]+:    c5 f8 2e 21             vucomiss \(%ecx\),%xmm4
2867 [       ]*[a-f0-9]+:    c4 e2 79 18 21          vbroadcastss \(%ecx\),%xmm4
2868 [       ]*[a-f0-9]+:    c4 e2 79 18 21          vbroadcastss \(%ecx\),%xmm4
2869 [       ]*[a-f0-9]+:    c5 fa 10 21             vmovss \(%ecx\),%xmm4
2870 [       ]*[a-f0-9]+:    c5 fa 10 21             vmovss \(%ecx\),%xmm4
2871 [       ]*[a-f0-9]+:    c5 fa 11 21             vmovss %xmm4,\(%ecx\)
2872 [       ]*[a-f0-9]+:    c5 fa 11 21             vmovss %xmm4,\(%ecx\)
2873 [       ]*[a-f0-9]+:    c5 f9 7e e1             vmovd  %xmm4,%ecx
2874 [       ]*[a-f0-9]+:    c5 f9 7e 21             vmovd  %xmm4,\(%ecx\)
2875 [       ]*[a-f0-9]+:    c5 f9 6e e1             vmovd  %ecx,%xmm4
2876 [       ]*[a-f0-9]+:    c5 f9 6e 21             vmovd  \(%ecx\),%xmm4
2877 [       ]*[a-f0-9]+:    c5 f9 7e 21             vmovd  %xmm4,\(%ecx\)
2878 [       ]*[a-f0-9]+:    c5 f9 6e 21             vmovd  \(%ecx\),%xmm4
2879 [       ]*[a-f0-9]+:    c5 fa 2d cc             vcvtss2si %xmm4,%ecx
2880 [       ]*[a-f0-9]+:    c5 fa 2d 09             vcvtss2si \(%ecx\),%ecx
2881 [       ]*[a-f0-9]+:    c5 fa 2d 09             vcvtss2si \(%ecx\),%ecx
2882 [       ]*[a-f0-9]+:    c5 fa 2c cc             vcvttss2si %xmm4,%ecx
2883 [       ]*[a-f0-9]+:    c5 fa 2c 09             vcvttss2si \(%ecx\),%ecx
2884 [       ]*[a-f0-9]+:    c5 fa 2c 09             vcvttss2si \(%ecx\),%ecx
2885 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%ecx\)
2886 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%ecx\)
2887 [       ]*[a-f0-9]+:    c4 e3 79 16 e1 07       vpextrd \$0x7,%xmm4,%ecx
2888 [       ]*[a-f0-9]+:    c4 e3 79 16 21 07       vpextrd \$0x7,%xmm4,\(%ecx\)
2889 [       ]*[a-f0-9]+:    c4 e3 79 16 21 07       vpextrd \$0x7,%xmm4,\(%ecx\)
2890 [       ]*[a-f0-9]+:    c4 e3 79 17 e1 07       vextractps \$0x7,%xmm4,%ecx
2891 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%ecx\)
2892 [       ]*[a-f0-9]+:    c4 e3 79 17 21 07       vextractps \$0x7,%xmm4,\(%ecx\)
2893 [       ]*[a-f0-9]+:    c5 db 2a f1             vcvtsi2sd %ecx,%xmm4,%xmm6
2894 [       ]*[a-f0-9]+:    c5 db 2a 31             vcvtsi2sdl \(%ecx\),%xmm4,%xmm6
2895 [       ]*[a-f0-9]+:    c5 db 2a 31             vcvtsi2sdl \(%ecx\),%xmm4,%xmm6
2896 [       ]*[a-f0-9]+:    c5 da 2a f1             vcvtsi2ss %ecx,%xmm4,%xmm6
2897 [       ]*[a-f0-9]+:    c5 da 2a 31             vcvtsi2ssl \(%ecx\),%xmm4,%xmm6
2898 [       ]*[a-f0-9]+:    c5 da 2a 31             vcvtsi2ssl \(%ecx\),%xmm4,%xmm6
2899 [       ]*[a-f0-9]+:    c5 ca c2 d4 07          vcmpordss %xmm4,%xmm6,%xmm2
2900 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%ecx\),%xmm6,%xmm2
2901 [       ]*[a-f0-9]+:    c5 ca c2 11 07          vcmpordss \(%ecx\),%xmm6,%xmm2
2902 [       ]*[a-f0-9]+:    c4 e3 49 21 d4 07       vinsertps \$0x7,%xmm4,%xmm6,%xmm2
2903 [       ]*[a-f0-9]+:    c4 e3 49 21 11 07       vinsertps \$0x7,\(%ecx\),%xmm6,%xmm2
2904 [       ]*[a-f0-9]+:    c4 e3 49 21 11 07       vinsertps \$0x7,\(%ecx\),%xmm6,%xmm2
2905 [       ]*[a-f0-9]+:    c4 e3 49 0a d4 07       vroundss \$0x7,%xmm4,%xmm6,%xmm2
2906 [       ]*[a-f0-9]+:    c4 e3 49 0a 11 07       vroundss \$0x7,\(%ecx\),%xmm6,%xmm2
2907 [       ]*[a-f0-9]+:    c4 e3 49 0a 11 07       vroundss \$0x7,\(%ecx\),%xmm6,%xmm2
2908 [       ]*[a-f0-9]+:    c4 e2 79 22 f4          vpmovsxbq %xmm4,%xmm6
2909 [       ]*[a-f0-9]+:    c4 e2 79 22 21          vpmovsxbq \(%ecx\),%xmm4
2910 [       ]*[a-f0-9]+:    c4 e2 79 22 21          vpmovsxbq \(%ecx\),%xmm4
2911 [       ]*[a-f0-9]+:    c4 e2 79 32 f4          vpmovzxbq %xmm4,%xmm6
2912 [       ]*[a-f0-9]+:    c4 e2 79 32 21          vpmovzxbq \(%ecx\),%xmm4
2913 [       ]*[a-f0-9]+:    c4 e2 79 32 21          vpmovzxbq \(%ecx\),%xmm4
2914 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
2915 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%ecx\)
2916 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%ecx\)
2917 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%ecx\)
2918 [       ]*[a-f0-9]+:    c4 e3 79 15 21 07       vpextrw \$0x7,%xmm4,\(%ecx\)
2919 [       ]*[a-f0-9]+:    c5 d9 c4 f1 07          vpinsrw \$0x7,%ecx,%xmm4,%xmm6
2920 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%ecx\),%xmm4,%xmm6
2921 [       ]*[a-f0-9]+:    c5 d9 c4 31 07          vpinsrw \$0x7,\(%ecx\),%xmm4,%xmm6
2922 [       ]*[a-f0-9]+:    c4 e3 79 14 e1 07       vpextrb \$0x7,%xmm4,%ecx
2923 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%ecx\)
2924 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%ecx\)
2925 [       ]*[a-f0-9]+:    c4 e3 59 20 f1 07       vpinsrb \$0x7,%ecx,%xmm4,%xmm6
2926 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%ecx\),%xmm4,%xmm6
2927 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%ecx\),%xmm4,%xmm6
2928 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%ecx\)
2929 [       ]*[a-f0-9]+:    c4 e3 79 14 21 07       vpextrb \$0x7,%xmm4,\(%ecx\)
2930 [       ]*[a-f0-9]+:    c4 e3 59 20 f1 07       vpinsrb \$0x7,%ecx,%xmm4,%xmm6
2931 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%ecx\),%xmm4,%xmm6
2932 [       ]*[a-f0-9]+:    c4 e3 59 20 31 07       vpinsrb \$0x7,\(%ecx\),%xmm4,%xmm6
2933 [       ]*[a-f0-9]+:    c5 f9 f7 f4             vmaskmovdqu %xmm4,%xmm6
2934 [       ]*[a-f0-9]+:    c5 fa 7e f4             vmovq  %xmm4,%xmm6
2935 [       ]*[a-f0-9]+:    c5 f9 50 cc             vmovmskpd %xmm4,%ecx
2936 [       ]*[a-f0-9]+:    c5 f8 50 cc             vmovmskps %xmm4,%ecx
2937 [       ]*[a-f0-9]+:    c5 f9 d7 cc             vpmovmskb %xmm4,%ecx
2938 [       ]*[a-f0-9]+:    c5 c8 12 d4             vmovhlps %xmm4,%xmm6,%xmm2
2939 [       ]*[a-f0-9]+:    c5 c8 16 d4             vmovlhps %xmm4,%xmm6,%xmm2
2940 [       ]*[a-f0-9]+:    c5 cb 10 d4             vmovsd %xmm4,%xmm6,%xmm2
2941 [       ]*[a-f0-9]+:    c5 ca 10 d4             vmovss %xmm4,%xmm6,%xmm2
2942 [       ]*[a-f0-9]+:    c5 c9 72 f4 07          vpslld \$0x7,%xmm4,%xmm6
2943 [       ]*[a-f0-9]+:    c5 c9 73 fc 07          vpslldq \$0x7,%xmm4,%xmm6
2944 [       ]*[a-f0-9]+:    c5 c9 73 f4 07          vpsllq \$0x7,%xmm4,%xmm6
2945 [       ]*[a-f0-9]+:    c5 c9 71 f4 07          vpsllw \$0x7,%xmm4,%xmm6
2946 [       ]*[a-f0-9]+:    c5 c9 72 e4 07          vpsrad \$0x7,%xmm4,%xmm6
2947 [       ]*[a-f0-9]+:    c5 c9 71 e4 07          vpsraw \$0x7,%xmm4,%xmm6
2948 [       ]*[a-f0-9]+:    c5 c9 72 d4 07          vpsrld \$0x7,%xmm4,%xmm6
2949 [       ]*[a-f0-9]+:    c5 c9 73 dc 07          vpsrldq \$0x7,%xmm4,%xmm6
2950 [       ]*[a-f0-9]+:    c5 c9 73 d4 07          vpsrlq \$0x7,%xmm4,%xmm6
2951 [       ]*[a-f0-9]+:    c5 c9 71 d4 07          vpsrlw \$0x7,%xmm4,%xmm6
2952 [       ]*[a-f0-9]+:    c5 f9 c5 cc 07          vpextrw \$0x7,%xmm4,%ecx
2953 [       ]*[a-f0-9]+:    c5 fd 50 cc             vmovmskpd %ymm4,%ecx
2954 [       ]*[a-f0-9]+:    c5 fc 50 cc             vmovmskps %ymm4,%ecx
2955 [       ]*[a-f0-9]+:    c5 fb e6 f4             vcvtpd2dq %xmm4,%xmm6
2956 [       ]*[a-f0-9]+:    c5 ff e6 f4             vcvtpd2dq %ymm4,%xmm6
2957 [       ]*[a-f0-9]+:    c5 f9 5a f4             vcvtpd2ps %xmm4,%xmm6
2958 [       ]*[a-f0-9]+:    c5 fd 5a f4             vcvtpd2ps %ymm4,%xmm6
2959 [       ]*[a-f0-9]+:    c5 f9 e6 f4             vcvttpd2dq %xmm4,%xmm6
2960 [       ]*[a-f0-9]+:    c5 fd e6 f4             vcvttpd2dq %ymm4,%xmm6
2961 [       ]*[a-f0-9]+:    c5 f8 ae 15 34 12 00 00         vldmxcsr 0x1234
2962 [       ]*[a-f0-9]+:    c5 f9 6f 05 34 12 00 00         vmovdqa 0x1234,%xmm0
2963 [       ]*[a-f0-9]+:    c5 f9 7f 05 34 12 00 00         vmovdqa %xmm0,0x1234
2964 [       ]*[a-f0-9]+:    c5 f9 7e 05 34 12 00 00         vmovd  %xmm0,0x1234
2965 [       ]*[a-f0-9]+:    c5 fb 2d 05 34 12 00 00         vcvtsd2si 0x1234,%eax
2966 [       ]*[a-f0-9]+:    c5 fe e6 05 34 12 00 00         vcvtdq2pd 0x1234,%ymm0
2967 [       ]*[a-f0-9]+:    c5 fd 5a 05 34 12 00 00         vcvtpd2psy 0x1234,%xmm0
2968 [       ]*[a-f0-9]+:    c5 f9 e0 3d 34 12 00 00         vpavgb 0x1234,%xmm0,%xmm7
2969 [       ]*[a-f0-9]+:    c4 e3 79 df 05 34 12 00 00 07   vaeskeygenassist \$0x7,0x1234,%xmm0
2970 [       ]*[a-f0-9]+:    c4 e3 79 14 05 34 12 00 00 07   vpextrb \$0x7,%xmm0,0x1234
2971 [       ]*[a-f0-9]+:    c5 fb 2a 3d 34 12 00 00         vcvtsi2sdl 0x1234,%xmm0,%xmm7
2972 [       ]*[a-f0-9]+:    c4 e3 79 44 3d 34 12 00 00 07   vpclmulqdq \$0x7,0x1234,%xmm0,%xmm7
2973 [       ]*[a-f0-9]+:    c4 e3 59 4a 35 34 12 00 00 00   vblendvps %xmm0,0x1234,%xmm4,%xmm6
2974 [       ]*[a-f0-9]+:    c4 e3 79 20 3d 34 12 00 00 07   vpinsrb \$0x7,0x1234,%xmm0,%xmm7
2975 [       ]*[a-f0-9]+:    c5 fd 6f 05 34 12 00 00         vmovdqa 0x1234,%ymm0
2976 [       ]*[a-f0-9]+:    c5 fd 7f 05 34 12 00 00         vmovdqa %ymm0,0x1234
2977 [       ]*[a-f0-9]+:    c4 e2 7d 0d 3d 34 12 00 00      vpermilpd 0x1234,%ymm0,%ymm7
2978 [       ]*[a-f0-9]+:    c4 e3 7d 09 05 34 12 00 00 07   vroundpd \$0x7,0x1234,%ymm0
2979 [       ]*[a-f0-9]+:    c4 e3 7d 19 05 34 12 00 00 07   vextractf128 \$0x7,%ymm0,0x1234
2980 [       ]*[a-f0-9]+:    c4 e3 7d 06 3d 34 12 00 00 07   vperm2f128 \$0x7,0x1234,%ymm0,%ymm7
2981 [       ]*[a-f0-9]+:    c4 e3 5d 4b 35 34 12 00 00 00   vblendvpd %ymm0,0x1234,%ymm4,%ymm6
2982 [       ]*[a-f0-9]+:    c5 f8 ae 55 00          vldmxcsr 0x0\(%ebp\)
2983 [       ]*[a-f0-9]+:    c5 f9 6f 45 00          vmovdqa 0x0\(%ebp\),%xmm0
2984 [       ]*[a-f0-9]+:    c5 f9 7f 45 00          vmovdqa %xmm0,0x0\(%ebp\)
2985 [       ]*[a-f0-9]+:    c5 f9 7e 45 00          vmovd  %xmm0,0x0\(%ebp\)
2986 [       ]*[a-f0-9]+:    c5 fb 2d 45 00          vcvtsd2si 0x0\(%ebp\),%eax
2987 [       ]*[a-f0-9]+:    c5 fe e6 45 00          vcvtdq2pd 0x0\(%ebp\),%ymm0
2988 [       ]*[a-f0-9]+:    c5 fd 5a 45 00          vcvtpd2psy 0x0\(%ebp\),%xmm0
2989 [       ]*[a-f0-9]+:    c5 f9 e0 7d 00          vpavgb 0x0\(%ebp\),%xmm0,%xmm7
2990 [       ]*[a-f0-9]+:    c4 e3 79 df 45 00 07    vaeskeygenassist \$0x7,0x0\(%ebp\),%xmm0
2991 [       ]*[a-f0-9]+:    c4 e3 79 14 45 00 07    vpextrb \$0x7,%xmm0,0x0\(%ebp\)
2992 [       ]*[a-f0-9]+:    c5 fb 2a 7d 00          vcvtsi2sdl 0x0\(%ebp\),%xmm0,%xmm7
2993 [       ]*[a-f0-9]+:    c4 e3 79 44 7d 00 07    vpclmulqdq \$0x7,0x0\(%ebp\),%xmm0,%xmm7
2994 [       ]*[a-f0-9]+:    c4 e3 59 4a 75 00 00    vblendvps %xmm0,0x0\(%ebp\),%xmm4,%xmm6
2995 [       ]*[a-f0-9]+:    c4 e3 79 20 7d 00 07    vpinsrb \$0x7,0x0\(%ebp\),%xmm0,%xmm7
2996 [       ]*[a-f0-9]+:    c5 fd 6f 45 00          vmovdqa 0x0\(%ebp\),%ymm0
2997 [       ]*[a-f0-9]+:    c5 fd 7f 45 00          vmovdqa %ymm0,0x0\(%ebp\)
2998 [       ]*[a-f0-9]+:    c4 e2 7d 0d 7d 00       vpermilpd 0x0\(%ebp\),%ymm0,%ymm7
2999 [       ]*[a-f0-9]+:    c4 e3 7d 09 45 00 07    vroundpd \$0x7,0x0\(%ebp\),%ymm0
3000 [       ]*[a-f0-9]+:    c4 e3 7d 19 45 00 07    vextractf128 \$0x7,%ymm0,0x0\(%ebp\)
3001 [       ]*[a-f0-9]+:    c4 e3 7d 06 7d 00 07    vperm2f128 \$0x7,0x0\(%ebp\),%ymm0,%ymm7
3002 [       ]*[a-f0-9]+:    c4 e3 5d 4b 75 00 00    vblendvpd %ymm0,0x0\(%ebp\),%ymm4,%ymm6
3003 [       ]*[a-f0-9]+:    c5 f8 ae 95 99 00 00 00         vldmxcsr 0x99\(%ebp\)
3004 [       ]*[a-f0-9]+:    c5 f9 6f 85 99 00 00 00         vmovdqa 0x99\(%ebp\),%xmm0
3005 [       ]*[a-f0-9]+:    c5 f9 7f 85 99 00 00 00         vmovdqa %xmm0,0x99\(%ebp\)
3006 [       ]*[a-f0-9]+:    c5 f9 7e 85 99 00 00 00         vmovd  %xmm0,0x99\(%ebp\)
3007 [       ]*[a-f0-9]+:    c5 fb 2d 85 99 00 00 00         vcvtsd2si 0x99\(%ebp\),%eax
3008 [       ]*[a-f0-9]+:    c5 fe e6 85 99 00 00 00         vcvtdq2pd 0x99\(%ebp\),%ymm0
3009 [       ]*[a-f0-9]+:    c5 fd 5a 85 99 00 00 00         vcvtpd2psy 0x99\(%ebp\),%xmm0
3010 [       ]*[a-f0-9]+:    c5 f9 e0 bd 99 00 00 00         vpavgb 0x99\(%ebp\),%xmm0,%xmm7
3011 [       ]*[a-f0-9]+:    c4 e3 79 df 85 99 00 00 00 07   vaeskeygenassist \$0x7,0x99\(%ebp\),%xmm0
3012 [       ]*[a-f0-9]+:    c4 e3 79 14 85 99 00 00 00 07   vpextrb \$0x7,%xmm0,0x99\(%ebp\)
3013 [       ]*[a-f0-9]+:    c5 fb 2a bd 99 00 00 00         vcvtsi2sdl 0x99\(%ebp\),%xmm0,%xmm7
3014 [       ]*[a-f0-9]+:    c4 e3 79 44 bd 99 00 00 00 07   vpclmulqdq \$0x7,0x99\(%ebp\),%xmm0,%xmm7
3015 [       ]*[a-f0-9]+:    c4 e3 59 4a b5 99 00 00 00 00   vblendvps %xmm0,0x99\(%ebp\),%xmm4,%xmm6
3016 [       ]*[a-f0-9]+:    c4 e3 79 20 bd 99 00 00 00 07   vpinsrb \$0x7,0x99\(%ebp\),%xmm0,%xmm7
3017 [       ]*[a-f0-9]+:    c5 fd 6f 85 99 00 00 00         vmovdqa 0x99\(%ebp\),%ymm0
3018 [       ]*[a-f0-9]+:    c5 fd 7f 85 99 00 00 00         vmovdqa %ymm0,0x99\(%ebp\)
3019 [       ]*[a-f0-9]+:    c4 e2 7d 0d bd 99 00 00 00      vpermilpd 0x99\(%ebp\),%ymm0,%ymm7
3020 [       ]*[a-f0-9]+:    c4 e3 7d 09 85 99 00 00 00 07   vroundpd \$0x7,0x99\(%ebp\),%ymm0
3021 [       ]*[a-f0-9]+:    c4 e3 7d 19 85 99 00 00 00 07   vextractf128 \$0x7,%ymm0,0x99\(%ebp\)
3022 [       ]*[a-f0-9]+:    c4 e3 7d 06 bd 99 00 00 00 07   vperm2f128 \$0x7,0x99\(%ebp\),%ymm0,%ymm7
3023 [       ]*[a-f0-9]+:    c4 e3 5d 4b b5 99 00 00 00 00   vblendvpd %ymm0,0x99\(%ebp\),%ymm4,%ymm6
3024 [       ]*[a-f0-9]+:    c5 f8 ae 14 25 99 00 00 00      vldmxcsr 0x99\(,%eiz,1\)
3025 [       ]*[a-f0-9]+:    c5 f9 6f 04 25 99 00 00 00      vmovdqa 0x99\(,%eiz,1\),%xmm0
3026 [       ]*[a-f0-9]+:    c5 f9 7f 04 25 99 00 00 00      vmovdqa %xmm0,0x99\(,%eiz,1\)
3027 [       ]*[a-f0-9]+:    c5 f9 7e 04 25 99 00 00 00      vmovd  %xmm0,0x99\(,%eiz,1\)
3028 [       ]*[a-f0-9]+:    c5 fb 2d 04 25 99 00 00 00      vcvtsd2si 0x99\(,%eiz,1\),%eax
3029 [       ]*[a-f0-9]+:    c5 fe e6 04 25 99 00 00 00      vcvtdq2pd 0x99\(,%eiz,1\),%ymm0
3030 [       ]*[a-f0-9]+:    c5 fd 5a 04 25 99 00 00 00      vcvtpd2psy 0x99\(,%eiz,1\),%xmm0
3031 [       ]*[a-f0-9]+:    c5 f9 e0 3c 25 99 00 00 00      vpavgb 0x99\(,%eiz,1\),%xmm0,%xmm7
3032 [       ]*[a-f0-9]+:    c4 e3 79 df 04 25 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(,%eiz,1\),%xmm0
3033 [       ]*[a-f0-9]+:    c4 e3 79 14 04 25 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(,%eiz,1\)
3034 [       ]*[a-f0-9]+:    c5 fb 2a 3c 25 99 00 00 00      vcvtsi2sdl 0x99\(,%eiz,1\),%xmm0,%xmm7
3035 [       ]*[a-f0-9]+:    c4 e3 79 44 3c 25 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(,%eiz,1\),%xmm0,%xmm7
3036 [       ]*[a-f0-9]+:    c4 e3 59 4a 34 25 99 00 00 00 00        vblendvps %xmm0,0x99\(,%eiz,1\),%xmm4,%xmm6
3037 [       ]*[a-f0-9]+:    c4 e3 79 20 3c 25 99 00 00 00 07        vpinsrb \$0x7,0x99\(,%eiz,1\),%xmm0,%xmm7
3038 [       ]*[a-f0-9]+:    c5 fd 6f 04 25 99 00 00 00      vmovdqa 0x99\(,%eiz,1\),%ymm0
3039 [       ]*[a-f0-9]+:    c5 fd 7f 04 25 99 00 00 00      vmovdqa %ymm0,0x99\(,%eiz,1\)
3040 [       ]*[a-f0-9]+:    c4 e2 7d 0d 3c 25 99 00 00 00   vpermilpd 0x99\(,%eiz,1\),%ymm0,%ymm7
3041 [       ]*[a-f0-9]+:    c4 e3 7d 09 04 25 99 00 00 00 07        vroundpd \$0x7,0x99\(,%eiz,1\),%ymm0
3042 [       ]*[a-f0-9]+:    c4 e3 7d 19 04 25 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(,%eiz,1\)
3043 [       ]*[a-f0-9]+:    c4 e3 7d 06 3c 25 99 00 00 00 07        vperm2f128 \$0x7,0x99\(,%eiz,1\),%ymm0,%ymm7
3044 [       ]*[a-f0-9]+:    c4 e3 5d 4b 34 25 99 00 00 00 00        vblendvpd %ymm0,0x99\(,%eiz,1\),%ymm4,%ymm6
3045 [       ]*[a-f0-9]+:    c5 f8 ae 14 65 99 00 00 00      vldmxcsr 0x99\(,%eiz,2\)
3046 [       ]*[a-f0-9]+:    c5 f9 6f 04 65 99 00 00 00      vmovdqa 0x99\(,%eiz,2\),%xmm0
3047 [       ]*[a-f0-9]+:    c5 f9 7f 04 65 99 00 00 00      vmovdqa %xmm0,0x99\(,%eiz,2\)
3048 [       ]*[a-f0-9]+:    c5 f9 7e 04 65 99 00 00 00      vmovd  %xmm0,0x99\(,%eiz,2\)
3049 [       ]*[a-f0-9]+:    c5 fb 2d 04 65 99 00 00 00      vcvtsd2si 0x99\(,%eiz,2\),%eax
3050 [       ]*[a-f0-9]+:    c5 fe e6 04 65 99 00 00 00      vcvtdq2pd 0x99\(,%eiz,2\),%ymm0
3051 [       ]*[a-f0-9]+:    c5 fd 5a 04 65 99 00 00 00      vcvtpd2psy 0x99\(,%eiz,2\),%xmm0
3052 [       ]*[a-f0-9]+:    c5 f9 e0 3c 65 99 00 00 00      vpavgb 0x99\(,%eiz,2\),%xmm0,%xmm7
3053 [       ]*[a-f0-9]+:    c4 e3 79 df 04 65 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(,%eiz,2\),%xmm0
3054 [       ]*[a-f0-9]+:    c4 e3 79 14 04 65 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(,%eiz,2\)
3055 [       ]*[a-f0-9]+:    c5 fb 2a 3c 65 99 00 00 00      vcvtsi2sdl 0x99\(,%eiz,2\),%xmm0,%xmm7
3056 [       ]*[a-f0-9]+:    c4 e3 79 44 3c 65 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(,%eiz,2\),%xmm0,%xmm7
3057 [       ]*[a-f0-9]+:    c4 e3 59 4a 34 65 99 00 00 00 00        vblendvps %xmm0,0x99\(,%eiz,2\),%xmm4,%xmm6
3058 [       ]*[a-f0-9]+:    c4 e3 79 20 3c 65 99 00 00 00 07        vpinsrb \$0x7,0x99\(,%eiz,2\),%xmm0,%xmm7
3059 [       ]*[a-f0-9]+:    c5 fd 6f 04 65 99 00 00 00      vmovdqa 0x99\(,%eiz,2\),%ymm0
3060 [       ]*[a-f0-9]+:    c5 fd 7f 04 65 99 00 00 00      vmovdqa %ymm0,0x99\(,%eiz,2\)
3061 [       ]*[a-f0-9]+:    c4 e2 7d 0d 3c 65 99 00 00 00   vpermilpd 0x99\(,%eiz,2\),%ymm0,%ymm7
3062 [       ]*[a-f0-9]+:    c4 e3 7d 09 04 65 99 00 00 00 07        vroundpd \$0x7,0x99\(,%eiz,2\),%ymm0
3063 [       ]*[a-f0-9]+:    c4 e3 7d 19 04 65 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(,%eiz,2\)
3064 [       ]*[a-f0-9]+:    c4 e3 7d 06 3c 65 99 00 00 00 07        vperm2f128 \$0x7,0x99\(,%eiz,2\),%ymm0,%ymm7
3065 [       ]*[a-f0-9]+:    c4 e3 5d 4b 34 65 99 00 00 00 00        vblendvpd %ymm0,0x99\(,%eiz,2\),%ymm4,%ymm6
3066 [       ]*[a-f0-9]+:    c5 f8 ae 94 20 99 00 00 00      vldmxcsr 0x99\(%eax,%eiz,1\)
3067 [       ]*[a-f0-9]+:    c5 f9 6f 84 20 99 00 00 00      vmovdqa 0x99\(%eax,%eiz,1\),%xmm0
3068 [       ]*[a-f0-9]+:    c5 f9 7f 84 20 99 00 00 00      vmovdqa %xmm0,0x99\(%eax,%eiz,1\)
3069 [       ]*[a-f0-9]+:    c5 f9 7e 84 20 99 00 00 00      vmovd  %xmm0,0x99\(%eax,%eiz,1\)
3070 [       ]*[a-f0-9]+:    c5 fb 2d 84 20 99 00 00 00      vcvtsd2si 0x99\(%eax,%eiz,1\),%eax
3071 [       ]*[a-f0-9]+:    c5 fe e6 84 20 99 00 00 00      vcvtdq2pd 0x99\(%eax,%eiz,1\),%ymm0
3072 [       ]*[a-f0-9]+:    c5 fd 5a 84 20 99 00 00 00      vcvtpd2psy 0x99\(%eax,%eiz,1\),%xmm0
3073 [       ]*[a-f0-9]+:    c5 f9 e0 bc 20 99 00 00 00      vpavgb 0x99\(%eax,%eiz,1\),%xmm0,%xmm7
3074 [       ]*[a-f0-9]+:    c4 e3 79 df 84 20 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%eax,%eiz,1\),%xmm0
3075 [       ]*[a-f0-9]+:    c4 e3 79 14 84 20 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%eax,%eiz,1\)
3076 [       ]*[a-f0-9]+:    c5 fb 2a bc 20 99 00 00 00      vcvtsi2sdl 0x99\(%eax,%eiz,1\),%xmm0,%xmm7
3077 [       ]*[a-f0-9]+:    c4 e3 79 44 bc 20 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%eax,%eiz,1\),%xmm0,%xmm7
3078 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 20 99 00 00 00 00        vblendvps %xmm0,0x99\(%eax,%eiz,1\),%xmm4,%xmm6
3079 [       ]*[a-f0-9]+:    c4 e3 79 20 bc 20 99 00 00 00 07        vpinsrb \$0x7,0x99\(%eax,%eiz,1\),%xmm0,%xmm7
3080 [       ]*[a-f0-9]+:    c5 fd 6f 84 20 99 00 00 00      vmovdqa 0x99\(%eax,%eiz,1\),%ymm0
3081 [       ]*[a-f0-9]+:    c5 fd 7f 84 20 99 00 00 00      vmovdqa %ymm0,0x99\(%eax,%eiz,1\)
3082 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc 20 99 00 00 00   vpermilpd 0x99\(%eax,%eiz,1\),%ymm0,%ymm7
3083 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 20 99 00 00 00 07        vroundpd \$0x7,0x99\(%eax,%eiz,1\),%ymm0
3084 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 20 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%eax,%eiz,1\)
3085 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc 20 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%eax,%eiz,1\),%ymm0,%ymm7
3086 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 20 99 00 00 00 00        vblendvpd %ymm0,0x99\(%eax,%eiz,1\),%ymm4,%ymm6
3087 [       ]*[a-f0-9]+:    c5 f8 ae 94 60 99 00 00 00      vldmxcsr 0x99\(%eax,%eiz,2\)
3088 [       ]*[a-f0-9]+:    c5 f9 6f 84 60 99 00 00 00      vmovdqa 0x99\(%eax,%eiz,2\),%xmm0
3089 [       ]*[a-f0-9]+:    c5 f9 7f 84 60 99 00 00 00      vmovdqa %xmm0,0x99\(%eax,%eiz,2\)
3090 [       ]*[a-f0-9]+:    c5 f9 7e 84 60 99 00 00 00      vmovd  %xmm0,0x99\(%eax,%eiz,2\)
3091 [       ]*[a-f0-9]+:    c5 fb 2d 84 60 99 00 00 00      vcvtsd2si 0x99\(%eax,%eiz,2\),%eax
3092 [       ]*[a-f0-9]+:    c5 fe e6 84 60 99 00 00 00      vcvtdq2pd 0x99\(%eax,%eiz,2\),%ymm0
3093 [       ]*[a-f0-9]+:    c5 fd 5a 84 60 99 00 00 00      vcvtpd2psy 0x99\(%eax,%eiz,2\),%xmm0
3094 [       ]*[a-f0-9]+:    c5 f9 e0 bc 60 99 00 00 00      vpavgb 0x99\(%eax,%eiz,2\),%xmm0,%xmm7
3095 [       ]*[a-f0-9]+:    c4 e3 79 df 84 60 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%eax,%eiz,2\),%xmm0
3096 [       ]*[a-f0-9]+:    c4 e3 79 14 84 60 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%eax,%eiz,2\)
3097 [       ]*[a-f0-9]+:    c5 fb 2a bc 60 99 00 00 00      vcvtsi2sdl 0x99\(%eax,%eiz,2\),%xmm0,%xmm7
3098 [       ]*[a-f0-9]+:    c4 e3 79 44 bc 60 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%eax,%eiz,2\),%xmm0,%xmm7
3099 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 60 99 00 00 00 00        vblendvps %xmm0,0x99\(%eax,%eiz,2\),%xmm4,%xmm6
3100 [       ]*[a-f0-9]+:    c4 e3 79 20 bc 60 99 00 00 00 07        vpinsrb \$0x7,0x99\(%eax,%eiz,2\),%xmm0,%xmm7
3101 [       ]*[a-f0-9]+:    c5 fd 6f 84 60 99 00 00 00      vmovdqa 0x99\(%eax,%eiz,2\),%ymm0
3102 [       ]*[a-f0-9]+:    c5 fd 7f 84 60 99 00 00 00      vmovdqa %ymm0,0x99\(%eax,%eiz,2\)
3103 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc 60 99 00 00 00   vpermilpd 0x99\(%eax,%eiz,2\),%ymm0,%ymm7
3104 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 60 99 00 00 00 07        vroundpd \$0x7,0x99\(%eax,%eiz,2\),%ymm0
3105 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 60 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%eax,%eiz,2\)
3106 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc 60 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%eax,%eiz,2\),%ymm0,%ymm7
3107 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 60 99 00 00 00 00        vblendvpd %ymm0,0x99\(%eax,%eiz,2\),%ymm4,%ymm6
3108 [       ]*[a-f0-9]+:    c5 f8 ae 94 98 99 00 00 00      vldmxcsr 0x99\(%eax,%ebx,4\)
3109 [       ]*[a-f0-9]+:    c5 f9 6f 84 98 99 00 00 00      vmovdqa 0x99\(%eax,%ebx,4\),%xmm0
3110 [       ]*[a-f0-9]+:    c5 f9 7f 84 98 99 00 00 00      vmovdqa %xmm0,0x99\(%eax,%ebx,4\)
3111 [       ]*[a-f0-9]+:    c5 f9 7e 84 98 99 00 00 00      vmovd  %xmm0,0x99\(%eax,%ebx,4\)
3112 [       ]*[a-f0-9]+:    c5 fb 2d 84 98 99 00 00 00      vcvtsd2si 0x99\(%eax,%ebx,4\),%eax
3113 [       ]*[a-f0-9]+:    c5 fe e6 84 98 99 00 00 00      vcvtdq2pd 0x99\(%eax,%ebx,4\),%ymm0
3114 [       ]*[a-f0-9]+:    c5 fd 5a 84 98 99 00 00 00      vcvtpd2psy 0x99\(%eax,%ebx,4\),%xmm0
3115 [       ]*[a-f0-9]+:    c5 f9 e0 bc 98 99 00 00 00      vpavgb 0x99\(%eax,%ebx,4\),%xmm0,%xmm7
3116 [       ]*[a-f0-9]+:    c4 e3 79 df 84 98 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%eax,%ebx,4\),%xmm0
3117 [       ]*[a-f0-9]+:    c4 e3 79 14 84 98 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%eax,%ebx,4\)
3118 [       ]*[a-f0-9]+:    c5 fb 2a bc 98 99 00 00 00      vcvtsi2sdl 0x99\(%eax,%ebx,4\),%xmm0,%xmm7
3119 [       ]*[a-f0-9]+:    c4 e3 79 44 bc 98 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%eax,%ebx,4\),%xmm0,%xmm7
3120 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 98 99 00 00 00 00        vblendvps %xmm0,0x99\(%eax,%ebx,4\),%xmm4,%xmm6
3121 [       ]*[a-f0-9]+:    c4 e3 79 20 bc 98 99 00 00 00 07        vpinsrb \$0x7,0x99\(%eax,%ebx,4\),%xmm0,%xmm7
3122 [       ]*[a-f0-9]+:    c5 fd 6f 84 98 99 00 00 00      vmovdqa 0x99\(%eax,%ebx,4\),%ymm0
3123 [       ]*[a-f0-9]+:    c5 fd 7f 84 98 99 00 00 00      vmovdqa %ymm0,0x99\(%eax,%ebx,4\)
3124 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc 98 99 00 00 00   vpermilpd 0x99\(%eax,%ebx,4\),%ymm0,%ymm7
3125 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 98 99 00 00 00 07        vroundpd \$0x7,0x99\(%eax,%ebx,4\),%ymm0
3126 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 98 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%eax,%ebx,4\)
3127 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc 98 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%eax,%ebx,4\),%ymm0,%ymm7
3128 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 98 99 00 00 00 00        vblendvpd %ymm0,0x99\(%eax,%ebx,4\),%ymm4,%ymm6
3129 [       ]*[a-f0-9]+:    c5 f8 ae 94 cc 99 00 00 00      vldmxcsr 0x99\(%esp,%ecx,8\)
3130 [       ]*[a-f0-9]+:    c5 f9 6f 84 cc 99 00 00 00      vmovdqa 0x99\(%esp,%ecx,8\),%xmm0
3131 [       ]*[a-f0-9]+:    c5 f9 7f 84 cc 99 00 00 00      vmovdqa %xmm0,0x99\(%esp,%ecx,8\)
3132 [       ]*[a-f0-9]+:    c5 f9 7e 84 cc 99 00 00 00      vmovd  %xmm0,0x99\(%esp,%ecx,8\)
3133 [       ]*[a-f0-9]+:    c5 fb 2d 84 cc 99 00 00 00      vcvtsd2si 0x99\(%esp,%ecx,8\),%eax
3134 [       ]*[a-f0-9]+:    c5 fe e6 84 cc 99 00 00 00      vcvtdq2pd 0x99\(%esp,%ecx,8\),%ymm0
3135 [       ]*[a-f0-9]+:    c5 fd 5a 84 cc 99 00 00 00      vcvtpd2psy 0x99\(%esp,%ecx,8\),%xmm0
3136 [       ]*[a-f0-9]+:    c5 f9 e0 bc cc 99 00 00 00      vpavgb 0x99\(%esp,%ecx,8\),%xmm0,%xmm7
3137 [       ]*[a-f0-9]+:    c4 e3 79 df 84 cc 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%esp,%ecx,8\),%xmm0
3138 [       ]*[a-f0-9]+:    c4 e3 79 14 84 cc 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%esp,%ecx,8\)
3139 [       ]*[a-f0-9]+:    c5 fb 2a bc cc 99 00 00 00      vcvtsi2sdl 0x99\(%esp,%ecx,8\),%xmm0,%xmm7
3140 [       ]*[a-f0-9]+:    c4 e3 79 44 bc cc 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%esp,%ecx,8\),%xmm0,%xmm7
3141 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 cc 99 00 00 00 00        vblendvps %xmm0,0x99\(%esp,%ecx,8\),%xmm4,%xmm6
3142 [       ]*[a-f0-9]+:    c4 e3 79 20 bc cc 99 00 00 00 07        vpinsrb \$0x7,0x99\(%esp,%ecx,8\),%xmm0,%xmm7
3143 [       ]*[a-f0-9]+:    c5 fd 6f 84 cc 99 00 00 00      vmovdqa 0x99\(%esp,%ecx,8\),%ymm0
3144 [       ]*[a-f0-9]+:    c5 fd 7f 84 cc 99 00 00 00      vmovdqa %ymm0,0x99\(%esp,%ecx,8\)
3145 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc cc 99 00 00 00   vpermilpd 0x99\(%esp,%ecx,8\),%ymm0,%ymm7
3146 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 cc 99 00 00 00 07        vroundpd \$0x7,0x99\(%esp,%ecx,8\),%ymm0
3147 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 cc 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%esp,%ecx,8\)
3148 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc cc 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%esp,%ecx,8\),%ymm0,%ymm7
3149 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 cc 99 00 00 00 00        vblendvpd %ymm0,0x99\(%esp,%ecx,8\),%ymm4,%ymm6
3150 [       ]*[a-f0-9]+:    c5 f8 ae 94 15 99 00 00 00      vldmxcsr 0x99\(%ebp,%edx,1\)
3151 [       ]*[a-f0-9]+:    c5 f9 6f 84 15 99 00 00 00      vmovdqa 0x99\(%ebp,%edx,1\),%xmm0
3152 [       ]*[a-f0-9]+:    c5 f9 7f 84 15 99 00 00 00      vmovdqa %xmm0,0x99\(%ebp,%edx,1\)
3153 [       ]*[a-f0-9]+:    c5 f9 7e 84 15 99 00 00 00      vmovd  %xmm0,0x99\(%ebp,%edx,1\)
3154 [       ]*[a-f0-9]+:    c5 fb 2d 84 15 99 00 00 00      vcvtsd2si 0x99\(%ebp,%edx,1\),%eax
3155 [       ]*[a-f0-9]+:    c5 fe e6 84 15 99 00 00 00      vcvtdq2pd 0x99\(%ebp,%edx,1\),%ymm0
3156 [       ]*[a-f0-9]+:    c5 fd 5a 84 15 99 00 00 00      vcvtpd2psy 0x99\(%ebp,%edx,1\),%xmm0
3157 [       ]*[a-f0-9]+:    c5 f9 e0 bc 15 99 00 00 00      vpavgb 0x99\(%ebp,%edx,1\),%xmm0,%xmm7
3158 [       ]*[a-f0-9]+:    c4 e3 79 df 84 15 99 00 00 00 07        vaeskeygenassist \$0x7,0x99\(%ebp,%edx,1\),%xmm0
3159 [       ]*[a-f0-9]+:    c4 e3 79 14 84 15 99 00 00 00 07        vpextrb \$0x7,%xmm0,0x99\(%ebp,%edx,1\)
3160 [       ]*[a-f0-9]+:    c5 fb 2a bc 15 99 00 00 00      vcvtsi2sdl 0x99\(%ebp,%edx,1\),%xmm0,%xmm7
3161 [       ]*[a-f0-9]+:    c4 e3 79 44 bc 15 99 00 00 00 07        vpclmulqdq \$0x7,0x99\(%ebp,%edx,1\),%xmm0,%xmm7
3162 [       ]*[a-f0-9]+:    c4 e3 59 4a b4 15 99 00 00 00 00        vblendvps %xmm0,0x99\(%ebp,%edx,1\),%xmm4,%xmm6
3163 [       ]*[a-f0-9]+:    c4 e3 79 20 bc 15 99 00 00 00 07        vpinsrb \$0x7,0x99\(%ebp,%edx,1\),%xmm0,%xmm7
3164 [       ]*[a-f0-9]+:    c5 fd 6f 84 15 99 00 00 00      vmovdqa 0x99\(%ebp,%edx,1\),%ymm0
3165 [       ]*[a-f0-9]+:    c5 fd 7f 84 15 99 00 00 00      vmovdqa %ymm0,0x99\(%ebp,%edx,1\)
3166 [       ]*[a-f0-9]+:    c4 e2 7d 0d bc 15 99 00 00 00   vpermilpd 0x99\(%ebp,%edx,1\),%ymm0,%ymm7
3167 [       ]*[a-f0-9]+:    c4 e3 7d 09 84 15 99 00 00 00 07        vroundpd \$0x7,0x99\(%ebp,%edx,1\),%ymm0
3168 [       ]*[a-f0-9]+:    c4 e3 7d 19 84 15 99 00 00 00 07        vextractf128 \$0x7,%ymm0,0x99\(%ebp,%edx,1\)
3169 [       ]*[a-f0-9]+:    c4 e3 7d 06 bc 15 99 00 00 00 07        vperm2f128 \$0x7,0x99\(%ebp,%edx,1\),%ymm0,%ymm7
3170 [       ]*[a-f0-9]+:    c4 e3 5d 4b b4 15 99 00 00 00 00        vblendvpd %ymm0,0x99\(%ebp,%edx,1\),%ymm4,%ymm6
3171 [       ]*[a-f0-9]+:    c5 f9 50 c0             vmovmskpd %xmm0,%eax
3172 [       ]*[a-f0-9]+:    c5 c1 72 f0 07          vpslld \$0x7,%xmm0,%xmm7
3173 [       ]*[a-f0-9]+:    c5 fc 50 c0             vmovmskps %ymm0,%eax
3174 #pass