net: sfp: re-implement soft state polling setup
[platform/kernel/linux-starfive.git] / drivers / net / phy / sfp.c
1 // SPDX-License-Identifier: GPL-2.0
2 #include <linux/acpi.h>
3 #include <linux/ctype.h>
4 #include <linux/debugfs.h>
5 #include <linux/delay.h>
6 #include <linux/gpio/consumer.h>
7 #include <linux/hwmon.h>
8 #include <linux/i2c.h>
9 #include <linux/interrupt.h>
10 #include <linux/jiffies.h>
11 #include <linux/mdio/mdio-i2c.h>
12 #include <linux/module.h>
13 #include <linux/mutex.h>
14 #include <linux/of.h>
15 #include <linux/phy.h>
16 #include <linux/platform_device.h>
17 #include <linux/rtnetlink.h>
18 #include <linux/slab.h>
19 #include <linux/workqueue.h>
20
21 #include "sfp.h"
22 #include "swphy.h"
23
24 enum {
25         GPIO_MODDEF0,
26         GPIO_LOS,
27         GPIO_TX_FAULT,
28         GPIO_TX_DISABLE,
29         GPIO_RATE_SELECT,
30         GPIO_MAX,
31
32         SFP_F_PRESENT = BIT(GPIO_MODDEF0),
33         SFP_F_LOS = BIT(GPIO_LOS),
34         SFP_F_TX_FAULT = BIT(GPIO_TX_FAULT),
35         SFP_F_TX_DISABLE = BIT(GPIO_TX_DISABLE),
36         SFP_F_RATE_SELECT = BIT(GPIO_RATE_SELECT),
37
38         SFP_E_INSERT = 0,
39         SFP_E_REMOVE,
40         SFP_E_DEV_ATTACH,
41         SFP_E_DEV_DETACH,
42         SFP_E_DEV_DOWN,
43         SFP_E_DEV_UP,
44         SFP_E_TX_FAULT,
45         SFP_E_TX_CLEAR,
46         SFP_E_LOS_HIGH,
47         SFP_E_LOS_LOW,
48         SFP_E_TIMEOUT,
49
50         SFP_MOD_EMPTY = 0,
51         SFP_MOD_ERROR,
52         SFP_MOD_PROBE,
53         SFP_MOD_WAITDEV,
54         SFP_MOD_HPOWER,
55         SFP_MOD_WAITPWR,
56         SFP_MOD_PRESENT,
57
58         SFP_DEV_DETACHED = 0,
59         SFP_DEV_DOWN,
60         SFP_DEV_UP,
61
62         SFP_S_DOWN = 0,
63         SFP_S_FAIL,
64         SFP_S_WAIT,
65         SFP_S_INIT,
66         SFP_S_INIT_PHY,
67         SFP_S_INIT_TX_FAULT,
68         SFP_S_WAIT_LOS,
69         SFP_S_LINK_UP,
70         SFP_S_TX_FAULT,
71         SFP_S_REINIT,
72         SFP_S_TX_DISABLE,
73 };
74
75 static const char  * const mod_state_strings[] = {
76         [SFP_MOD_EMPTY] = "empty",
77         [SFP_MOD_ERROR] = "error",
78         [SFP_MOD_PROBE] = "probe",
79         [SFP_MOD_WAITDEV] = "waitdev",
80         [SFP_MOD_HPOWER] = "hpower",
81         [SFP_MOD_WAITPWR] = "waitpwr",
82         [SFP_MOD_PRESENT] = "present",
83 };
84
85 static const char *mod_state_to_str(unsigned short mod_state)
86 {
87         if (mod_state >= ARRAY_SIZE(mod_state_strings))
88                 return "Unknown module state";
89         return mod_state_strings[mod_state];
90 }
91
92 static const char * const dev_state_strings[] = {
93         [SFP_DEV_DETACHED] = "detached",
94         [SFP_DEV_DOWN] = "down",
95         [SFP_DEV_UP] = "up",
96 };
97
98 static const char *dev_state_to_str(unsigned short dev_state)
99 {
100         if (dev_state >= ARRAY_SIZE(dev_state_strings))
101                 return "Unknown device state";
102         return dev_state_strings[dev_state];
103 }
104
105 static const char * const event_strings[] = {
106         [SFP_E_INSERT] = "insert",
107         [SFP_E_REMOVE] = "remove",
108         [SFP_E_DEV_ATTACH] = "dev_attach",
109         [SFP_E_DEV_DETACH] = "dev_detach",
110         [SFP_E_DEV_DOWN] = "dev_down",
111         [SFP_E_DEV_UP] = "dev_up",
112         [SFP_E_TX_FAULT] = "tx_fault",
113         [SFP_E_TX_CLEAR] = "tx_clear",
114         [SFP_E_LOS_HIGH] = "los_high",
115         [SFP_E_LOS_LOW] = "los_low",
116         [SFP_E_TIMEOUT] = "timeout",
117 };
118
119 static const char *event_to_str(unsigned short event)
120 {
121         if (event >= ARRAY_SIZE(event_strings))
122                 return "Unknown event";
123         return event_strings[event];
124 }
125
126 static const char * const sm_state_strings[] = {
127         [SFP_S_DOWN] = "down",
128         [SFP_S_FAIL] = "fail",
129         [SFP_S_WAIT] = "wait",
130         [SFP_S_INIT] = "init",
131         [SFP_S_INIT_PHY] = "init_phy",
132         [SFP_S_INIT_TX_FAULT] = "init_tx_fault",
133         [SFP_S_WAIT_LOS] = "wait_los",
134         [SFP_S_LINK_UP] = "link_up",
135         [SFP_S_TX_FAULT] = "tx_fault",
136         [SFP_S_REINIT] = "reinit",
137         [SFP_S_TX_DISABLE] = "tx_disable",
138 };
139
140 static const char *sm_state_to_str(unsigned short sm_state)
141 {
142         if (sm_state >= ARRAY_SIZE(sm_state_strings))
143                 return "Unknown state";
144         return sm_state_strings[sm_state];
145 }
146
147 static const char *gpio_of_names[] = {
148         "mod-def0",
149         "los",
150         "tx-fault",
151         "tx-disable",
152         "rate-select0",
153 };
154
155 static const enum gpiod_flags gpio_flags[] = {
156         GPIOD_IN,
157         GPIOD_IN,
158         GPIOD_IN,
159         GPIOD_ASIS,
160         GPIOD_ASIS,
161 };
162
163 /* t_start_up (SFF-8431) or t_init (SFF-8472) is the time required for a
164  * non-cooled module to initialise its laser safety circuitry. We wait
165  * an initial T_WAIT period before we check the tx fault to give any PHY
166  * on board (for a copper SFP) time to initialise.
167  */
168 #define T_WAIT                  msecs_to_jiffies(50)
169 #define T_START_UP              msecs_to_jiffies(300)
170 #define T_START_UP_BAD_GPON     msecs_to_jiffies(60000)
171
172 /* t_reset is the time required to assert the TX_DISABLE signal to reset
173  * an indicated TX_FAULT.
174  */
175 #define T_RESET_US              10
176 #define T_FAULT_RECOVER         msecs_to_jiffies(1000)
177
178 /* N_FAULT_INIT is the number of recovery attempts at module initialisation
179  * time. If the TX_FAULT signal is not deasserted after this number of
180  * attempts at clearing it, we decide that the module is faulty.
181  * N_FAULT is the same but after the module has initialised.
182  */
183 #define N_FAULT_INIT            5
184 #define N_FAULT                 5
185
186 /* T_PHY_RETRY is the time interval between attempts to probe the PHY.
187  * R_PHY_RETRY is the number of attempts.
188  */
189 #define T_PHY_RETRY             msecs_to_jiffies(50)
190 #define R_PHY_RETRY             12
191
192 /* SFP module presence detection is poor: the three MOD DEF signals are
193  * the same length on the PCB, which means it's possible for MOD DEF 0 to
194  * connect before the I2C bus on MOD DEF 1/2.
195  *
196  * The SFF-8472 specifies t_serial ("Time from power on until module is
197  * ready for data transmission over the two wire serial bus.") as 300ms.
198  */
199 #define T_SERIAL                msecs_to_jiffies(300)
200 #define T_HPOWER_LEVEL          msecs_to_jiffies(300)
201 #define T_PROBE_RETRY_INIT      msecs_to_jiffies(100)
202 #define R_PROBE_RETRY_INIT      10
203 #define T_PROBE_RETRY_SLOW      msecs_to_jiffies(5000)
204 #define R_PROBE_RETRY_SLOW      12
205
206 /* SFP modules appear to always have their PHY configured for bus address
207  * 0x56 (which with mdio-i2c, translates to a PHY address of 22).
208  */
209 #define SFP_PHY_ADDR    22
210
211 struct sff_data {
212         unsigned int gpios;
213         bool (*module_supported)(const struct sfp_eeprom_id *id);
214 };
215
216 struct sfp {
217         struct device *dev;
218         struct i2c_adapter *i2c;
219         struct mii_bus *i2c_mii;
220         struct sfp_bus *sfp_bus;
221         struct phy_device *mod_phy;
222         const struct sff_data *type;
223         size_t i2c_block_size;
224         u32 max_power_mW;
225
226         unsigned int (*get_state)(struct sfp *);
227         void (*set_state)(struct sfp *, unsigned int);
228         int (*read)(struct sfp *, bool, u8, void *, size_t);
229         int (*write)(struct sfp *, bool, u8, void *, size_t);
230
231         struct gpio_desc *gpio[GPIO_MAX];
232         int gpio_irq[GPIO_MAX];
233
234         bool need_poll;
235
236         struct mutex st_mutex;                  /* Protects state */
237         unsigned int state_hw_mask;
238         unsigned int state_soft_mask;
239         unsigned int state;
240         struct delayed_work poll;
241         struct delayed_work timeout;
242         struct mutex sm_mutex;                  /* Protects state machine */
243         unsigned char sm_mod_state;
244         unsigned char sm_mod_tries_init;
245         unsigned char sm_mod_tries;
246         unsigned char sm_dev_state;
247         unsigned short sm_state;
248         unsigned char sm_fault_retries;
249         unsigned char sm_phy_retries;
250
251         struct sfp_eeprom_id id;
252         unsigned int module_power_mW;
253         unsigned int module_t_start_up;
254         bool tx_fault_ignore;
255
256 #if IS_ENABLED(CONFIG_HWMON)
257         struct sfp_diag diag;
258         struct delayed_work hwmon_probe;
259         unsigned int hwmon_tries;
260         struct device *hwmon_dev;
261         char *hwmon_name;
262 #endif
263
264 #if IS_ENABLED(CONFIG_DEBUG_FS)
265         struct dentry *debugfs_dir;
266 #endif
267 };
268
269 static bool sff_module_supported(const struct sfp_eeprom_id *id)
270 {
271         return id->base.phys_id == SFF8024_ID_SFF_8472 &&
272                id->base.phys_ext_id == SFP_PHYS_EXT_ID_SFP;
273 }
274
275 static const struct sff_data sff_data = {
276         .gpios = SFP_F_LOS | SFP_F_TX_FAULT | SFP_F_TX_DISABLE,
277         .module_supported = sff_module_supported,
278 };
279
280 static bool sfp_module_supported(const struct sfp_eeprom_id *id)
281 {
282         if (id->base.phys_id == SFF8024_ID_SFP &&
283             id->base.phys_ext_id == SFP_PHYS_EXT_ID_SFP)
284                 return true;
285
286         /* SFP GPON module Ubiquiti U-Fiber Instant has in its EEPROM stored
287          * phys id SFF instead of SFP. Therefore mark this module explicitly
288          * as supported based on vendor name and pn match.
289          */
290         if (id->base.phys_id == SFF8024_ID_SFF_8472 &&
291             id->base.phys_ext_id == SFP_PHYS_EXT_ID_SFP &&
292             !memcmp(id->base.vendor_name, "UBNT            ", 16) &&
293             !memcmp(id->base.vendor_pn, "UF-INSTANT      ", 16))
294                 return true;
295
296         return false;
297 }
298
299 static const struct sff_data sfp_data = {
300         .gpios = SFP_F_PRESENT | SFP_F_LOS | SFP_F_TX_FAULT |
301                  SFP_F_TX_DISABLE | SFP_F_RATE_SELECT,
302         .module_supported = sfp_module_supported,
303 };
304
305 static const struct of_device_id sfp_of_match[] = {
306         { .compatible = "sff,sff", .data = &sff_data, },
307         { .compatible = "sff,sfp", .data = &sfp_data, },
308         { },
309 };
310 MODULE_DEVICE_TABLE(of, sfp_of_match);
311
312 static unsigned long poll_jiffies;
313
314 static unsigned int sfp_gpio_get_state(struct sfp *sfp)
315 {
316         unsigned int i, state, v;
317
318         for (i = state = 0; i < GPIO_MAX; i++) {
319                 if (gpio_flags[i] != GPIOD_IN || !sfp->gpio[i])
320                         continue;
321
322                 v = gpiod_get_value_cansleep(sfp->gpio[i]);
323                 if (v)
324                         state |= BIT(i);
325         }
326
327         return state;
328 }
329
330 static unsigned int sff_gpio_get_state(struct sfp *sfp)
331 {
332         return sfp_gpio_get_state(sfp) | SFP_F_PRESENT;
333 }
334
335 static void sfp_gpio_set_state(struct sfp *sfp, unsigned int state)
336 {
337         if (state & SFP_F_PRESENT) {
338                 /* If the module is present, drive the signals */
339                 if (sfp->gpio[GPIO_TX_DISABLE])
340                         gpiod_direction_output(sfp->gpio[GPIO_TX_DISABLE],
341                                                state & SFP_F_TX_DISABLE);
342                 if (state & SFP_F_RATE_SELECT)
343                         gpiod_direction_output(sfp->gpio[GPIO_RATE_SELECT],
344                                                state & SFP_F_RATE_SELECT);
345         } else {
346                 /* Otherwise, let them float to the pull-ups */
347                 if (sfp->gpio[GPIO_TX_DISABLE])
348                         gpiod_direction_input(sfp->gpio[GPIO_TX_DISABLE]);
349                 if (state & SFP_F_RATE_SELECT)
350                         gpiod_direction_input(sfp->gpio[GPIO_RATE_SELECT]);
351         }
352 }
353
354 static int sfp_i2c_read(struct sfp *sfp, bool a2, u8 dev_addr, void *buf,
355                         size_t len)
356 {
357         struct i2c_msg msgs[2];
358         u8 bus_addr = a2 ? 0x51 : 0x50;
359         size_t block_size = sfp->i2c_block_size;
360         size_t this_len;
361         int ret;
362
363         msgs[0].addr = bus_addr;
364         msgs[0].flags = 0;
365         msgs[0].len = 1;
366         msgs[0].buf = &dev_addr;
367         msgs[1].addr = bus_addr;
368         msgs[1].flags = I2C_M_RD;
369         msgs[1].len = len;
370         msgs[1].buf = buf;
371
372         while (len) {
373                 this_len = len;
374                 if (this_len > block_size)
375                         this_len = block_size;
376
377                 msgs[1].len = this_len;
378
379                 ret = i2c_transfer(sfp->i2c, msgs, ARRAY_SIZE(msgs));
380                 if (ret < 0)
381                         return ret;
382
383                 if (ret != ARRAY_SIZE(msgs))
384                         break;
385
386                 msgs[1].buf += this_len;
387                 dev_addr += this_len;
388                 len -= this_len;
389         }
390
391         return msgs[1].buf - (u8 *)buf;
392 }
393
394 static int sfp_i2c_write(struct sfp *sfp, bool a2, u8 dev_addr, void *buf,
395         size_t len)
396 {
397         struct i2c_msg msgs[1];
398         u8 bus_addr = a2 ? 0x51 : 0x50;
399         int ret;
400
401         msgs[0].addr = bus_addr;
402         msgs[0].flags = 0;
403         msgs[0].len = 1 + len;
404         msgs[0].buf = kmalloc(1 + len, GFP_KERNEL);
405         if (!msgs[0].buf)
406                 return -ENOMEM;
407
408         msgs[0].buf[0] = dev_addr;
409         memcpy(&msgs[0].buf[1], buf, len);
410
411         ret = i2c_transfer(sfp->i2c, msgs, ARRAY_SIZE(msgs));
412
413         kfree(msgs[0].buf);
414
415         if (ret < 0)
416                 return ret;
417
418         return ret == ARRAY_SIZE(msgs) ? len : 0;
419 }
420
421 static int sfp_i2c_configure(struct sfp *sfp, struct i2c_adapter *i2c)
422 {
423         struct mii_bus *i2c_mii;
424         int ret;
425
426         if (!i2c_check_functionality(i2c, I2C_FUNC_I2C))
427                 return -EINVAL;
428
429         sfp->i2c = i2c;
430         sfp->read = sfp_i2c_read;
431         sfp->write = sfp_i2c_write;
432
433         i2c_mii = mdio_i2c_alloc(sfp->dev, i2c);
434         if (IS_ERR(i2c_mii))
435                 return PTR_ERR(i2c_mii);
436
437         i2c_mii->name = "SFP I2C Bus";
438         i2c_mii->phy_mask = ~0;
439
440         ret = mdiobus_register(i2c_mii);
441         if (ret < 0) {
442                 mdiobus_free(i2c_mii);
443                 return ret;
444         }
445
446         sfp->i2c_mii = i2c_mii;
447
448         return 0;
449 }
450
451 /* Interface */
452 static int sfp_read(struct sfp *sfp, bool a2, u8 addr, void *buf, size_t len)
453 {
454         return sfp->read(sfp, a2, addr, buf, len);
455 }
456
457 static int sfp_write(struct sfp *sfp, bool a2, u8 addr, void *buf, size_t len)
458 {
459         return sfp->write(sfp, a2, addr, buf, len);
460 }
461
462 static unsigned int sfp_soft_get_state(struct sfp *sfp)
463 {
464         unsigned int state = 0;
465         u8 status;
466         int ret;
467
468         ret = sfp_read(sfp, true, SFP_STATUS, &status, sizeof(status));
469         if (ret == sizeof(status)) {
470                 if (status & SFP_STATUS_RX_LOS)
471                         state |= SFP_F_LOS;
472                 if (status & SFP_STATUS_TX_FAULT)
473                         state |= SFP_F_TX_FAULT;
474         } else {
475                 dev_err_ratelimited(sfp->dev,
476                                     "failed to read SFP soft status: %pe\n",
477                                     ERR_PTR(ret));
478                 /* Preserve the current state */
479                 state = sfp->state;
480         }
481
482         return state & sfp->state_soft_mask;
483 }
484
485 static void sfp_soft_set_state(struct sfp *sfp, unsigned int state)
486 {
487         u8 status;
488
489         if (sfp_read(sfp, true, SFP_STATUS, &status, sizeof(status)) ==
490                      sizeof(status)) {
491                 if (state & SFP_F_TX_DISABLE)
492                         status |= SFP_STATUS_TX_DISABLE_FORCE;
493                 else
494                         status &= ~SFP_STATUS_TX_DISABLE_FORCE;
495
496                 sfp_write(sfp, true, SFP_STATUS, &status, sizeof(status));
497         }
498 }
499
500 static void sfp_soft_start_poll(struct sfp *sfp)
501 {
502         const struct sfp_eeprom_id *id = &sfp->id;
503         unsigned int mask = 0;
504
505         sfp->state_soft_mask = 0;
506         if (id->ext.enhopts & SFP_ENHOPTS_SOFT_TX_DISABLE)
507                 mask |= SFP_F_TX_DISABLE;
508         if (id->ext.enhopts & SFP_ENHOPTS_SOFT_TX_FAULT)
509                 mask |= SFP_F_TX_FAULT;
510         if (id->ext.enhopts & SFP_ENHOPTS_SOFT_RX_LOS)
511                 mask |= SFP_F_LOS;
512
513         // Poll the soft state for hardware pins we want to ignore
514         sfp->state_soft_mask = ~sfp->state_hw_mask & mask;
515
516         if (sfp->state_soft_mask & (SFP_F_LOS | SFP_F_TX_FAULT) &&
517             !sfp->need_poll)
518                 mod_delayed_work(system_wq, &sfp->poll, poll_jiffies);
519 }
520
521 static void sfp_soft_stop_poll(struct sfp *sfp)
522 {
523         sfp->state_soft_mask = 0;
524 }
525
526 static unsigned int sfp_get_state(struct sfp *sfp)
527 {
528         unsigned int soft = sfp->state_soft_mask & (SFP_F_LOS | SFP_F_TX_FAULT);
529         unsigned int state;
530
531         state = sfp->get_state(sfp) & sfp->state_hw_mask;
532         if (state & SFP_F_PRESENT && soft)
533                 state |= sfp_soft_get_state(sfp);
534
535         return state;
536 }
537
538 static void sfp_set_state(struct sfp *sfp, unsigned int state)
539 {
540         sfp->set_state(sfp, state);
541
542         if (state & SFP_F_PRESENT &&
543             sfp->state_soft_mask & SFP_F_TX_DISABLE)
544                 sfp_soft_set_state(sfp, state);
545 }
546
547 static unsigned int sfp_check(void *buf, size_t len)
548 {
549         u8 *p, check;
550
551         for (p = buf, check = 0; len; p++, len--)
552                 check += *p;
553
554         return check;
555 }
556
557 /* hwmon */
558 #if IS_ENABLED(CONFIG_HWMON)
559 static umode_t sfp_hwmon_is_visible(const void *data,
560                                     enum hwmon_sensor_types type,
561                                     u32 attr, int channel)
562 {
563         const struct sfp *sfp = data;
564
565         switch (type) {
566         case hwmon_temp:
567                 switch (attr) {
568                 case hwmon_temp_min_alarm:
569                 case hwmon_temp_max_alarm:
570                 case hwmon_temp_lcrit_alarm:
571                 case hwmon_temp_crit_alarm:
572                 case hwmon_temp_min:
573                 case hwmon_temp_max:
574                 case hwmon_temp_lcrit:
575                 case hwmon_temp_crit:
576                         if (!(sfp->id.ext.enhopts & SFP_ENHOPTS_ALARMWARN))
577                                 return 0;
578                         fallthrough;
579                 case hwmon_temp_input:
580                 case hwmon_temp_label:
581                         return 0444;
582                 default:
583                         return 0;
584                 }
585         case hwmon_in:
586                 switch (attr) {
587                 case hwmon_in_min_alarm:
588                 case hwmon_in_max_alarm:
589                 case hwmon_in_lcrit_alarm:
590                 case hwmon_in_crit_alarm:
591                 case hwmon_in_min:
592                 case hwmon_in_max:
593                 case hwmon_in_lcrit:
594                 case hwmon_in_crit:
595                         if (!(sfp->id.ext.enhopts & SFP_ENHOPTS_ALARMWARN))
596                                 return 0;
597                         fallthrough;
598                 case hwmon_in_input:
599                 case hwmon_in_label:
600                         return 0444;
601                 default:
602                         return 0;
603                 }
604         case hwmon_curr:
605                 switch (attr) {
606                 case hwmon_curr_min_alarm:
607                 case hwmon_curr_max_alarm:
608                 case hwmon_curr_lcrit_alarm:
609                 case hwmon_curr_crit_alarm:
610                 case hwmon_curr_min:
611                 case hwmon_curr_max:
612                 case hwmon_curr_lcrit:
613                 case hwmon_curr_crit:
614                         if (!(sfp->id.ext.enhopts & SFP_ENHOPTS_ALARMWARN))
615                                 return 0;
616                         fallthrough;
617                 case hwmon_curr_input:
618                 case hwmon_curr_label:
619                         return 0444;
620                 default:
621                         return 0;
622                 }
623         case hwmon_power:
624                 /* External calibration of receive power requires
625                  * floating point arithmetic. Doing that in the kernel
626                  * is not easy, so just skip it. If the module does
627                  * not require external calibration, we can however
628                  * show receiver power, since FP is then not needed.
629                  */
630                 if (sfp->id.ext.diagmon & SFP_DIAGMON_EXT_CAL &&
631                     channel == 1)
632                         return 0;
633                 switch (attr) {
634                 case hwmon_power_min_alarm:
635                 case hwmon_power_max_alarm:
636                 case hwmon_power_lcrit_alarm:
637                 case hwmon_power_crit_alarm:
638                 case hwmon_power_min:
639                 case hwmon_power_max:
640                 case hwmon_power_lcrit:
641                 case hwmon_power_crit:
642                         if (!(sfp->id.ext.enhopts & SFP_ENHOPTS_ALARMWARN))
643                                 return 0;
644                         fallthrough;
645                 case hwmon_power_input:
646                 case hwmon_power_label:
647                         return 0444;
648                 default:
649                         return 0;
650                 }
651         default:
652                 return 0;
653         }
654 }
655
656 static int sfp_hwmon_read_sensor(struct sfp *sfp, int reg, long *value)
657 {
658         __be16 val;
659         int err;
660
661         err = sfp_read(sfp, true, reg, &val, sizeof(val));
662         if (err < 0)
663                 return err;
664
665         *value = be16_to_cpu(val);
666
667         return 0;
668 }
669
670 static void sfp_hwmon_to_rx_power(long *value)
671 {
672         *value = DIV_ROUND_CLOSEST(*value, 10);
673 }
674
675 static void sfp_hwmon_calibrate(struct sfp *sfp, unsigned int slope, int offset,
676                                 long *value)
677 {
678         if (sfp->id.ext.diagmon & SFP_DIAGMON_EXT_CAL)
679                 *value = DIV_ROUND_CLOSEST(*value * slope, 256) + offset;
680 }
681
682 static void sfp_hwmon_calibrate_temp(struct sfp *sfp, long *value)
683 {
684         sfp_hwmon_calibrate(sfp, be16_to_cpu(sfp->diag.cal_t_slope),
685                             be16_to_cpu(sfp->diag.cal_t_offset), value);
686
687         if (*value >= 0x8000)
688                 *value -= 0x10000;
689
690         *value = DIV_ROUND_CLOSEST(*value * 1000, 256);
691 }
692
693 static void sfp_hwmon_calibrate_vcc(struct sfp *sfp, long *value)
694 {
695         sfp_hwmon_calibrate(sfp, be16_to_cpu(sfp->diag.cal_v_slope),
696                             be16_to_cpu(sfp->diag.cal_v_offset), value);
697
698         *value = DIV_ROUND_CLOSEST(*value, 10);
699 }
700
701 static void sfp_hwmon_calibrate_bias(struct sfp *sfp, long *value)
702 {
703         sfp_hwmon_calibrate(sfp, be16_to_cpu(sfp->diag.cal_txi_slope),
704                             be16_to_cpu(sfp->diag.cal_txi_offset), value);
705
706         *value = DIV_ROUND_CLOSEST(*value, 500);
707 }
708
709 static void sfp_hwmon_calibrate_tx_power(struct sfp *sfp, long *value)
710 {
711         sfp_hwmon_calibrate(sfp, be16_to_cpu(sfp->diag.cal_txpwr_slope),
712                             be16_to_cpu(sfp->diag.cal_txpwr_offset), value);
713
714         *value = DIV_ROUND_CLOSEST(*value, 10);
715 }
716
717 static int sfp_hwmon_read_temp(struct sfp *sfp, int reg, long *value)
718 {
719         int err;
720
721         err = sfp_hwmon_read_sensor(sfp, reg, value);
722         if (err < 0)
723                 return err;
724
725         sfp_hwmon_calibrate_temp(sfp, value);
726
727         return 0;
728 }
729
730 static int sfp_hwmon_read_vcc(struct sfp *sfp, int reg, long *value)
731 {
732         int err;
733
734         err = sfp_hwmon_read_sensor(sfp, reg, value);
735         if (err < 0)
736                 return err;
737
738         sfp_hwmon_calibrate_vcc(sfp, value);
739
740         return 0;
741 }
742
743 static int sfp_hwmon_read_bias(struct sfp *sfp, int reg, long *value)
744 {
745         int err;
746
747         err = sfp_hwmon_read_sensor(sfp, reg, value);
748         if (err < 0)
749                 return err;
750
751         sfp_hwmon_calibrate_bias(sfp, value);
752
753         return 0;
754 }
755
756 static int sfp_hwmon_read_tx_power(struct sfp *sfp, int reg, long *value)
757 {
758         int err;
759
760         err = sfp_hwmon_read_sensor(sfp, reg, value);
761         if (err < 0)
762                 return err;
763
764         sfp_hwmon_calibrate_tx_power(sfp, value);
765
766         return 0;
767 }
768
769 static int sfp_hwmon_read_rx_power(struct sfp *sfp, int reg, long *value)
770 {
771         int err;
772
773         err = sfp_hwmon_read_sensor(sfp, reg, value);
774         if (err < 0)
775                 return err;
776
777         sfp_hwmon_to_rx_power(value);
778
779         return 0;
780 }
781
782 static int sfp_hwmon_temp(struct sfp *sfp, u32 attr, long *value)
783 {
784         u8 status;
785         int err;
786
787         switch (attr) {
788         case hwmon_temp_input:
789                 return sfp_hwmon_read_temp(sfp, SFP_TEMP, value);
790
791         case hwmon_temp_lcrit:
792                 *value = be16_to_cpu(sfp->diag.temp_low_alarm);
793                 sfp_hwmon_calibrate_temp(sfp, value);
794                 return 0;
795
796         case hwmon_temp_min:
797                 *value = be16_to_cpu(sfp->diag.temp_low_warn);
798                 sfp_hwmon_calibrate_temp(sfp, value);
799                 return 0;
800         case hwmon_temp_max:
801                 *value = be16_to_cpu(sfp->diag.temp_high_warn);
802                 sfp_hwmon_calibrate_temp(sfp, value);
803                 return 0;
804
805         case hwmon_temp_crit:
806                 *value = be16_to_cpu(sfp->diag.temp_high_alarm);
807                 sfp_hwmon_calibrate_temp(sfp, value);
808                 return 0;
809
810         case hwmon_temp_lcrit_alarm:
811                 err = sfp_read(sfp, true, SFP_ALARM0, &status, sizeof(status));
812                 if (err < 0)
813                         return err;
814
815                 *value = !!(status & SFP_ALARM0_TEMP_LOW);
816                 return 0;
817
818         case hwmon_temp_min_alarm:
819                 err = sfp_read(sfp, true, SFP_WARN0, &status, sizeof(status));
820                 if (err < 0)
821                         return err;
822
823                 *value = !!(status & SFP_WARN0_TEMP_LOW);
824                 return 0;
825
826         case hwmon_temp_max_alarm:
827                 err = sfp_read(sfp, true, SFP_WARN0, &status, sizeof(status));
828                 if (err < 0)
829                         return err;
830
831                 *value = !!(status & SFP_WARN0_TEMP_HIGH);
832                 return 0;
833
834         case hwmon_temp_crit_alarm:
835                 err = sfp_read(sfp, true, SFP_ALARM0, &status, sizeof(status));
836                 if (err < 0)
837                         return err;
838
839                 *value = !!(status & SFP_ALARM0_TEMP_HIGH);
840                 return 0;
841         default:
842                 return -EOPNOTSUPP;
843         }
844
845         return -EOPNOTSUPP;
846 }
847
848 static int sfp_hwmon_vcc(struct sfp *sfp, u32 attr, long *value)
849 {
850         u8 status;
851         int err;
852
853         switch (attr) {
854         case hwmon_in_input:
855                 return sfp_hwmon_read_vcc(sfp, SFP_VCC, value);
856
857         case hwmon_in_lcrit:
858                 *value = be16_to_cpu(sfp->diag.volt_low_alarm);
859                 sfp_hwmon_calibrate_vcc(sfp, value);
860                 return 0;
861
862         case hwmon_in_min:
863                 *value = be16_to_cpu(sfp->diag.volt_low_warn);
864                 sfp_hwmon_calibrate_vcc(sfp, value);
865                 return 0;
866
867         case hwmon_in_max:
868                 *value = be16_to_cpu(sfp->diag.volt_high_warn);
869                 sfp_hwmon_calibrate_vcc(sfp, value);
870                 return 0;
871
872         case hwmon_in_crit:
873                 *value = be16_to_cpu(sfp->diag.volt_high_alarm);
874                 sfp_hwmon_calibrate_vcc(sfp, value);
875                 return 0;
876
877         case hwmon_in_lcrit_alarm:
878                 err = sfp_read(sfp, true, SFP_ALARM0, &status, sizeof(status));
879                 if (err < 0)
880                         return err;
881
882                 *value = !!(status & SFP_ALARM0_VCC_LOW);
883                 return 0;
884
885         case hwmon_in_min_alarm:
886                 err = sfp_read(sfp, true, SFP_WARN0, &status, sizeof(status));
887                 if (err < 0)
888                         return err;
889
890                 *value = !!(status & SFP_WARN0_VCC_LOW);
891                 return 0;
892
893         case hwmon_in_max_alarm:
894                 err = sfp_read(sfp, true, SFP_WARN0, &status, sizeof(status));
895                 if (err < 0)
896                         return err;
897
898                 *value = !!(status & SFP_WARN0_VCC_HIGH);
899                 return 0;
900
901         case hwmon_in_crit_alarm:
902                 err = sfp_read(sfp, true, SFP_ALARM0, &status, sizeof(status));
903                 if (err < 0)
904                         return err;
905
906                 *value = !!(status & SFP_ALARM0_VCC_HIGH);
907                 return 0;
908         default:
909                 return -EOPNOTSUPP;
910         }
911
912         return -EOPNOTSUPP;
913 }
914
915 static int sfp_hwmon_bias(struct sfp *sfp, u32 attr, long *value)
916 {
917         u8 status;
918         int err;
919
920         switch (attr) {
921         case hwmon_curr_input:
922                 return sfp_hwmon_read_bias(sfp, SFP_TX_BIAS, value);
923
924         case hwmon_curr_lcrit:
925                 *value = be16_to_cpu(sfp->diag.bias_low_alarm);
926                 sfp_hwmon_calibrate_bias(sfp, value);
927                 return 0;
928
929         case hwmon_curr_min:
930                 *value = be16_to_cpu(sfp->diag.bias_low_warn);
931                 sfp_hwmon_calibrate_bias(sfp, value);
932                 return 0;
933
934         case hwmon_curr_max:
935                 *value = be16_to_cpu(sfp->diag.bias_high_warn);
936                 sfp_hwmon_calibrate_bias(sfp, value);
937                 return 0;
938
939         case hwmon_curr_crit:
940                 *value = be16_to_cpu(sfp->diag.bias_high_alarm);
941                 sfp_hwmon_calibrate_bias(sfp, value);
942                 return 0;
943
944         case hwmon_curr_lcrit_alarm:
945                 err = sfp_read(sfp, true, SFP_ALARM0, &status, sizeof(status));
946                 if (err < 0)
947                         return err;
948
949                 *value = !!(status & SFP_ALARM0_TX_BIAS_LOW);
950                 return 0;
951
952         case hwmon_curr_min_alarm:
953                 err = sfp_read(sfp, true, SFP_WARN0, &status, sizeof(status));
954                 if (err < 0)
955                         return err;
956
957                 *value = !!(status & SFP_WARN0_TX_BIAS_LOW);
958                 return 0;
959
960         case hwmon_curr_max_alarm:
961                 err = sfp_read(sfp, true, SFP_WARN0, &status, sizeof(status));
962                 if (err < 0)
963                         return err;
964
965                 *value = !!(status & SFP_WARN0_TX_BIAS_HIGH);
966                 return 0;
967
968         case hwmon_curr_crit_alarm:
969                 err = sfp_read(sfp, true, SFP_ALARM0, &status, sizeof(status));
970                 if (err < 0)
971                         return err;
972
973                 *value = !!(status & SFP_ALARM0_TX_BIAS_HIGH);
974                 return 0;
975         default:
976                 return -EOPNOTSUPP;
977         }
978
979         return -EOPNOTSUPP;
980 }
981
982 static int sfp_hwmon_tx_power(struct sfp *sfp, u32 attr, long *value)
983 {
984         u8 status;
985         int err;
986
987         switch (attr) {
988         case hwmon_power_input:
989                 return sfp_hwmon_read_tx_power(sfp, SFP_TX_POWER, value);
990
991         case hwmon_power_lcrit:
992                 *value = be16_to_cpu(sfp->diag.txpwr_low_alarm);
993                 sfp_hwmon_calibrate_tx_power(sfp, value);
994                 return 0;
995
996         case hwmon_power_min:
997                 *value = be16_to_cpu(sfp->diag.txpwr_low_warn);
998                 sfp_hwmon_calibrate_tx_power(sfp, value);
999                 return 0;
1000
1001         case hwmon_power_max:
1002                 *value = be16_to_cpu(sfp->diag.txpwr_high_warn);
1003                 sfp_hwmon_calibrate_tx_power(sfp, value);
1004                 return 0;
1005
1006         case hwmon_power_crit:
1007                 *value = be16_to_cpu(sfp->diag.txpwr_high_alarm);
1008                 sfp_hwmon_calibrate_tx_power(sfp, value);
1009                 return 0;
1010
1011         case hwmon_power_lcrit_alarm:
1012                 err = sfp_read(sfp, true, SFP_ALARM0, &status, sizeof(status));
1013                 if (err < 0)
1014                         return err;
1015
1016                 *value = !!(status & SFP_ALARM0_TXPWR_LOW);
1017                 return 0;
1018
1019         case hwmon_power_min_alarm:
1020                 err = sfp_read(sfp, true, SFP_WARN0, &status, sizeof(status));
1021                 if (err < 0)
1022                         return err;
1023
1024                 *value = !!(status & SFP_WARN0_TXPWR_LOW);
1025                 return 0;
1026
1027         case hwmon_power_max_alarm:
1028                 err = sfp_read(sfp, true, SFP_WARN0, &status, sizeof(status));
1029                 if (err < 0)
1030                         return err;
1031
1032                 *value = !!(status & SFP_WARN0_TXPWR_HIGH);
1033                 return 0;
1034
1035         case hwmon_power_crit_alarm:
1036                 err = sfp_read(sfp, true, SFP_ALARM0, &status, sizeof(status));
1037                 if (err < 0)
1038                         return err;
1039
1040                 *value = !!(status & SFP_ALARM0_TXPWR_HIGH);
1041                 return 0;
1042         default:
1043                 return -EOPNOTSUPP;
1044         }
1045
1046         return -EOPNOTSUPP;
1047 }
1048
1049 static int sfp_hwmon_rx_power(struct sfp *sfp, u32 attr, long *value)
1050 {
1051         u8 status;
1052         int err;
1053
1054         switch (attr) {
1055         case hwmon_power_input:
1056                 return sfp_hwmon_read_rx_power(sfp, SFP_RX_POWER, value);
1057
1058         case hwmon_power_lcrit:
1059                 *value = be16_to_cpu(sfp->diag.rxpwr_low_alarm);
1060                 sfp_hwmon_to_rx_power(value);
1061                 return 0;
1062
1063         case hwmon_power_min:
1064                 *value = be16_to_cpu(sfp->diag.rxpwr_low_warn);
1065                 sfp_hwmon_to_rx_power(value);
1066                 return 0;
1067
1068         case hwmon_power_max:
1069                 *value = be16_to_cpu(sfp->diag.rxpwr_high_warn);
1070                 sfp_hwmon_to_rx_power(value);
1071                 return 0;
1072
1073         case hwmon_power_crit:
1074                 *value = be16_to_cpu(sfp->diag.rxpwr_high_alarm);
1075                 sfp_hwmon_to_rx_power(value);
1076                 return 0;
1077
1078         case hwmon_power_lcrit_alarm:
1079                 err = sfp_read(sfp, true, SFP_ALARM1, &status, sizeof(status));
1080                 if (err < 0)
1081                         return err;
1082
1083                 *value = !!(status & SFP_ALARM1_RXPWR_LOW);
1084                 return 0;
1085
1086         case hwmon_power_min_alarm:
1087                 err = sfp_read(sfp, true, SFP_WARN1, &status, sizeof(status));
1088                 if (err < 0)
1089                         return err;
1090
1091                 *value = !!(status & SFP_WARN1_RXPWR_LOW);
1092                 return 0;
1093
1094         case hwmon_power_max_alarm:
1095                 err = sfp_read(sfp, true, SFP_WARN1, &status, sizeof(status));
1096                 if (err < 0)
1097                         return err;
1098
1099                 *value = !!(status & SFP_WARN1_RXPWR_HIGH);
1100                 return 0;
1101
1102         case hwmon_power_crit_alarm:
1103                 err = sfp_read(sfp, true, SFP_ALARM1, &status, sizeof(status));
1104                 if (err < 0)
1105                         return err;
1106
1107                 *value = !!(status & SFP_ALARM1_RXPWR_HIGH);
1108                 return 0;
1109         default:
1110                 return -EOPNOTSUPP;
1111         }
1112
1113         return -EOPNOTSUPP;
1114 }
1115
1116 static int sfp_hwmon_read(struct device *dev, enum hwmon_sensor_types type,
1117                           u32 attr, int channel, long *value)
1118 {
1119         struct sfp *sfp = dev_get_drvdata(dev);
1120
1121         switch (type) {
1122         case hwmon_temp:
1123                 return sfp_hwmon_temp(sfp, attr, value);
1124         case hwmon_in:
1125                 return sfp_hwmon_vcc(sfp, attr, value);
1126         case hwmon_curr:
1127                 return sfp_hwmon_bias(sfp, attr, value);
1128         case hwmon_power:
1129                 switch (channel) {
1130                 case 0:
1131                         return sfp_hwmon_tx_power(sfp, attr, value);
1132                 case 1:
1133                         return sfp_hwmon_rx_power(sfp, attr, value);
1134                 default:
1135                         return -EOPNOTSUPP;
1136                 }
1137         default:
1138                 return -EOPNOTSUPP;
1139         }
1140 }
1141
1142 static const char *const sfp_hwmon_power_labels[] = {
1143         "TX_power",
1144         "RX_power",
1145 };
1146
1147 static int sfp_hwmon_read_string(struct device *dev,
1148                                  enum hwmon_sensor_types type,
1149                                  u32 attr, int channel, const char **str)
1150 {
1151         switch (type) {
1152         case hwmon_curr:
1153                 switch (attr) {
1154                 case hwmon_curr_label:
1155                         *str = "bias";
1156                         return 0;
1157                 default:
1158                         return -EOPNOTSUPP;
1159                 }
1160                 break;
1161         case hwmon_temp:
1162                 switch (attr) {
1163                 case hwmon_temp_label:
1164                         *str = "temperature";
1165                         return 0;
1166                 default:
1167                         return -EOPNOTSUPP;
1168                 }
1169                 break;
1170         case hwmon_in:
1171                 switch (attr) {
1172                 case hwmon_in_label:
1173                         *str = "VCC";
1174                         return 0;
1175                 default:
1176                         return -EOPNOTSUPP;
1177                 }
1178                 break;
1179         case hwmon_power:
1180                 switch (attr) {
1181                 case hwmon_power_label:
1182                         *str = sfp_hwmon_power_labels[channel];
1183                         return 0;
1184                 default:
1185                         return -EOPNOTSUPP;
1186                 }
1187                 break;
1188         default:
1189                 return -EOPNOTSUPP;
1190         }
1191
1192         return -EOPNOTSUPP;
1193 }
1194
1195 static const struct hwmon_ops sfp_hwmon_ops = {
1196         .is_visible = sfp_hwmon_is_visible,
1197         .read = sfp_hwmon_read,
1198         .read_string = sfp_hwmon_read_string,
1199 };
1200
1201 static const struct hwmon_channel_info *sfp_hwmon_info[] = {
1202         HWMON_CHANNEL_INFO(chip,
1203                            HWMON_C_REGISTER_TZ),
1204         HWMON_CHANNEL_INFO(in,
1205                            HWMON_I_INPUT |
1206                            HWMON_I_MAX | HWMON_I_MIN |
1207                            HWMON_I_MAX_ALARM | HWMON_I_MIN_ALARM |
1208                            HWMON_I_CRIT | HWMON_I_LCRIT |
1209                            HWMON_I_CRIT_ALARM | HWMON_I_LCRIT_ALARM |
1210                            HWMON_I_LABEL),
1211         HWMON_CHANNEL_INFO(temp,
1212                            HWMON_T_INPUT |
1213                            HWMON_T_MAX | HWMON_T_MIN |
1214                            HWMON_T_MAX_ALARM | HWMON_T_MIN_ALARM |
1215                            HWMON_T_CRIT | HWMON_T_LCRIT |
1216                            HWMON_T_CRIT_ALARM | HWMON_T_LCRIT_ALARM |
1217                            HWMON_T_LABEL),
1218         HWMON_CHANNEL_INFO(curr,
1219                            HWMON_C_INPUT |
1220                            HWMON_C_MAX | HWMON_C_MIN |
1221                            HWMON_C_MAX_ALARM | HWMON_C_MIN_ALARM |
1222                            HWMON_C_CRIT | HWMON_C_LCRIT |
1223                            HWMON_C_CRIT_ALARM | HWMON_C_LCRIT_ALARM |
1224                            HWMON_C_LABEL),
1225         HWMON_CHANNEL_INFO(power,
1226                            /* Transmit power */
1227                            HWMON_P_INPUT |
1228                            HWMON_P_MAX | HWMON_P_MIN |
1229                            HWMON_P_MAX_ALARM | HWMON_P_MIN_ALARM |
1230                            HWMON_P_CRIT | HWMON_P_LCRIT |
1231                            HWMON_P_CRIT_ALARM | HWMON_P_LCRIT_ALARM |
1232                            HWMON_P_LABEL,
1233                            /* Receive power */
1234                            HWMON_P_INPUT |
1235                            HWMON_P_MAX | HWMON_P_MIN |
1236                            HWMON_P_MAX_ALARM | HWMON_P_MIN_ALARM |
1237                            HWMON_P_CRIT | HWMON_P_LCRIT |
1238                            HWMON_P_CRIT_ALARM | HWMON_P_LCRIT_ALARM |
1239                            HWMON_P_LABEL),
1240         NULL,
1241 };
1242
1243 static const struct hwmon_chip_info sfp_hwmon_chip_info = {
1244         .ops = &sfp_hwmon_ops,
1245         .info = sfp_hwmon_info,
1246 };
1247
1248 static void sfp_hwmon_probe(struct work_struct *work)
1249 {
1250         struct sfp *sfp = container_of(work, struct sfp, hwmon_probe.work);
1251         int err;
1252
1253         /* hwmon interface needs to access 16bit registers in atomic way to
1254          * guarantee coherency of the diagnostic monitoring data. If it is not
1255          * possible to guarantee coherency because EEPROM is broken in such way
1256          * that does not support atomic 16bit read operation then we have to
1257          * skip registration of hwmon device.
1258          */
1259         if (sfp->i2c_block_size < 2) {
1260                 dev_info(sfp->dev,
1261                          "skipping hwmon device registration due to broken EEPROM\n");
1262                 dev_info(sfp->dev,
1263                          "diagnostic EEPROM area cannot be read atomically to guarantee data coherency\n");
1264                 return;
1265         }
1266
1267         err = sfp_read(sfp, true, 0, &sfp->diag, sizeof(sfp->diag));
1268         if (err < 0) {
1269                 if (sfp->hwmon_tries--) {
1270                         mod_delayed_work(system_wq, &sfp->hwmon_probe,
1271                                          T_PROBE_RETRY_SLOW);
1272                 } else {
1273                         dev_warn(sfp->dev, "hwmon probe failed: %pe\n",
1274                                  ERR_PTR(err));
1275                 }
1276                 return;
1277         }
1278
1279         sfp->hwmon_name = hwmon_sanitize_name(dev_name(sfp->dev));
1280         if (IS_ERR(sfp->hwmon_name)) {
1281                 dev_err(sfp->dev, "out of memory for hwmon name\n");
1282                 return;
1283         }
1284
1285         sfp->hwmon_dev = hwmon_device_register_with_info(sfp->dev,
1286                                                          sfp->hwmon_name, sfp,
1287                                                          &sfp_hwmon_chip_info,
1288                                                          NULL);
1289         if (IS_ERR(sfp->hwmon_dev))
1290                 dev_err(sfp->dev, "failed to register hwmon device: %ld\n",
1291                         PTR_ERR(sfp->hwmon_dev));
1292 }
1293
1294 static int sfp_hwmon_insert(struct sfp *sfp)
1295 {
1296         if (sfp->id.ext.sff8472_compliance == SFP_SFF8472_COMPLIANCE_NONE)
1297                 return 0;
1298
1299         if (!(sfp->id.ext.diagmon & SFP_DIAGMON_DDM))
1300                 return 0;
1301
1302         if (sfp->id.ext.diagmon & SFP_DIAGMON_ADDRMODE)
1303                 /* This driver in general does not support address
1304                  * change.
1305                  */
1306                 return 0;
1307
1308         mod_delayed_work(system_wq, &sfp->hwmon_probe, 1);
1309         sfp->hwmon_tries = R_PROBE_RETRY_SLOW;
1310
1311         return 0;
1312 }
1313
1314 static void sfp_hwmon_remove(struct sfp *sfp)
1315 {
1316         cancel_delayed_work_sync(&sfp->hwmon_probe);
1317         if (!IS_ERR_OR_NULL(sfp->hwmon_dev)) {
1318                 hwmon_device_unregister(sfp->hwmon_dev);
1319                 sfp->hwmon_dev = NULL;
1320                 kfree(sfp->hwmon_name);
1321         }
1322 }
1323
1324 static int sfp_hwmon_init(struct sfp *sfp)
1325 {
1326         INIT_DELAYED_WORK(&sfp->hwmon_probe, sfp_hwmon_probe);
1327
1328         return 0;
1329 }
1330
1331 static void sfp_hwmon_exit(struct sfp *sfp)
1332 {
1333         cancel_delayed_work_sync(&sfp->hwmon_probe);
1334 }
1335 #else
1336 static int sfp_hwmon_insert(struct sfp *sfp)
1337 {
1338         return 0;
1339 }
1340
1341 static void sfp_hwmon_remove(struct sfp *sfp)
1342 {
1343 }
1344
1345 static int sfp_hwmon_init(struct sfp *sfp)
1346 {
1347         return 0;
1348 }
1349
1350 static void sfp_hwmon_exit(struct sfp *sfp)
1351 {
1352 }
1353 #endif
1354
1355 /* Helpers */
1356 static void sfp_module_tx_disable(struct sfp *sfp)
1357 {
1358         dev_dbg(sfp->dev, "tx disable %u -> %u\n",
1359                 sfp->state & SFP_F_TX_DISABLE ? 1 : 0, 1);
1360         sfp->state |= SFP_F_TX_DISABLE;
1361         sfp_set_state(sfp, sfp->state);
1362 }
1363
1364 static void sfp_module_tx_enable(struct sfp *sfp)
1365 {
1366         dev_dbg(sfp->dev, "tx disable %u -> %u\n",
1367                 sfp->state & SFP_F_TX_DISABLE ? 1 : 0, 0);
1368         sfp->state &= ~SFP_F_TX_DISABLE;
1369         sfp_set_state(sfp, sfp->state);
1370 }
1371
1372 #if IS_ENABLED(CONFIG_DEBUG_FS)
1373 static int sfp_debug_state_show(struct seq_file *s, void *data)
1374 {
1375         struct sfp *sfp = s->private;
1376
1377         seq_printf(s, "Module state: %s\n",
1378                    mod_state_to_str(sfp->sm_mod_state));
1379         seq_printf(s, "Module probe attempts: %d %d\n",
1380                    R_PROBE_RETRY_INIT - sfp->sm_mod_tries_init,
1381                    R_PROBE_RETRY_SLOW - sfp->sm_mod_tries);
1382         seq_printf(s, "Device state: %s\n",
1383                    dev_state_to_str(sfp->sm_dev_state));
1384         seq_printf(s, "Main state: %s\n",
1385                    sm_state_to_str(sfp->sm_state));
1386         seq_printf(s, "Fault recovery remaining retries: %d\n",
1387                    sfp->sm_fault_retries);
1388         seq_printf(s, "PHY probe remaining retries: %d\n",
1389                    sfp->sm_phy_retries);
1390         seq_printf(s, "moddef0: %d\n", !!(sfp->state & SFP_F_PRESENT));
1391         seq_printf(s, "rx_los: %d\n", !!(sfp->state & SFP_F_LOS));
1392         seq_printf(s, "tx_fault: %d\n", !!(sfp->state & SFP_F_TX_FAULT));
1393         seq_printf(s, "tx_disable: %d\n", !!(sfp->state & SFP_F_TX_DISABLE));
1394         return 0;
1395 }
1396 DEFINE_SHOW_ATTRIBUTE(sfp_debug_state);
1397
1398 static void sfp_debugfs_init(struct sfp *sfp)
1399 {
1400         sfp->debugfs_dir = debugfs_create_dir(dev_name(sfp->dev), NULL);
1401
1402         debugfs_create_file("state", 0600, sfp->debugfs_dir, sfp,
1403                             &sfp_debug_state_fops);
1404 }
1405
1406 static void sfp_debugfs_exit(struct sfp *sfp)
1407 {
1408         debugfs_remove_recursive(sfp->debugfs_dir);
1409 }
1410 #else
1411 static void sfp_debugfs_init(struct sfp *sfp)
1412 {
1413 }
1414
1415 static void sfp_debugfs_exit(struct sfp *sfp)
1416 {
1417 }
1418 #endif
1419
1420 static void sfp_module_tx_fault_reset(struct sfp *sfp)
1421 {
1422         unsigned int state = sfp->state;
1423
1424         if (state & SFP_F_TX_DISABLE)
1425                 return;
1426
1427         sfp_set_state(sfp, state | SFP_F_TX_DISABLE);
1428
1429         udelay(T_RESET_US);
1430
1431         sfp_set_state(sfp, state);
1432 }
1433
1434 /* SFP state machine */
1435 static void sfp_sm_set_timer(struct sfp *sfp, unsigned int timeout)
1436 {
1437         if (timeout)
1438                 mod_delayed_work(system_power_efficient_wq, &sfp->timeout,
1439                                  timeout);
1440         else
1441                 cancel_delayed_work(&sfp->timeout);
1442 }
1443
1444 static void sfp_sm_next(struct sfp *sfp, unsigned int state,
1445                         unsigned int timeout)
1446 {
1447         sfp->sm_state = state;
1448         sfp_sm_set_timer(sfp, timeout);
1449 }
1450
1451 static void sfp_sm_mod_next(struct sfp *sfp, unsigned int state,
1452                             unsigned int timeout)
1453 {
1454         sfp->sm_mod_state = state;
1455         sfp_sm_set_timer(sfp, timeout);
1456 }
1457
1458 static void sfp_sm_phy_detach(struct sfp *sfp)
1459 {
1460         sfp_remove_phy(sfp->sfp_bus);
1461         phy_device_remove(sfp->mod_phy);
1462         phy_device_free(sfp->mod_phy);
1463         sfp->mod_phy = NULL;
1464 }
1465
1466 static int sfp_sm_probe_phy(struct sfp *sfp, bool is_c45)
1467 {
1468         struct phy_device *phy;
1469         int err;
1470
1471         phy = get_phy_device(sfp->i2c_mii, SFP_PHY_ADDR, is_c45);
1472         if (phy == ERR_PTR(-ENODEV))
1473                 return PTR_ERR(phy);
1474         if (IS_ERR(phy)) {
1475                 dev_err(sfp->dev, "mdiobus scan returned %pe\n", phy);
1476                 return PTR_ERR(phy);
1477         }
1478
1479         err = phy_device_register(phy);
1480         if (err) {
1481                 phy_device_free(phy);
1482                 dev_err(sfp->dev, "phy_device_register failed: %pe\n",
1483                         ERR_PTR(err));
1484                 return err;
1485         }
1486
1487         err = sfp_add_phy(sfp->sfp_bus, phy);
1488         if (err) {
1489                 phy_device_remove(phy);
1490                 phy_device_free(phy);
1491                 dev_err(sfp->dev, "sfp_add_phy failed: %pe\n", ERR_PTR(err));
1492                 return err;
1493         }
1494
1495         sfp->mod_phy = phy;
1496
1497         return 0;
1498 }
1499
1500 static void sfp_sm_link_up(struct sfp *sfp)
1501 {
1502         sfp_link_up(sfp->sfp_bus);
1503         sfp_sm_next(sfp, SFP_S_LINK_UP, 0);
1504 }
1505
1506 static void sfp_sm_link_down(struct sfp *sfp)
1507 {
1508         sfp_link_down(sfp->sfp_bus);
1509 }
1510
1511 static void sfp_sm_link_check_los(struct sfp *sfp)
1512 {
1513         const __be16 los_inverted = cpu_to_be16(SFP_OPTIONS_LOS_INVERTED);
1514         const __be16 los_normal = cpu_to_be16(SFP_OPTIONS_LOS_NORMAL);
1515         __be16 los_options = sfp->id.ext.options & (los_inverted | los_normal);
1516         bool los = false;
1517
1518         /* If neither SFP_OPTIONS_LOS_INVERTED nor SFP_OPTIONS_LOS_NORMAL
1519          * are set, we assume that no LOS signal is available. If both are
1520          * set, we assume LOS is not implemented (and is meaningless.)
1521          */
1522         if (los_options == los_inverted)
1523                 los = !(sfp->state & SFP_F_LOS);
1524         else if (los_options == los_normal)
1525                 los = !!(sfp->state & SFP_F_LOS);
1526
1527         if (los)
1528                 sfp_sm_next(sfp, SFP_S_WAIT_LOS, 0);
1529         else
1530                 sfp_sm_link_up(sfp);
1531 }
1532
1533 static bool sfp_los_event_active(struct sfp *sfp, unsigned int event)
1534 {
1535         const __be16 los_inverted = cpu_to_be16(SFP_OPTIONS_LOS_INVERTED);
1536         const __be16 los_normal = cpu_to_be16(SFP_OPTIONS_LOS_NORMAL);
1537         __be16 los_options = sfp->id.ext.options & (los_inverted | los_normal);
1538
1539         return (los_options == los_inverted && event == SFP_E_LOS_LOW) ||
1540                (los_options == los_normal && event == SFP_E_LOS_HIGH);
1541 }
1542
1543 static bool sfp_los_event_inactive(struct sfp *sfp, unsigned int event)
1544 {
1545         const __be16 los_inverted = cpu_to_be16(SFP_OPTIONS_LOS_INVERTED);
1546         const __be16 los_normal = cpu_to_be16(SFP_OPTIONS_LOS_NORMAL);
1547         __be16 los_options = sfp->id.ext.options & (los_inverted | los_normal);
1548
1549         return (los_options == los_inverted && event == SFP_E_LOS_HIGH) ||
1550                (los_options == los_normal && event == SFP_E_LOS_LOW);
1551 }
1552
1553 static void sfp_sm_fault(struct sfp *sfp, unsigned int next_state, bool warn)
1554 {
1555         if (sfp->sm_fault_retries && !--sfp->sm_fault_retries) {
1556                 dev_err(sfp->dev,
1557                         "module persistently indicates fault, disabling\n");
1558                 sfp_sm_next(sfp, SFP_S_TX_DISABLE, 0);
1559         } else {
1560                 if (warn)
1561                         dev_err(sfp->dev, "module transmit fault indicated\n");
1562
1563                 sfp_sm_next(sfp, next_state, T_FAULT_RECOVER);
1564         }
1565 }
1566
1567 /* Probe a SFP for a PHY device if the module supports copper - the PHY
1568  * normally sits at I2C bus address 0x56, and may either be a clause 22
1569  * or clause 45 PHY.
1570  *
1571  * Clause 22 copper SFP modules normally operate in Cisco SGMII mode with
1572  * negotiation enabled, but some may be in 1000base-X - which is for the
1573  * PHY driver to determine.
1574  *
1575  * Clause 45 copper SFP+ modules (10G) appear to switch their interface
1576  * mode according to the negotiated line speed.
1577  */
1578 static int sfp_sm_probe_for_phy(struct sfp *sfp)
1579 {
1580         int err = 0;
1581
1582         switch (sfp->id.base.extended_cc) {
1583         case SFF8024_ECC_10GBASE_T_SFI:
1584         case SFF8024_ECC_10GBASE_T_SR:
1585         case SFF8024_ECC_5GBASE_T:
1586         case SFF8024_ECC_2_5GBASE_T:
1587                 err = sfp_sm_probe_phy(sfp, true);
1588                 break;
1589
1590         default:
1591                 if (sfp->id.base.e1000_base_t)
1592                         err = sfp_sm_probe_phy(sfp, false);
1593                 break;
1594         }
1595         return err;
1596 }
1597
1598 static int sfp_module_parse_power(struct sfp *sfp)
1599 {
1600         u32 power_mW = 1000;
1601         bool supports_a2;
1602
1603         if (sfp->id.ext.options & cpu_to_be16(SFP_OPTIONS_POWER_DECL))
1604                 power_mW = 1500;
1605         if (sfp->id.ext.options & cpu_to_be16(SFP_OPTIONS_HIGH_POWER_LEVEL))
1606                 power_mW = 2000;
1607
1608         supports_a2 = sfp->id.ext.sff8472_compliance !=
1609                                 SFP_SFF8472_COMPLIANCE_NONE ||
1610                       sfp->id.ext.diagmon & SFP_DIAGMON_DDM;
1611
1612         if (power_mW > sfp->max_power_mW) {
1613                 /* Module power specification exceeds the allowed maximum. */
1614                 if (!supports_a2) {
1615                         /* The module appears not to implement bus address
1616                          * 0xa2, so assume that the module powers up in the
1617                          * indicated mode.
1618                          */
1619                         dev_err(sfp->dev,
1620                                 "Host does not support %u.%uW modules\n",
1621                                 power_mW / 1000, (power_mW / 100) % 10);
1622                         return -EINVAL;
1623                 } else {
1624                         dev_warn(sfp->dev,
1625                                  "Host does not support %u.%uW modules, module left in power mode 1\n",
1626                                  power_mW / 1000, (power_mW / 100) % 10);
1627                         return 0;
1628                 }
1629         }
1630
1631         if (power_mW <= 1000) {
1632                 /* Modules below 1W do not require a power change sequence */
1633                 sfp->module_power_mW = power_mW;
1634                 return 0;
1635         }
1636
1637         if (!supports_a2) {
1638                 /* The module power level is below the host maximum and the
1639                  * module appears not to implement bus address 0xa2, so assume
1640                  * that the module powers up in the indicated mode.
1641                  */
1642                 return 0;
1643         }
1644
1645         /* If the module requires a higher power mode, but also requires
1646          * an address change sequence, warn the user that the module may
1647          * not be functional.
1648          */
1649         if (sfp->id.ext.diagmon & SFP_DIAGMON_ADDRMODE) {
1650                 dev_warn(sfp->dev,
1651                          "Address Change Sequence not supported but module requires %u.%uW, module may not be functional\n",
1652                          power_mW / 1000, (power_mW / 100) % 10);
1653                 return 0;
1654         }
1655
1656         sfp->module_power_mW = power_mW;
1657
1658         return 0;
1659 }
1660
1661 static int sfp_sm_mod_hpower(struct sfp *sfp, bool enable)
1662 {
1663         u8 val;
1664         int err;
1665
1666         err = sfp_read(sfp, true, SFP_EXT_STATUS, &val, sizeof(val));
1667         if (err != sizeof(val)) {
1668                 dev_err(sfp->dev, "Failed to read EEPROM: %pe\n", ERR_PTR(err));
1669                 return -EAGAIN;
1670         }
1671
1672         /* DM7052 reports as a high power module, responds to reads (with
1673          * all bytes 0xff) at 0x51 but does not accept writes.  In any case,
1674          * if the bit is already set, we're already in high power mode.
1675          */
1676         if (!!(val & BIT(0)) == enable)
1677                 return 0;
1678
1679         if (enable)
1680                 val |= BIT(0);
1681         else
1682                 val &= ~BIT(0);
1683
1684         err = sfp_write(sfp, true, SFP_EXT_STATUS, &val, sizeof(val));
1685         if (err != sizeof(val)) {
1686                 dev_err(sfp->dev, "Failed to write EEPROM: %pe\n",
1687                         ERR_PTR(err));
1688                 return -EAGAIN;
1689         }
1690
1691         if (enable)
1692                 dev_info(sfp->dev, "Module switched to %u.%uW power level\n",
1693                          sfp->module_power_mW / 1000,
1694                          (sfp->module_power_mW / 100) % 10);
1695
1696         return 0;
1697 }
1698
1699 /* GPON modules based on Realtek RTL8672 and RTL9601C chips (e.g. V-SOL
1700  * V2801F, CarlitoxxPro CPGOS03-0490, Ubiquiti U-Fiber Instant, ...) do
1701  * not support multibyte reads from the EEPROM. Each multi-byte read
1702  * operation returns just one byte of EEPROM followed by zeros. There is
1703  * no way to identify which modules are using Realtek RTL8672 and RTL9601C
1704  * chips. Moreover every OEM of V-SOL V2801F module puts its own vendor
1705  * name and vendor id into EEPROM, so there is even no way to detect if
1706  * module is V-SOL V2801F. Therefore check for those zeros in the read
1707  * data and then based on check switch to reading EEPROM to one byte
1708  * at a time.
1709  */
1710 static bool sfp_id_needs_byte_io(struct sfp *sfp, void *buf, size_t len)
1711 {
1712         size_t i, block_size = sfp->i2c_block_size;
1713
1714         /* Already using byte IO */
1715         if (block_size == 1)
1716                 return false;
1717
1718         for (i = 1; i < len; i += block_size) {
1719                 if (memchr_inv(buf + i, '\0', min(block_size - 1, len - i)))
1720                         return false;
1721         }
1722         return true;
1723 }
1724
1725 static int sfp_cotsworks_fixup_check(struct sfp *sfp, struct sfp_eeprom_id *id)
1726 {
1727         u8 check;
1728         int err;
1729
1730         if (id->base.phys_id != SFF8024_ID_SFF_8472 ||
1731             id->base.phys_ext_id != SFP_PHYS_EXT_ID_SFP ||
1732             id->base.connector != SFF8024_CONNECTOR_LC) {
1733                 dev_warn(sfp->dev, "Rewriting fiber module EEPROM with corrected values\n");
1734                 id->base.phys_id = SFF8024_ID_SFF_8472;
1735                 id->base.phys_ext_id = SFP_PHYS_EXT_ID_SFP;
1736                 id->base.connector = SFF8024_CONNECTOR_LC;
1737                 err = sfp_write(sfp, false, SFP_PHYS_ID, &id->base, 3);
1738                 if (err != 3) {
1739                         dev_err(sfp->dev,
1740                                 "Failed to rewrite module EEPROM: %pe\n",
1741                                 ERR_PTR(err));
1742                         return err;
1743                 }
1744
1745                 /* Cotsworks modules have been found to require a delay between write operations. */
1746                 mdelay(50);
1747
1748                 /* Update base structure checksum */
1749                 check = sfp_check(&id->base, sizeof(id->base) - 1);
1750                 err = sfp_write(sfp, false, SFP_CC_BASE, &check, 1);
1751                 if (err != 1) {
1752                         dev_err(sfp->dev,
1753                                 "Failed to update base structure checksum in fiber module EEPROM: %pe\n",
1754                                 ERR_PTR(err));
1755                         return err;
1756                 }
1757         }
1758         return 0;
1759 }
1760
1761 static int sfp_sm_mod_probe(struct sfp *sfp, bool report)
1762 {
1763         /* SFP module inserted - read I2C data */
1764         struct sfp_eeprom_id id;
1765         bool cotsworks_sfbg;
1766         bool cotsworks;
1767         u8 check;
1768         int ret;
1769
1770         /* Some SFP modules and also some Linux I2C drivers do not like reads
1771          * longer than 16 bytes, so read the EEPROM in chunks of 16 bytes at
1772          * a time.
1773          */
1774         sfp->i2c_block_size = 16;
1775
1776         ret = sfp_read(sfp, false, 0, &id.base, sizeof(id.base));
1777         if (ret < 0) {
1778                 if (report)
1779                         dev_err(sfp->dev, "failed to read EEPROM: %pe\n",
1780                                 ERR_PTR(ret));
1781                 return -EAGAIN;
1782         }
1783
1784         if (ret != sizeof(id.base)) {
1785                 dev_err(sfp->dev, "EEPROM short read: %pe\n", ERR_PTR(ret));
1786                 return -EAGAIN;
1787         }
1788
1789         /* Some SFP modules (e.g. Nokia 3FE46541AA) lock up if read from
1790          * address 0x51 is just one byte at a time. Also SFF-8472 requires
1791          * that EEPROM supports atomic 16bit read operation for diagnostic
1792          * fields, so do not switch to one byte reading at a time unless it
1793          * is really required and we have no other option.
1794          */
1795         if (sfp_id_needs_byte_io(sfp, &id.base, sizeof(id.base))) {
1796                 dev_info(sfp->dev,
1797                          "Detected broken RTL8672/RTL9601C emulated EEPROM\n");
1798                 dev_info(sfp->dev,
1799                          "Switching to reading EEPROM to one byte at a time\n");
1800                 sfp->i2c_block_size = 1;
1801
1802                 ret = sfp_read(sfp, false, 0, &id.base, sizeof(id.base));
1803                 if (ret < 0) {
1804                         if (report)
1805                                 dev_err(sfp->dev,
1806                                         "failed to read EEPROM: %pe\n",
1807                                         ERR_PTR(ret));
1808                         return -EAGAIN;
1809                 }
1810
1811                 if (ret != sizeof(id.base)) {
1812                         dev_err(sfp->dev, "EEPROM short read: %pe\n",
1813                                 ERR_PTR(ret));
1814                         return -EAGAIN;
1815                 }
1816         }
1817
1818         /* Cotsworks do not seem to update the checksums when they
1819          * do the final programming with the final module part number,
1820          * serial number and date code.
1821          */
1822         cotsworks = !memcmp(id.base.vendor_name, "COTSWORKS       ", 16);
1823         cotsworks_sfbg = !memcmp(id.base.vendor_pn, "SFBG", 4);
1824
1825         /* Cotsworks SFF module EEPROM do not always have valid phys_id,
1826          * phys_ext_id, and connector bytes.  Rewrite SFF EEPROM bytes if
1827          * Cotsworks PN matches and bytes are not correct.
1828          */
1829         if (cotsworks && cotsworks_sfbg) {
1830                 ret = sfp_cotsworks_fixup_check(sfp, &id);
1831                 if (ret < 0)
1832                         return ret;
1833         }
1834
1835         /* Validate the checksum over the base structure */
1836         check = sfp_check(&id.base, sizeof(id.base) - 1);
1837         if (check != id.base.cc_base) {
1838                 if (cotsworks) {
1839                         dev_warn(sfp->dev,
1840                                  "EEPROM base structure checksum failure (0x%02x != 0x%02x)\n",
1841                                  check, id.base.cc_base);
1842                 } else {
1843                         dev_err(sfp->dev,
1844                                 "EEPROM base structure checksum failure: 0x%02x != 0x%02x\n",
1845                                 check, id.base.cc_base);
1846                         print_hex_dump(KERN_ERR, "sfp EE: ", DUMP_PREFIX_OFFSET,
1847                                        16, 1, &id, sizeof(id), true);
1848                         return -EINVAL;
1849                 }
1850         }
1851
1852         ret = sfp_read(sfp, false, SFP_CC_BASE + 1, &id.ext, sizeof(id.ext));
1853         if (ret < 0) {
1854                 if (report)
1855                         dev_err(sfp->dev, "failed to read EEPROM: %pe\n",
1856                                 ERR_PTR(ret));
1857                 return -EAGAIN;
1858         }
1859
1860         if (ret != sizeof(id.ext)) {
1861                 dev_err(sfp->dev, "EEPROM short read: %pe\n", ERR_PTR(ret));
1862                 return -EAGAIN;
1863         }
1864
1865         check = sfp_check(&id.ext, sizeof(id.ext) - 1);
1866         if (check != id.ext.cc_ext) {
1867                 if (cotsworks) {
1868                         dev_warn(sfp->dev,
1869                                  "EEPROM extended structure checksum failure (0x%02x != 0x%02x)\n",
1870                                  check, id.ext.cc_ext);
1871                 } else {
1872                         dev_err(sfp->dev,
1873                                 "EEPROM extended structure checksum failure: 0x%02x != 0x%02x\n",
1874                                 check, id.ext.cc_ext);
1875                         print_hex_dump(KERN_ERR, "sfp EE: ", DUMP_PREFIX_OFFSET,
1876                                        16, 1, &id, sizeof(id), true);
1877                         memset(&id.ext, 0, sizeof(id.ext));
1878                 }
1879         }
1880
1881         sfp->id = id;
1882
1883         dev_info(sfp->dev, "module %.*s %.*s rev %.*s sn %.*s dc %.*s\n",
1884                  (int)sizeof(id.base.vendor_name), id.base.vendor_name,
1885                  (int)sizeof(id.base.vendor_pn), id.base.vendor_pn,
1886                  (int)sizeof(id.base.vendor_rev), id.base.vendor_rev,
1887                  (int)sizeof(id.ext.vendor_sn), id.ext.vendor_sn,
1888                  (int)sizeof(id.ext.datecode), id.ext.datecode);
1889
1890         /* Check whether we support this module */
1891         if (!sfp->type->module_supported(&id)) {
1892                 dev_err(sfp->dev,
1893                         "module is not supported - phys id 0x%02x 0x%02x\n",
1894                         sfp->id.base.phys_id, sfp->id.base.phys_ext_id);
1895                 return -EINVAL;
1896         }
1897
1898         /* If the module requires address swap mode, warn about it */
1899         if (sfp->id.ext.diagmon & SFP_DIAGMON_ADDRMODE)
1900                 dev_warn(sfp->dev,
1901                          "module address swap to access page 0xA2 is not supported.\n");
1902
1903         /* Parse the module power requirement */
1904         ret = sfp_module_parse_power(sfp);
1905         if (ret < 0)
1906                 return ret;
1907
1908         /* Initialise state bits to use from hardware */
1909         sfp->state_hw_mask = SFP_F_PRESENT;
1910         if (sfp->gpio[GPIO_TX_DISABLE])
1911                 sfp->state_hw_mask |= SFP_F_TX_DISABLE;
1912         if (sfp->gpio[GPIO_TX_FAULT])
1913                 sfp->state_hw_mask |= SFP_F_TX_FAULT;
1914         if (sfp->gpio[GPIO_LOS])
1915                 sfp->state_hw_mask |= SFP_F_LOS;
1916
1917         if (!memcmp(id.base.vendor_name, "ALCATELLUCENT   ", 16) &&
1918             !memcmp(id.base.vendor_pn, "3FE46541AA      ", 16))
1919                 sfp->module_t_start_up = T_START_UP_BAD_GPON;
1920         else
1921                 sfp->module_t_start_up = T_START_UP;
1922
1923         if (!memcmp(id.base.vendor_name, "HUAWEI          ", 16) &&
1924             !memcmp(id.base.vendor_pn, "MA5671A         ", 16))
1925                 sfp->tx_fault_ignore = true;
1926         else
1927                 sfp->tx_fault_ignore = false;
1928
1929         return 0;
1930 }
1931
1932 static void sfp_sm_mod_remove(struct sfp *sfp)
1933 {
1934         if (sfp->sm_mod_state > SFP_MOD_WAITDEV)
1935                 sfp_module_remove(sfp->sfp_bus);
1936
1937         sfp_hwmon_remove(sfp);
1938
1939         memset(&sfp->id, 0, sizeof(sfp->id));
1940         sfp->module_power_mW = 0;
1941
1942         dev_info(sfp->dev, "module removed\n");
1943 }
1944
1945 /* This state machine tracks the upstream's state */
1946 static void sfp_sm_device(struct sfp *sfp, unsigned int event)
1947 {
1948         switch (sfp->sm_dev_state) {
1949         default:
1950                 if (event == SFP_E_DEV_ATTACH)
1951                         sfp->sm_dev_state = SFP_DEV_DOWN;
1952                 break;
1953
1954         case SFP_DEV_DOWN:
1955                 if (event == SFP_E_DEV_DETACH)
1956                         sfp->sm_dev_state = SFP_DEV_DETACHED;
1957                 else if (event == SFP_E_DEV_UP)
1958                         sfp->sm_dev_state = SFP_DEV_UP;
1959                 break;
1960
1961         case SFP_DEV_UP:
1962                 if (event == SFP_E_DEV_DETACH)
1963                         sfp->sm_dev_state = SFP_DEV_DETACHED;
1964                 else if (event == SFP_E_DEV_DOWN)
1965                         sfp->sm_dev_state = SFP_DEV_DOWN;
1966                 break;
1967         }
1968 }
1969
1970 /* This state machine tracks the insert/remove state of the module, probes
1971  * the on-board EEPROM, and sets up the power level.
1972  */
1973 static void sfp_sm_module(struct sfp *sfp, unsigned int event)
1974 {
1975         int err;
1976
1977         /* Handle remove event globally, it resets this state machine */
1978         if (event == SFP_E_REMOVE) {
1979                 if (sfp->sm_mod_state > SFP_MOD_PROBE)
1980                         sfp_sm_mod_remove(sfp);
1981                 sfp_sm_mod_next(sfp, SFP_MOD_EMPTY, 0);
1982                 return;
1983         }
1984
1985         /* Handle device detach globally */
1986         if (sfp->sm_dev_state < SFP_DEV_DOWN &&
1987             sfp->sm_mod_state > SFP_MOD_WAITDEV) {
1988                 if (sfp->module_power_mW > 1000 &&
1989                     sfp->sm_mod_state > SFP_MOD_HPOWER)
1990                         sfp_sm_mod_hpower(sfp, false);
1991                 sfp_sm_mod_next(sfp, SFP_MOD_WAITDEV, 0);
1992                 return;
1993         }
1994
1995         switch (sfp->sm_mod_state) {
1996         default:
1997                 if (event == SFP_E_INSERT) {
1998                         sfp_sm_mod_next(sfp, SFP_MOD_PROBE, T_SERIAL);
1999                         sfp->sm_mod_tries_init = R_PROBE_RETRY_INIT;
2000                         sfp->sm_mod_tries = R_PROBE_RETRY_SLOW;
2001                 }
2002                 break;
2003
2004         case SFP_MOD_PROBE:
2005                 /* Wait for T_PROBE_INIT to time out */
2006                 if (event != SFP_E_TIMEOUT)
2007                         break;
2008
2009                 err = sfp_sm_mod_probe(sfp, sfp->sm_mod_tries == 1);
2010                 if (err == -EAGAIN) {
2011                         if (sfp->sm_mod_tries_init &&
2012                            --sfp->sm_mod_tries_init) {
2013                                 sfp_sm_set_timer(sfp, T_PROBE_RETRY_INIT);
2014                                 break;
2015                         } else if (sfp->sm_mod_tries && --sfp->sm_mod_tries) {
2016                                 if (sfp->sm_mod_tries == R_PROBE_RETRY_SLOW - 1)
2017                                         dev_warn(sfp->dev,
2018                                                  "please wait, module slow to respond\n");
2019                                 sfp_sm_set_timer(sfp, T_PROBE_RETRY_SLOW);
2020                                 break;
2021                         }
2022                 }
2023                 if (err < 0) {
2024                         sfp_sm_mod_next(sfp, SFP_MOD_ERROR, 0);
2025                         break;
2026                 }
2027
2028                 err = sfp_hwmon_insert(sfp);
2029                 if (err)
2030                         dev_warn(sfp->dev, "hwmon probe failed: %pe\n",
2031                                  ERR_PTR(err));
2032
2033                 sfp_sm_mod_next(sfp, SFP_MOD_WAITDEV, 0);
2034                 fallthrough;
2035         case SFP_MOD_WAITDEV:
2036                 /* Ensure that the device is attached before proceeding */
2037                 if (sfp->sm_dev_state < SFP_DEV_DOWN)
2038                         break;
2039
2040                 /* Report the module insertion to the upstream device */
2041                 err = sfp_module_insert(sfp->sfp_bus, &sfp->id);
2042                 if (err < 0) {
2043                         sfp_sm_mod_next(sfp, SFP_MOD_ERROR, 0);
2044                         break;
2045                 }
2046
2047                 /* If this is a power level 1 module, we are done */
2048                 if (sfp->module_power_mW <= 1000)
2049                         goto insert;
2050
2051                 sfp_sm_mod_next(sfp, SFP_MOD_HPOWER, 0);
2052                 fallthrough;
2053         case SFP_MOD_HPOWER:
2054                 /* Enable high power mode */
2055                 err = sfp_sm_mod_hpower(sfp, true);
2056                 if (err < 0) {
2057                         if (err != -EAGAIN) {
2058                                 sfp_module_remove(sfp->sfp_bus);
2059                                 sfp_sm_mod_next(sfp, SFP_MOD_ERROR, 0);
2060                         } else {
2061                                 sfp_sm_set_timer(sfp, T_PROBE_RETRY_INIT);
2062                         }
2063                         break;
2064                 }
2065
2066                 sfp_sm_mod_next(sfp, SFP_MOD_WAITPWR, T_HPOWER_LEVEL);
2067                 break;
2068
2069         case SFP_MOD_WAITPWR:
2070                 /* Wait for T_HPOWER_LEVEL to time out */
2071                 if (event != SFP_E_TIMEOUT)
2072                         break;
2073
2074         insert:
2075                 sfp_sm_mod_next(sfp, SFP_MOD_PRESENT, 0);
2076                 break;
2077
2078         case SFP_MOD_PRESENT:
2079         case SFP_MOD_ERROR:
2080                 break;
2081         }
2082 }
2083
2084 static void sfp_sm_main(struct sfp *sfp, unsigned int event)
2085 {
2086         unsigned long timeout;
2087         int ret;
2088
2089         /* Some events are global */
2090         if (sfp->sm_state != SFP_S_DOWN &&
2091             (sfp->sm_mod_state != SFP_MOD_PRESENT ||
2092              sfp->sm_dev_state != SFP_DEV_UP)) {
2093                 if (sfp->sm_state == SFP_S_LINK_UP &&
2094                     sfp->sm_dev_state == SFP_DEV_UP)
2095                         sfp_sm_link_down(sfp);
2096                 if (sfp->sm_state > SFP_S_INIT)
2097                         sfp_module_stop(sfp->sfp_bus);
2098                 if (sfp->mod_phy)
2099                         sfp_sm_phy_detach(sfp);
2100                 sfp_module_tx_disable(sfp);
2101                 sfp_soft_stop_poll(sfp);
2102                 sfp_sm_next(sfp, SFP_S_DOWN, 0);
2103                 return;
2104         }
2105
2106         /* The main state machine */
2107         switch (sfp->sm_state) {
2108         case SFP_S_DOWN:
2109                 if (sfp->sm_mod_state != SFP_MOD_PRESENT ||
2110                     sfp->sm_dev_state != SFP_DEV_UP)
2111                         break;
2112
2113                 if (!(sfp->id.ext.diagmon & SFP_DIAGMON_ADDRMODE))
2114                         sfp_soft_start_poll(sfp);
2115
2116                 sfp_module_tx_enable(sfp);
2117
2118                 /* Initialise the fault clearance retries */
2119                 sfp->sm_fault_retries = N_FAULT_INIT;
2120
2121                 /* We need to check the TX_FAULT state, which is not defined
2122                  * while TX_DISABLE is asserted. The earliest we want to do
2123                  * anything (such as probe for a PHY) is 50ms.
2124                  */
2125                 sfp_sm_next(sfp, SFP_S_WAIT, T_WAIT);
2126                 break;
2127
2128         case SFP_S_WAIT:
2129                 if (event != SFP_E_TIMEOUT)
2130                         break;
2131
2132                 if (sfp->state & SFP_F_TX_FAULT) {
2133                         /* Wait up to t_init (SFF-8472) or t_start_up (SFF-8431)
2134                          * from the TX_DISABLE deassertion for the module to
2135                          * initialise, which is indicated by TX_FAULT
2136                          * deasserting.
2137                          */
2138                         timeout = sfp->module_t_start_up;
2139                         if (timeout > T_WAIT)
2140                                 timeout -= T_WAIT;
2141                         else
2142                                 timeout = 1;
2143
2144                         sfp_sm_next(sfp, SFP_S_INIT, timeout);
2145                 } else {
2146                         /* TX_FAULT is not asserted, assume the module has
2147                          * finished initialising.
2148                          */
2149                         goto init_done;
2150                 }
2151                 break;
2152
2153         case SFP_S_INIT:
2154                 if (event == SFP_E_TIMEOUT && sfp->state & SFP_F_TX_FAULT) {
2155                         /* TX_FAULT is still asserted after t_init
2156                          * or t_start_up, so assume there is a fault.
2157                          */
2158                         sfp_sm_fault(sfp, SFP_S_INIT_TX_FAULT,
2159                                      sfp->sm_fault_retries == N_FAULT_INIT);
2160                 } else if (event == SFP_E_TIMEOUT || event == SFP_E_TX_CLEAR) {
2161         init_done:
2162                         sfp->sm_phy_retries = R_PHY_RETRY;
2163                         goto phy_probe;
2164                 }
2165                 break;
2166
2167         case SFP_S_INIT_PHY:
2168                 if (event != SFP_E_TIMEOUT)
2169                         break;
2170         phy_probe:
2171                 /* TX_FAULT deasserted or we timed out with TX_FAULT
2172                  * clear.  Probe for the PHY and check the LOS state.
2173                  */
2174                 ret = sfp_sm_probe_for_phy(sfp);
2175                 if (ret == -ENODEV) {
2176                         if (--sfp->sm_phy_retries) {
2177                                 sfp_sm_next(sfp, SFP_S_INIT_PHY, T_PHY_RETRY);
2178                                 break;
2179                         } else {
2180                                 dev_info(sfp->dev, "no PHY detected\n");
2181                         }
2182                 } else if (ret) {
2183                         sfp_sm_next(sfp, SFP_S_FAIL, 0);
2184                         break;
2185                 }
2186                 if (sfp_module_start(sfp->sfp_bus)) {
2187                         sfp_sm_next(sfp, SFP_S_FAIL, 0);
2188                         break;
2189                 }
2190                 sfp_sm_link_check_los(sfp);
2191
2192                 /* Reset the fault retry count */
2193                 sfp->sm_fault_retries = N_FAULT;
2194                 break;
2195
2196         case SFP_S_INIT_TX_FAULT:
2197                 if (event == SFP_E_TIMEOUT) {
2198                         sfp_module_tx_fault_reset(sfp);
2199                         sfp_sm_next(sfp, SFP_S_INIT, sfp->module_t_start_up);
2200                 }
2201                 break;
2202
2203         case SFP_S_WAIT_LOS:
2204                 if (event == SFP_E_TX_FAULT)
2205                         sfp_sm_fault(sfp, SFP_S_TX_FAULT, true);
2206                 else if (sfp_los_event_inactive(sfp, event))
2207                         sfp_sm_link_up(sfp);
2208                 break;
2209
2210         case SFP_S_LINK_UP:
2211                 if (event == SFP_E_TX_FAULT) {
2212                         sfp_sm_link_down(sfp);
2213                         sfp_sm_fault(sfp, SFP_S_TX_FAULT, true);
2214                 } else if (sfp_los_event_active(sfp, event)) {
2215                         sfp_sm_link_down(sfp);
2216                         sfp_sm_next(sfp, SFP_S_WAIT_LOS, 0);
2217                 }
2218                 break;
2219
2220         case SFP_S_TX_FAULT:
2221                 if (event == SFP_E_TIMEOUT) {
2222                         sfp_module_tx_fault_reset(sfp);
2223                         sfp_sm_next(sfp, SFP_S_REINIT, sfp->module_t_start_up);
2224                 }
2225                 break;
2226
2227         case SFP_S_REINIT:
2228                 if (event == SFP_E_TIMEOUT && sfp->state & SFP_F_TX_FAULT) {
2229                         sfp_sm_fault(sfp, SFP_S_TX_FAULT, false);
2230                 } else if (event == SFP_E_TIMEOUT || event == SFP_E_TX_CLEAR) {
2231                         dev_info(sfp->dev, "module transmit fault recovered\n");
2232                         sfp_sm_link_check_los(sfp);
2233                 }
2234                 break;
2235
2236         case SFP_S_TX_DISABLE:
2237                 break;
2238         }
2239 }
2240
2241 static void sfp_sm_event(struct sfp *sfp, unsigned int event)
2242 {
2243         mutex_lock(&sfp->sm_mutex);
2244
2245         dev_dbg(sfp->dev, "SM: enter %s:%s:%s event %s\n",
2246                 mod_state_to_str(sfp->sm_mod_state),
2247                 dev_state_to_str(sfp->sm_dev_state),
2248                 sm_state_to_str(sfp->sm_state),
2249                 event_to_str(event));
2250
2251         sfp_sm_device(sfp, event);
2252         sfp_sm_module(sfp, event);
2253         sfp_sm_main(sfp, event);
2254
2255         dev_dbg(sfp->dev, "SM: exit %s:%s:%s\n",
2256                 mod_state_to_str(sfp->sm_mod_state),
2257                 dev_state_to_str(sfp->sm_dev_state),
2258                 sm_state_to_str(sfp->sm_state));
2259
2260         mutex_unlock(&sfp->sm_mutex);
2261 }
2262
2263 static void sfp_attach(struct sfp *sfp)
2264 {
2265         sfp_sm_event(sfp, SFP_E_DEV_ATTACH);
2266 }
2267
2268 static void sfp_detach(struct sfp *sfp)
2269 {
2270         sfp_sm_event(sfp, SFP_E_DEV_DETACH);
2271 }
2272
2273 static void sfp_start(struct sfp *sfp)
2274 {
2275         sfp_sm_event(sfp, SFP_E_DEV_UP);
2276 }
2277
2278 static void sfp_stop(struct sfp *sfp)
2279 {
2280         sfp_sm_event(sfp, SFP_E_DEV_DOWN);
2281 }
2282
2283 static int sfp_module_info(struct sfp *sfp, struct ethtool_modinfo *modinfo)
2284 {
2285         /* locking... and check module is present */
2286
2287         if (sfp->id.ext.sff8472_compliance &&
2288             !(sfp->id.ext.diagmon & SFP_DIAGMON_ADDRMODE)) {
2289                 modinfo->type = ETH_MODULE_SFF_8472;
2290                 modinfo->eeprom_len = ETH_MODULE_SFF_8472_LEN;
2291         } else {
2292                 modinfo->type = ETH_MODULE_SFF_8079;
2293                 modinfo->eeprom_len = ETH_MODULE_SFF_8079_LEN;
2294         }
2295         return 0;
2296 }
2297
2298 static int sfp_module_eeprom(struct sfp *sfp, struct ethtool_eeprom *ee,
2299                              u8 *data)
2300 {
2301         unsigned int first, last, len;
2302         int ret;
2303
2304         if (ee->len == 0)
2305                 return -EINVAL;
2306
2307         first = ee->offset;
2308         last = ee->offset + ee->len;
2309         if (first < ETH_MODULE_SFF_8079_LEN) {
2310                 len = min_t(unsigned int, last, ETH_MODULE_SFF_8079_LEN);
2311                 len -= first;
2312
2313                 ret = sfp_read(sfp, false, first, data, len);
2314                 if (ret < 0)
2315                         return ret;
2316
2317                 first += len;
2318                 data += len;
2319         }
2320         if (first < ETH_MODULE_SFF_8472_LEN && last > ETH_MODULE_SFF_8079_LEN) {
2321                 len = min_t(unsigned int, last, ETH_MODULE_SFF_8472_LEN);
2322                 len -= first;
2323                 first -= ETH_MODULE_SFF_8079_LEN;
2324
2325                 ret = sfp_read(sfp, true, first, data, len);
2326                 if (ret < 0)
2327                         return ret;
2328         }
2329         return 0;
2330 }
2331
2332 static int sfp_module_eeprom_by_page(struct sfp *sfp,
2333                                      const struct ethtool_module_eeprom *page,
2334                                      struct netlink_ext_ack *extack)
2335 {
2336         if (page->bank) {
2337                 NL_SET_ERR_MSG(extack, "Banks not supported");
2338                 return -EOPNOTSUPP;
2339         }
2340
2341         if (page->page) {
2342                 NL_SET_ERR_MSG(extack, "Only page 0 supported");
2343                 return -EOPNOTSUPP;
2344         }
2345
2346         if (page->i2c_address != 0x50 &&
2347             page->i2c_address != 0x51) {
2348                 NL_SET_ERR_MSG(extack, "Only address 0x50 and 0x51 supported");
2349                 return -EOPNOTSUPP;
2350         }
2351
2352         return sfp_read(sfp, page->i2c_address == 0x51, page->offset,
2353                         page->data, page->length);
2354 };
2355
2356 static const struct sfp_socket_ops sfp_module_ops = {
2357         .attach = sfp_attach,
2358         .detach = sfp_detach,
2359         .start = sfp_start,
2360         .stop = sfp_stop,
2361         .module_info = sfp_module_info,
2362         .module_eeprom = sfp_module_eeprom,
2363         .module_eeprom_by_page = sfp_module_eeprom_by_page,
2364 };
2365
2366 static void sfp_timeout(struct work_struct *work)
2367 {
2368         struct sfp *sfp = container_of(work, struct sfp, timeout.work);
2369
2370         rtnl_lock();
2371         sfp_sm_event(sfp, SFP_E_TIMEOUT);
2372         rtnl_unlock();
2373 }
2374
2375 static void sfp_check_state(struct sfp *sfp)
2376 {
2377         unsigned int state, i, changed;
2378
2379         mutex_lock(&sfp->st_mutex);
2380         state = sfp_get_state(sfp);
2381         changed = state ^ sfp->state;
2382         if (sfp->tx_fault_ignore)
2383                 changed &= SFP_F_PRESENT | SFP_F_LOS;
2384         else
2385                 changed &= SFP_F_PRESENT | SFP_F_LOS | SFP_F_TX_FAULT;
2386
2387         for (i = 0; i < GPIO_MAX; i++)
2388                 if (changed & BIT(i))
2389                         dev_dbg(sfp->dev, "%s %u -> %u\n", gpio_of_names[i],
2390                                 !!(sfp->state & BIT(i)), !!(state & BIT(i)));
2391
2392         state |= sfp->state & (SFP_F_TX_DISABLE | SFP_F_RATE_SELECT);
2393         sfp->state = state;
2394
2395         rtnl_lock();
2396         if (changed & SFP_F_PRESENT)
2397                 sfp_sm_event(sfp, state & SFP_F_PRESENT ?
2398                                 SFP_E_INSERT : SFP_E_REMOVE);
2399
2400         if (changed & SFP_F_TX_FAULT)
2401                 sfp_sm_event(sfp, state & SFP_F_TX_FAULT ?
2402                                 SFP_E_TX_FAULT : SFP_E_TX_CLEAR);
2403
2404         if (changed & SFP_F_LOS)
2405                 sfp_sm_event(sfp, state & SFP_F_LOS ?
2406                                 SFP_E_LOS_HIGH : SFP_E_LOS_LOW);
2407         rtnl_unlock();
2408         mutex_unlock(&sfp->st_mutex);
2409 }
2410
2411 static irqreturn_t sfp_irq(int irq, void *data)
2412 {
2413         struct sfp *sfp = data;
2414
2415         sfp_check_state(sfp);
2416
2417         return IRQ_HANDLED;
2418 }
2419
2420 static void sfp_poll(struct work_struct *work)
2421 {
2422         struct sfp *sfp = container_of(work, struct sfp, poll.work);
2423
2424         sfp_check_state(sfp);
2425
2426         if (sfp->state_soft_mask & (SFP_F_LOS | SFP_F_TX_FAULT) ||
2427             sfp->need_poll)
2428                 mod_delayed_work(system_wq, &sfp->poll, poll_jiffies);
2429 }
2430
2431 static struct sfp *sfp_alloc(struct device *dev)
2432 {
2433         struct sfp *sfp;
2434
2435         sfp = kzalloc(sizeof(*sfp), GFP_KERNEL);
2436         if (!sfp)
2437                 return ERR_PTR(-ENOMEM);
2438
2439         sfp->dev = dev;
2440
2441         mutex_init(&sfp->sm_mutex);
2442         mutex_init(&sfp->st_mutex);
2443         INIT_DELAYED_WORK(&sfp->poll, sfp_poll);
2444         INIT_DELAYED_WORK(&sfp->timeout, sfp_timeout);
2445
2446         sfp_hwmon_init(sfp);
2447
2448         return sfp;
2449 }
2450
2451 static void sfp_cleanup(void *data)
2452 {
2453         struct sfp *sfp = data;
2454
2455         sfp_hwmon_exit(sfp);
2456
2457         cancel_delayed_work_sync(&sfp->poll);
2458         cancel_delayed_work_sync(&sfp->timeout);
2459         if (sfp->i2c_mii) {
2460                 mdiobus_unregister(sfp->i2c_mii);
2461                 mdiobus_free(sfp->i2c_mii);
2462         }
2463         if (sfp->i2c)
2464                 i2c_put_adapter(sfp->i2c);
2465         kfree(sfp);
2466 }
2467
2468 static int sfp_probe(struct platform_device *pdev)
2469 {
2470         const struct sff_data *sff;
2471         struct i2c_adapter *i2c;
2472         char *sfp_irq_name;
2473         struct sfp *sfp;
2474         int err, i;
2475
2476         sfp = sfp_alloc(&pdev->dev);
2477         if (IS_ERR(sfp))
2478                 return PTR_ERR(sfp);
2479
2480         platform_set_drvdata(pdev, sfp);
2481
2482         err = devm_add_action_or_reset(sfp->dev, sfp_cleanup, sfp);
2483         if (err < 0)
2484                 return err;
2485
2486         sff = sfp->type = &sfp_data;
2487
2488         if (pdev->dev.of_node) {
2489                 struct device_node *node = pdev->dev.of_node;
2490                 const struct of_device_id *id;
2491                 struct device_node *np;
2492
2493                 id = of_match_node(sfp_of_match, node);
2494                 if (WARN_ON(!id))
2495                         return -EINVAL;
2496
2497                 sff = sfp->type = id->data;
2498
2499                 np = of_parse_phandle(node, "i2c-bus", 0);
2500                 if (!np) {
2501                         dev_err(sfp->dev, "missing 'i2c-bus' property\n");
2502                         return -ENODEV;
2503                 }
2504
2505                 i2c = of_find_i2c_adapter_by_node(np);
2506                 of_node_put(np);
2507         } else if (has_acpi_companion(&pdev->dev)) {
2508                 struct acpi_device *adev = ACPI_COMPANION(&pdev->dev);
2509                 struct fwnode_handle *fw = acpi_fwnode_handle(adev);
2510                 struct fwnode_reference_args args;
2511                 struct acpi_handle *acpi_handle;
2512                 int ret;
2513
2514                 ret = acpi_node_get_property_reference(fw, "i2c-bus", 0, &args);
2515                 if (ret || !is_acpi_device_node(args.fwnode)) {
2516                         dev_err(&pdev->dev, "missing 'i2c-bus' property\n");
2517                         return -ENODEV;
2518                 }
2519
2520                 acpi_handle = ACPI_HANDLE_FWNODE(args.fwnode);
2521                 i2c = i2c_acpi_find_adapter_by_handle(acpi_handle);
2522         } else {
2523                 return -EINVAL;
2524         }
2525
2526         if (!i2c)
2527                 return -EPROBE_DEFER;
2528
2529         err = sfp_i2c_configure(sfp, i2c);
2530         if (err < 0) {
2531                 i2c_put_adapter(i2c);
2532                 return err;
2533         }
2534
2535         for (i = 0; i < GPIO_MAX; i++)
2536                 if (sff->gpios & BIT(i)) {
2537                         sfp->gpio[i] = devm_gpiod_get_optional(sfp->dev,
2538                                            gpio_of_names[i], gpio_flags[i]);
2539                         if (IS_ERR(sfp->gpio[i]))
2540                                 return PTR_ERR(sfp->gpio[i]);
2541                 }
2542
2543         sfp->state_hw_mask = SFP_F_PRESENT;
2544
2545         sfp->get_state = sfp_gpio_get_state;
2546         sfp->set_state = sfp_gpio_set_state;
2547
2548         /* Modules that have no detect signal are always present */
2549         if (!(sfp->gpio[GPIO_MODDEF0]))
2550                 sfp->get_state = sff_gpio_get_state;
2551
2552         device_property_read_u32(&pdev->dev, "maximum-power-milliwatt",
2553                                  &sfp->max_power_mW);
2554         if (!sfp->max_power_mW)
2555                 sfp->max_power_mW = 1000;
2556
2557         dev_info(sfp->dev, "Host maximum power %u.%uW\n",
2558                  sfp->max_power_mW / 1000, (sfp->max_power_mW / 100) % 10);
2559
2560         /* Get the initial state, and always signal TX disable,
2561          * since the network interface will not be up.
2562          */
2563         sfp->state = sfp_get_state(sfp) | SFP_F_TX_DISABLE;
2564
2565         if (sfp->gpio[GPIO_RATE_SELECT] &&
2566             gpiod_get_value_cansleep(sfp->gpio[GPIO_RATE_SELECT]))
2567                 sfp->state |= SFP_F_RATE_SELECT;
2568         sfp_set_state(sfp, sfp->state);
2569         sfp_module_tx_disable(sfp);
2570         if (sfp->state & SFP_F_PRESENT) {
2571                 rtnl_lock();
2572                 sfp_sm_event(sfp, SFP_E_INSERT);
2573                 rtnl_unlock();
2574         }
2575
2576         for (i = 0; i < GPIO_MAX; i++) {
2577                 if (gpio_flags[i] != GPIOD_IN || !sfp->gpio[i])
2578                         continue;
2579
2580                 sfp->gpio_irq[i] = gpiod_to_irq(sfp->gpio[i]);
2581                 if (sfp->gpio_irq[i] < 0) {
2582                         sfp->gpio_irq[i] = 0;
2583                         sfp->need_poll = true;
2584                         continue;
2585                 }
2586
2587                 sfp_irq_name = devm_kasprintf(sfp->dev, GFP_KERNEL,
2588                                               "%s-%s", dev_name(sfp->dev),
2589                                               gpio_of_names[i]);
2590
2591                 if (!sfp_irq_name)
2592                         return -ENOMEM;
2593
2594                 err = devm_request_threaded_irq(sfp->dev, sfp->gpio_irq[i],
2595                                                 NULL, sfp_irq,
2596                                                 IRQF_ONESHOT |
2597                                                 IRQF_TRIGGER_RISING |
2598                                                 IRQF_TRIGGER_FALLING,
2599                                                 sfp_irq_name, sfp);
2600                 if (err) {
2601                         sfp->gpio_irq[i] = 0;
2602                         sfp->need_poll = true;
2603                 }
2604         }
2605
2606         if (sfp->need_poll)
2607                 mod_delayed_work(system_wq, &sfp->poll, poll_jiffies);
2608
2609         /* We could have an issue in cases no Tx disable pin is available or
2610          * wired as modules using a laser as their light source will continue to
2611          * be active when the fiber is removed. This could be a safety issue and
2612          * we should at least warn the user about that.
2613          */
2614         if (!sfp->gpio[GPIO_TX_DISABLE])
2615                 dev_warn(sfp->dev,
2616                          "No tx_disable pin: SFP modules will always be emitting.\n");
2617
2618         sfp->sfp_bus = sfp_register_socket(sfp->dev, sfp, &sfp_module_ops);
2619         if (!sfp->sfp_bus)
2620                 return -ENOMEM;
2621
2622         sfp_debugfs_init(sfp);
2623
2624         return 0;
2625 }
2626
2627 static int sfp_remove(struct platform_device *pdev)
2628 {
2629         struct sfp *sfp = platform_get_drvdata(pdev);
2630
2631         sfp_debugfs_exit(sfp);
2632         sfp_unregister_socket(sfp->sfp_bus);
2633
2634         rtnl_lock();
2635         sfp_sm_event(sfp, SFP_E_REMOVE);
2636         rtnl_unlock();
2637
2638         return 0;
2639 }
2640
2641 static void sfp_shutdown(struct platform_device *pdev)
2642 {
2643         struct sfp *sfp = platform_get_drvdata(pdev);
2644         int i;
2645
2646         for (i = 0; i < GPIO_MAX; i++) {
2647                 if (!sfp->gpio_irq[i])
2648                         continue;
2649
2650                 devm_free_irq(sfp->dev, sfp->gpio_irq[i], sfp);
2651         }
2652
2653         cancel_delayed_work_sync(&sfp->poll);
2654         cancel_delayed_work_sync(&sfp->timeout);
2655 }
2656
2657 static struct platform_driver sfp_driver = {
2658         .probe = sfp_probe,
2659         .remove = sfp_remove,
2660         .shutdown = sfp_shutdown,
2661         .driver = {
2662                 .name = "sfp",
2663                 .of_match_table = sfp_of_match,
2664         },
2665 };
2666
2667 static int sfp_init(void)
2668 {
2669         poll_jiffies = msecs_to_jiffies(100);
2670
2671         return platform_driver_register(&sfp_driver);
2672 }
2673 module_init(sfp_init);
2674
2675 static void sfp_exit(void)
2676 {
2677         platform_driver_unregister(&sfp_driver);
2678 }
2679 module_exit(sfp_exit);
2680
2681 MODULE_ALIAS("platform:sfp");
2682 MODULE_AUTHOR("Russell King");
2683 MODULE_LICENSE("GPL v2");