i2c: mxc_i2c: Document how non-DM functions work
[platform/kernel/u-boot.git] / drivers / i2c / mxc_i2c.c
1 // SPDX-License-Identifier: GPL-2.0+
2 /*
3  * i2c driver for Freescale i.MX series
4  *
5  * (c) 2007 Pengutronix, Sascha Hauer <s.hauer@pengutronix.de>
6  * (c) 2011 Marek Vasut <marek.vasut@gmail.com>
7  *
8  * Based on i2c-imx.c from linux kernel:
9  *  Copyright (C) 2005 Torsten Koschorrek <koschorrek at synertronixx.de>
10  *  Copyright (C) 2005 Matthias Blaschke <blaschke at synertronixx.de>
11  *  Copyright (C) 2007 RightHand Technologies, Inc.
12  *  Copyright (C) 2008 Darius Augulis <darius.augulis at teltonika.lt>
13  *
14  */
15
16 #include <common.h>
17 #include <asm/arch/clock.h>
18 #include <asm/arch/imx-regs.h>
19 #include <linux/errno.h>
20 #include <asm/mach-imx/mxc_i2c.h>
21 #include <asm/io.h>
22 #include <i2c.h>
23 #include <watchdog.h>
24 #include <dm.h>
25 #include <dm/pinctrl.h>
26 #include <fdtdec.h>
27
28 DECLARE_GLOBAL_DATA_PTR;
29
30 #define I2C_QUIRK_FLAG          (1 << 0)
31
32 #define IMX_I2C_REGSHIFT        2
33 #define VF610_I2C_REGSHIFT      0
34
35 #define I2C_EARLY_INIT_INDEX            0
36 #ifdef CONFIG_SYS_I2C_IFDR_DIV
37 #define I2C_IFDR_DIV_CONSERVATIVE       CONFIG_SYS_I2C_IFDR_DIV
38 #else
39 #define I2C_IFDR_DIV_CONSERVATIVE       0x7e
40 #endif
41
42 /* Register index */
43 #define IADR    0
44 #define IFDR    1
45 #define I2CR    2
46 #define I2SR    3
47 #define I2DR    4
48
49 #define I2CR_IIEN       (1 << 6)
50 #define I2CR_MSTA       (1 << 5)
51 #define I2CR_MTX        (1 << 4)
52 #define I2CR_TX_NO_AK   (1 << 3)
53 #define I2CR_RSTA       (1 << 2)
54
55 #define I2SR_ICF        (1 << 7)
56 #define I2SR_IBB        (1 << 5)
57 #define I2SR_IAL        (1 << 4)
58 #define I2SR_IIF        (1 << 1)
59 #define I2SR_RX_NO_AK   (1 << 0)
60
61 #ifdef I2C_QUIRK_REG
62 #define I2CR_IEN        (0 << 7)
63 #define I2CR_IDIS       (1 << 7)
64 #define I2SR_IIF_CLEAR  (1 << 1)
65 #else
66 #define I2CR_IEN        (1 << 7)
67 #define I2CR_IDIS       (0 << 7)
68 #define I2SR_IIF_CLEAR  (0 << 1)
69 #endif
70
71 #ifdef I2C_QUIRK_REG
72 static u16 i2c_clk_div[60][2] = {
73         { 20,   0x00 }, { 22,   0x01 }, { 24,   0x02 }, { 26,   0x03 },
74         { 28,   0x04 }, { 30,   0x05 }, { 32,   0x09 }, { 34,   0x06 },
75         { 36,   0x0A }, { 40,   0x07 }, { 44,   0x0C }, { 48,   0x0D },
76         { 52,   0x43 }, { 56,   0x0E }, { 60,   0x45 }, { 64,   0x12 },
77         { 68,   0x0F }, { 72,   0x13 }, { 80,   0x14 }, { 88,   0x15 },
78         { 96,   0x19 }, { 104,  0x16 }, { 112,  0x1A }, { 128,  0x17 },
79         { 136,  0x4F }, { 144,  0x1C }, { 160,  0x1D }, { 176,  0x55 },
80         { 192,  0x1E }, { 208,  0x56 }, { 224,  0x22 }, { 228,  0x24 },
81         { 240,  0x1F }, { 256,  0x23 }, { 288,  0x5C }, { 320,  0x25 },
82         { 384,  0x26 }, { 448,  0x2A }, { 480,  0x27 }, { 512,  0x2B },
83         { 576,  0x2C }, { 640,  0x2D }, { 768,  0x31 }, { 896,  0x32 },
84         { 960,  0x2F }, { 1024, 0x33 }, { 1152, 0x34 }, { 1280, 0x35 },
85         { 1536, 0x36 }, { 1792, 0x3A }, { 1920, 0x37 }, { 2048, 0x3B },
86         { 2304, 0x3C }, { 2560, 0x3D }, { 3072, 0x3E }, { 3584, 0x7A },
87         { 3840, 0x3F }, { 4096, 0x7B }, { 5120, 0x7D }, { 6144, 0x7E },
88 };
89 #else
90 static u16 i2c_clk_div[50][2] = {
91         { 22,   0x20 }, { 24,   0x21 }, { 26,   0x22 }, { 28,   0x23 },
92         { 30,   0x00 }, { 32,   0x24 }, { 36,   0x25 }, { 40,   0x26 },
93         { 42,   0x03 }, { 44,   0x27 }, { 48,   0x28 }, { 52,   0x05 },
94         { 56,   0x29 }, { 60,   0x06 }, { 64,   0x2A }, { 72,   0x2B },
95         { 80,   0x2C }, { 88,   0x09 }, { 96,   0x2D }, { 104,  0x0A },
96         { 112,  0x2E }, { 128,  0x2F }, { 144,  0x0C }, { 160,  0x30 },
97         { 192,  0x31 }, { 224,  0x32 }, { 240,  0x0F }, { 256,  0x33 },
98         { 288,  0x10 }, { 320,  0x34 }, { 384,  0x35 }, { 448,  0x36 },
99         { 480,  0x13 }, { 512,  0x37 }, { 576,  0x14 }, { 640,  0x38 },
100         { 768,  0x39 }, { 896,  0x3A }, { 960,  0x17 }, { 1024, 0x3B },
101         { 1152, 0x18 }, { 1280, 0x3C }, { 1536, 0x3D }, { 1792, 0x3E },
102         { 1920, 0x1B }, { 2048, 0x3F }, { 2304, 0x1C }, { 2560, 0x1D },
103         { 3072, 0x1E }, { 3840, 0x1F }
104 };
105 #endif
106
107 #ifndef CONFIG_SYS_MXC_I2C1_SPEED
108 #define CONFIG_SYS_MXC_I2C1_SPEED 100000
109 #endif
110 #ifndef CONFIG_SYS_MXC_I2C2_SPEED
111 #define CONFIG_SYS_MXC_I2C2_SPEED 100000
112 #endif
113 #ifndef CONFIG_SYS_MXC_I2C3_SPEED
114 #define CONFIG_SYS_MXC_I2C3_SPEED 100000
115 #endif
116 #ifndef CONFIG_SYS_MXC_I2C4_SPEED
117 #define CONFIG_SYS_MXC_I2C4_SPEED 100000
118 #endif
119
120 #ifndef CONFIG_SYS_MXC_I2C1_SLAVE
121 #define CONFIG_SYS_MXC_I2C1_SLAVE 0
122 #endif
123 #ifndef CONFIG_SYS_MXC_I2C2_SLAVE
124 #define CONFIG_SYS_MXC_I2C2_SLAVE 0
125 #endif
126 #ifndef CONFIG_SYS_MXC_I2C3_SLAVE
127 #define CONFIG_SYS_MXC_I2C3_SLAVE 0
128 #endif
129 #ifndef CONFIG_SYS_MXC_I2C4_SLAVE
130 #define CONFIG_SYS_MXC_I2C4_SLAVE 0
131 #endif
132
133 /*
134  * Calculate and set proper clock divider
135  */
136 static uint8_t i2c_imx_get_clk(struct mxc_i2c_bus *i2c_bus, unsigned int rate)
137 {
138         unsigned int i2c_clk_rate;
139         unsigned int div;
140         u8 clk_div;
141
142 #if defined(CONFIG_MX31)
143         struct clock_control_regs *sc_regs =
144                 (struct clock_control_regs *)CCM_BASE;
145
146         /* start the required I2C clock */
147         writel(readl(&sc_regs->cgr0) | (3 << CONFIG_SYS_I2C_CLK_OFFSET),
148                 &sc_regs->cgr0);
149 #endif
150
151         /* Divider value calculation */
152         i2c_clk_rate = mxc_get_clock(MXC_I2C_CLK);
153         div = (i2c_clk_rate + rate - 1) / rate;
154         if (div < i2c_clk_div[0][0])
155                 clk_div = 0;
156         else if (div > i2c_clk_div[ARRAY_SIZE(i2c_clk_div) - 1][0])
157                 clk_div = ARRAY_SIZE(i2c_clk_div) - 1;
158         else
159                 for (clk_div = 0; i2c_clk_div[clk_div][0] < div; clk_div++)
160                         ;
161
162         /* Store divider value */
163         return clk_div;
164 }
165
166 /*
167  * Set I2C Bus speed
168  */
169 static int bus_i2c_set_bus_speed(struct mxc_i2c_bus *i2c_bus, int speed)
170 {
171         ulong base = i2c_bus->base;
172         bool quirk = i2c_bus->driver_data & I2C_QUIRK_FLAG ? true : false;
173         u8 clk_idx = i2c_imx_get_clk(i2c_bus, speed);
174         u8 idx = i2c_clk_div[clk_idx][1];
175         int reg_shift = quirk ? VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
176
177         if (!base)
178                 return -EINVAL;
179
180         /* Store divider value */
181         writeb(idx, base + (IFDR << reg_shift));
182
183         /* Reset module */
184         writeb(I2CR_IDIS, base + (I2CR << reg_shift));
185         writeb(0, base + (I2SR << reg_shift));
186         return 0;
187 }
188
189 #define ST_BUS_IDLE (0 | (I2SR_IBB << 8))
190 #define ST_BUS_BUSY (I2SR_IBB | (I2SR_IBB << 8))
191 #define ST_IIF (I2SR_IIF | (I2SR_IIF << 8))
192
193 static int wait_for_sr_state(struct mxc_i2c_bus *i2c_bus, unsigned state)
194 {
195         unsigned sr;
196         ulong elapsed;
197         bool quirk = i2c_bus->driver_data & I2C_QUIRK_FLAG ? true : false;
198         int reg_shift = quirk ? VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
199         ulong base = i2c_bus->base;
200         ulong start_time = get_timer(0);
201         for (;;) {
202                 sr = readb(base + (I2SR << reg_shift));
203                 if (sr & I2SR_IAL) {
204                         if (quirk)
205                                 writeb(sr | I2SR_IAL, base +
206                                        (I2SR << reg_shift));
207                         else
208                                 writeb(sr & ~I2SR_IAL, base +
209                                        (I2SR << reg_shift));
210                         printf("%s: Arbitration lost sr=%x cr=%x state=%x\n",
211                                 __func__, sr, readb(base + (I2CR << reg_shift)),
212                                 state);
213                         return -ERESTART;
214                 }
215                 if ((sr & (state >> 8)) == (unsigned char)state)
216                         return sr;
217                 WATCHDOG_RESET();
218                 elapsed = get_timer(start_time);
219                 if (elapsed > (CONFIG_SYS_HZ / 10))     /* .1 seconds */
220                         break;
221         }
222         printf("%s: failed sr=%x cr=%x state=%x\n", __func__,
223                sr, readb(base + (I2CR << reg_shift)), state);
224         return -ETIMEDOUT;
225 }
226
227 static int tx_byte(struct mxc_i2c_bus *i2c_bus, u8 byte)
228 {
229         int ret;
230         int reg_shift = i2c_bus->driver_data & I2C_QUIRK_FLAG ?
231                         VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
232         ulong base = i2c_bus->base;
233
234         writeb(I2SR_IIF_CLEAR, base + (I2SR << reg_shift));
235         writeb(byte, base + (I2DR << reg_shift));
236
237         ret = wait_for_sr_state(i2c_bus, ST_IIF);
238         if (ret < 0)
239                 return ret;
240         if (ret & I2SR_RX_NO_AK)
241                 return -EREMOTEIO;
242         return 0;
243 }
244
245 /*
246  * Stub implementations for outer i2c slave operations.
247  */
248 void __i2c_force_reset_slave(void)
249 {
250 }
251 void i2c_force_reset_slave(void)
252         __attribute__((weak, alias("__i2c_force_reset_slave")));
253
254 /*
255  * Stop I2C transaction
256  */
257 static void i2c_imx_stop(struct mxc_i2c_bus *i2c_bus)
258 {
259         int ret;
260         int reg_shift = i2c_bus->driver_data & I2C_QUIRK_FLAG ?
261                         VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
262         ulong base = i2c_bus->base;
263         unsigned int temp = readb(base + (I2CR << reg_shift));
264
265         temp &= ~(I2CR_MSTA | I2CR_MTX);
266         writeb(temp, base + (I2CR << reg_shift));
267         ret = wait_for_sr_state(i2c_bus, ST_BUS_IDLE);
268         if (ret < 0)
269                 printf("%s:trigger stop failed\n", __func__);
270 }
271
272 /*
273  * Send start signal, chip address and
274  * write register address
275  */
276 static int i2c_init_transfer_(struct mxc_i2c_bus *i2c_bus, u8 chip,
277                               u32 addr, int alen)
278 {
279         unsigned int temp;
280         int ret;
281         bool quirk = i2c_bus->driver_data & I2C_QUIRK_FLAG ? true : false;
282         ulong base = i2c_bus->base;
283         int reg_shift = quirk ? VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
284
285         /* Reset i2c slave */
286         i2c_force_reset_slave();
287
288         /* Enable I2C controller */
289         if (quirk)
290                 ret = readb(base + (I2CR << reg_shift)) & I2CR_IDIS;
291         else
292                 ret = !(readb(base + (I2CR << reg_shift)) & I2CR_IEN);
293
294         if (ret) {
295                 writeb(I2CR_IEN, base + (I2CR << reg_shift));
296                 /* Wait for controller to be stable */
297                 udelay(50);
298         }
299
300         if (readb(base + (IADR << reg_shift)) == (chip << 1))
301                 writeb((chip << 1) ^ 2, base + (IADR << reg_shift));
302         writeb(I2SR_IIF_CLEAR, base + (I2SR << reg_shift));
303         ret = wait_for_sr_state(i2c_bus, ST_BUS_IDLE);
304         if (ret < 0)
305                 return ret;
306
307         /* Start I2C transaction */
308         temp = readb(base + (I2CR << reg_shift));
309         temp |= I2CR_MSTA;
310         writeb(temp, base + (I2CR << reg_shift));
311
312         ret = wait_for_sr_state(i2c_bus, ST_BUS_BUSY);
313         if (ret < 0)
314                 return ret;
315
316         temp |= I2CR_MTX | I2CR_TX_NO_AK;
317         writeb(temp, base + (I2CR << reg_shift));
318
319         if (alen >= 0)  {
320                 /* write slave address */
321                 ret = tx_byte(i2c_bus, chip << 1);
322                 if (ret < 0)
323                         return ret;
324
325                 while (alen--) {
326                         ret = tx_byte(i2c_bus, (addr >> (alen * 8)) & 0xff);
327                         if (ret < 0)
328                                 return ret;
329                 }
330         }
331
332         return 0;
333 }
334
335 #ifndef CONFIG_DM_I2C
336 int i2c_idle_bus(struct mxc_i2c_bus *i2c_bus)
337 {
338         if (i2c_bus && i2c_bus->idle_bus_fn)
339                 return i2c_bus->idle_bus_fn(i2c_bus->idle_bus_data);
340         return 0;
341 }
342 #else
343 /*
344  * See Linux Documentation/devicetree/bindings/i2c/i2c-imx.txt
345  * "
346  *  scl-gpios: specify the gpio related to SCL pin
347  *  sda-gpios: specify the gpio related to SDA pin
348  *  add pinctrl to configure i2c pins to gpio function for i2c
349  *  bus recovery, call it "gpio" state
350  * "
351  *
352  * The i2c_idle_bus is an implementation following Linux Kernel.
353  */
354 int i2c_idle_bus(struct mxc_i2c_bus *i2c_bus)
355 {
356         struct udevice *bus = i2c_bus->bus;
357         struct dm_i2c_bus *i2c = dev_get_uclass_priv(bus);
358         struct gpio_desc *scl_gpio = &i2c_bus->scl_gpio;
359         struct gpio_desc *sda_gpio = &i2c_bus->sda_gpio;
360         int sda, scl, idle_sclks;
361         int i, ret = 0;
362         ulong elapsed, start_time;
363
364         if (pinctrl_select_state(bus, "gpio")) {
365                 dev_dbg(bus, "Can not to switch to use gpio pinmux\n");
366                 /*
367                  * GPIO pinctrl for i2c force idle is not a must,
368                  * but it is strongly recommended to be used.
369                  * Because it can help you to recover from bad
370                  * i2c bus state. Do not return failure, because
371                  * it is not a must.
372                  */
373                 return 0;
374         }
375
376         dm_gpio_set_dir_flags(scl_gpio, GPIOD_IS_IN);
377         dm_gpio_set_dir_flags(sda_gpio, GPIOD_IS_IN);
378         scl = dm_gpio_get_value(scl_gpio);
379         sda = dm_gpio_get_value(sda_gpio);
380
381         if ((sda & scl) == 1)
382                 goto exit;              /* Bus is idle already */
383
384         /*
385          * In most cases it is just enough to generate 8 + 1 SCLK
386          * clocks to recover I2C slave device from 'stuck' state
387          * (when for example SW reset was performed, in the middle of
388          * I2C transmission).
389          *
390          * However, there are devices which send data in packets of
391          * N bytes (N > 1). In such case we do need N * 8 + 1 SCLK
392          * clocks.
393          */
394         idle_sclks = 8 + 1;
395
396         if (i2c->max_transaction_bytes > 0)
397                 idle_sclks = i2c->max_transaction_bytes * 8 + 1;
398         /* Send high and low on the SCL line */
399         for (i = 0; i < idle_sclks; i++) {
400                 dm_gpio_set_dir_flags(scl_gpio, GPIOD_IS_OUT);
401                 dm_gpio_set_value(scl_gpio, 0);
402                 udelay(50);
403                 dm_gpio_set_dir_flags(scl_gpio, GPIOD_IS_IN);
404                 udelay(50);
405         }
406         start_time = get_timer(0);
407         for (;;) {
408                 dm_gpio_set_dir_flags(scl_gpio, GPIOD_IS_IN);
409                 dm_gpio_set_dir_flags(sda_gpio, GPIOD_IS_IN);
410                 scl = dm_gpio_get_value(scl_gpio);
411                 sda = dm_gpio_get_value(sda_gpio);
412                 if ((sda & scl) == 1)
413                         break;
414                 WATCHDOG_RESET();
415                 elapsed = get_timer(start_time);
416                 if (elapsed > (CONFIG_SYS_HZ / 5)) {    /* .2 seconds */
417                         ret = -EBUSY;
418                         printf("%s: failed to clear bus, sda=%d scl=%d\n", __func__, sda, scl);
419                         break;
420                 }
421         }
422
423 exit:
424         pinctrl_select_state(bus, "default");
425         return ret;
426 }
427 #endif
428
429 static int i2c_init_transfer(struct mxc_i2c_bus *i2c_bus, u8 chip,
430                              u32 addr, int alen)
431 {
432         int retry;
433         int ret;
434         int reg_shift = i2c_bus->driver_data & I2C_QUIRK_FLAG ?
435                         VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
436
437         if (!i2c_bus->base)
438                 return -EINVAL;
439
440         for (retry = 0; retry < 3; retry++) {
441                 ret = i2c_init_transfer_(i2c_bus, chip, addr, alen);
442                 if (ret >= 0)
443                         return 0;
444                 i2c_imx_stop(i2c_bus);
445                 if (ret == -EREMOTEIO)
446                         return ret;
447
448                 printf("%s: failed for chip 0x%x retry=%d\n", __func__, chip,
449                                 retry);
450                 if (ret != -ERESTART)
451                         /* Disable controller */
452                         writeb(I2CR_IDIS, i2c_bus->base + (I2CR << reg_shift));
453                 udelay(100);
454                 if (i2c_idle_bus(i2c_bus) < 0)
455                         break;
456         }
457         printf("%s: give up i2c_regs=0x%lx\n", __func__, i2c_bus->base);
458         return ret;
459 }
460
461
462 static int i2c_write_data(struct mxc_i2c_bus *i2c_bus, u8 chip, const u8 *buf,
463                           int len)
464 {
465         int i, ret = 0;
466
467         debug("i2c_write_data: chip=0x%x, len=0x%x\n", chip, len);
468         debug("write_data: ");
469         /* use rc for counter */
470         for (i = 0; i < len; ++i)
471                 debug(" 0x%02x", buf[i]);
472         debug("\n");
473
474         for (i = 0; i < len; i++) {
475                 ret = tx_byte(i2c_bus, buf[i]);
476                 if (ret < 0) {
477                         debug("i2c_write_data(): rc=%d\n", ret);
478                         break;
479                 }
480         }
481
482         return ret;
483 }
484
485 static int i2c_read_data(struct mxc_i2c_bus *i2c_bus, uchar chip, uchar *buf,
486                          int len)
487 {
488         int ret;
489         unsigned int temp;
490         int i;
491         int reg_shift = i2c_bus->driver_data & I2C_QUIRK_FLAG ?
492                         VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
493         ulong base = i2c_bus->base;
494
495         debug("i2c_read_data: chip=0x%x, len=0x%x\n", chip, len);
496
497         /* setup bus to read data */
498         temp = readb(base + (I2CR << reg_shift));
499         temp &= ~(I2CR_MTX | I2CR_TX_NO_AK);
500         if (len == 1)
501                 temp |= I2CR_TX_NO_AK;
502         writeb(temp, base + (I2CR << reg_shift));
503         writeb(I2SR_IIF_CLEAR, base + (I2SR << reg_shift));
504         /* dummy read to clear ICF */
505         readb(base + (I2DR << reg_shift));
506
507         /* read data */
508         for (i = 0; i < len; i++) {
509                 ret = wait_for_sr_state(i2c_bus, ST_IIF);
510                 if (ret < 0) {
511                         debug("i2c_read_data(): ret=%d\n", ret);
512                         i2c_imx_stop(i2c_bus);
513                         return ret;
514                 }
515
516                 /*
517                  * It must generate STOP before read I2DR to prevent
518                  * controller from generating another clock cycle
519                  */
520                 if (i == (len - 1)) {
521                         i2c_imx_stop(i2c_bus);
522                 } else if (i == (len - 2)) {
523                         temp = readb(base + (I2CR << reg_shift));
524                         temp |= I2CR_TX_NO_AK;
525                         writeb(temp, base + (I2CR << reg_shift));
526                 }
527                 writeb(I2SR_IIF_CLEAR, base + (I2SR << reg_shift));
528                 buf[i] = readb(base + (I2DR << reg_shift));
529         }
530
531         /* reuse ret for counter*/
532         for (ret = 0; ret < len; ++ret)
533                 debug(" 0x%02x", buf[ret]);
534         debug("\n");
535
536         i2c_imx_stop(i2c_bus);
537         return 0;
538 }
539
540 #ifndef CONFIG_DM_I2C
541 /*
542  * Read data from I2C device
543  *
544  * The transactions use the syntax defined in the Linux kernel I2C docs.
545  *
546  * If alen is > 0, then this function will send a transaction of the form:
547  *     S Chip Wr [A] Addr [A] S Chip Rd [A] [data] A ... NA P
548  * This is a normal I2C register read: writing the register address, then doing
549  * a repeated start and reading the data.
550  *
551  * If alen == 0, then we get this transaction:
552  *     S Chip Wr [A] S Chip Rd [A] [data] A ... NA P
553  * This is somewhat unusual, though valid, transaction.  It addresses the chip
554  * in write mode, but doesn't actually write any register address or data, then
555  * does a repeated start and reads data.
556  *
557  * If alen < 0, then we get this transaction:
558  *     S Chip Rd [A] [data] A ... NA P
559  * The chip is addressed in read mode and then data is read.  No register
560  * address is written first.  This is perfectly valid on most devices and
561  * required on some (usually those that don't act like an array of registers).
562  */
563 static int bus_i2c_read(struct mxc_i2c_bus *i2c_bus, u8 chip, u32 addr,
564                         int alen, u8 *buf, int len)
565 {
566         int ret = 0;
567         u32 temp;
568         int reg_shift = i2c_bus->driver_data & I2C_QUIRK_FLAG ?
569                 VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
570         ulong base = i2c_bus->base;
571
572         ret = i2c_init_transfer(i2c_bus, chip, addr, alen);
573         if (ret < 0)
574                 return ret;
575
576         if (alen >= 0) {
577                 temp = readb(base + (I2CR << reg_shift));
578                 temp |= I2CR_RSTA;
579                 writeb(temp, base + (I2CR << reg_shift));
580         }
581
582         ret = tx_byte(i2c_bus, (chip << 1) | 1);
583         if (ret < 0) {
584                 i2c_imx_stop(i2c_bus);
585                 return ret;
586         }
587
588         ret = i2c_read_data(i2c_bus, chip, buf, len);
589
590         i2c_imx_stop(i2c_bus);
591         return ret;
592 }
593
594 /*
595  * Write data to I2C device
596  *
597  * If alen > 0, we get this transaction:
598  *    S Chip Wr [A] addr [A] data [A] ... [A] P
599  * An ordinary write register command.
600  *
601  * If alen == 0, then we get this:
602  *    S Chip Wr [A] data [A] ... [A] P
603  * This is a simple I2C write.
604  *
605  * If alen < 0, then we get this:
606  *    S data [A] ... [A] P
607  * This is most likely NOT something that should be used.  It doesn't send the
608  * chip address first, so in effect, the first byte of data will be used as the
609  * address.
610  */
611 static int bus_i2c_write(struct mxc_i2c_bus *i2c_bus, u8 chip, u32 addr,
612                          int alen, const u8 *buf, int len)
613 {
614         int ret = 0;
615
616         ret = i2c_init_transfer(i2c_bus, chip, addr, alen);
617         if (ret < 0)
618                 return ret;
619
620         ret = i2c_write_data(i2c_bus, chip, buf, len);
621
622         i2c_imx_stop(i2c_bus);
623
624         return ret;
625 }
626
627 #if !defined(I2C2_BASE_ADDR)
628 #define I2C2_BASE_ADDR  0
629 #endif
630
631 #if !defined(I2C3_BASE_ADDR)
632 #define I2C3_BASE_ADDR  0
633 #endif
634
635 #if !defined(I2C4_BASE_ADDR)
636 #define I2C4_BASE_ADDR  0
637 #endif
638
639 #if !defined(I2C5_BASE_ADDR)
640 #define I2C5_BASE_ADDR 0
641 #endif
642
643 #if !defined(I2C6_BASE_ADDR)
644 #define I2C6_BASE_ADDR 0
645 #endif
646
647 #if !defined(I2C7_BASE_ADDR)
648 #define I2C7_BASE_ADDR 0
649 #endif
650
651 #if !defined(I2C8_BASE_ADDR)
652 #define I2C8_BASE_ADDR 0
653 #endif
654
655 static struct mxc_i2c_bus mxc_i2c_buses[] = {
656 #if defined(CONFIG_ARCH_LS1021A) || defined(CONFIG_VF610) || \
657         defined(CONFIG_FSL_LAYERSCAPE)
658         { 0, I2C1_BASE_ADDR, I2C_QUIRK_FLAG },
659         { 1, I2C2_BASE_ADDR, I2C_QUIRK_FLAG },
660         { 2, I2C3_BASE_ADDR, I2C_QUIRK_FLAG },
661         { 3, I2C4_BASE_ADDR, I2C_QUIRK_FLAG },
662         { 4, I2C5_BASE_ADDR, I2C_QUIRK_FLAG },
663         { 5, I2C6_BASE_ADDR, I2C_QUIRK_FLAG },
664         { 6, I2C7_BASE_ADDR, I2C_QUIRK_FLAG },
665         { 7, I2C8_BASE_ADDR, I2C_QUIRK_FLAG },
666 #else
667         { 0, I2C1_BASE_ADDR, 0 },
668         { 1, I2C2_BASE_ADDR, 0 },
669         { 2, I2C3_BASE_ADDR, 0 },
670         { 3, I2C4_BASE_ADDR, 0 },
671         { 4, I2C5_BASE_ADDR, 0 },
672         { 5, I2C6_BASE_ADDR, 0 },
673         { 6, I2C7_BASE_ADDR, 0 },
674         { 7, I2C8_BASE_ADDR, 0 },
675 #endif
676 };
677
678 struct mxc_i2c_bus *i2c_get_base(struct i2c_adapter *adap)
679 {
680         return &mxc_i2c_buses[adap->hwadapnr];
681 }
682
683 static int mxc_i2c_read(struct i2c_adapter *adap, uint8_t chip,
684                                 uint addr, int alen, uint8_t *buffer,
685                                 int len)
686 {
687         return bus_i2c_read(i2c_get_base(adap), chip, addr, alen, buffer, len);
688 }
689
690 static int mxc_i2c_write(struct i2c_adapter *adap, uint8_t chip,
691                                 uint addr, int alen, uint8_t *buffer,
692                                 int len)
693 {
694         return bus_i2c_write(i2c_get_base(adap), chip, addr, alen, buffer, len);
695 }
696
697 /*
698  * Test if a chip at a given address responds (probe the chip)
699  */
700 static int mxc_i2c_probe(struct i2c_adapter *adap, uint8_t chip)
701 {
702         return bus_i2c_write(i2c_get_base(adap), chip, 0, 0, NULL, 0);
703 }
704
705 int __enable_i2c_clk(unsigned char enable, unsigned i2c_num)
706 {
707         return 1;
708 }
709 int enable_i2c_clk(unsigned char enable, unsigned i2c_num)
710         __attribute__((weak, alias("__enable_i2c_clk")));
711
712 void bus_i2c_init(int index, int speed, int unused,
713                   int (*idle_bus_fn)(void *p), void *idle_bus_data)
714 {
715         int ret;
716
717         if (index >= ARRAY_SIZE(mxc_i2c_buses)) {
718                 debug("Error i2c index\n");
719                 return;
720         }
721
722         /*
723          * Warning: Be careful to allow the assignment to a static
724          * variable here. This function could be called while U-Boot is
725          * still running in flash memory. So such assignment is equal
726          * to write data to flash without erasing.
727          */
728         if (idle_bus_fn)
729                 mxc_i2c_buses[index].idle_bus_fn = idle_bus_fn;
730         if (idle_bus_data)
731                 mxc_i2c_buses[index].idle_bus_data = idle_bus_data;
732
733         ret = enable_i2c_clk(1, index);
734         if (ret < 0) {
735                 debug("I2C-%d clk fail to enable.\n", index);
736                 return;
737         }
738
739         bus_i2c_set_bus_speed(&mxc_i2c_buses[index], speed);
740 }
741
742 /*
743  * Early init I2C for prepare read the clk through I2C.
744  */
745 void i2c_early_init_f(void)
746 {
747         ulong base = mxc_i2c_buses[I2C_EARLY_INIT_INDEX].base;
748         bool quirk = mxc_i2c_buses[I2C_EARLY_INIT_INDEX].driver_data
749                                         & I2C_QUIRK_FLAG ? true : false;
750         int reg_shift = quirk ? VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
751
752         /* Set I2C divider value */
753         writeb(I2C_IFDR_DIV_CONSERVATIVE, base + (IFDR << reg_shift));
754         /* Reset module */
755         writeb(I2CR_IDIS, base + (I2CR << reg_shift));
756         writeb(0, base + (I2SR << reg_shift));
757         /* Enable I2C */
758         writeb(I2CR_IEN, base + (I2CR << reg_shift));
759 }
760
761 /*
762  * Init I2C Bus
763  */
764 static void mxc_i2c_init(struct i2c_adapter *adap, int speed, int slaveaddr)
765 {
766         bus_i2c_init(adap->hwadapnr, speed, slaveaddr, NULL, NULL);
767 }
768
769 /*
770  * Set I2C Speed
771  */
772 static u32 mxc_i2c_set_bus_speed(struct i2c_adapter *adap, uint speed)
773 {
774         return bus_i2c_set_bus_speed(i2c_get_base(adap), speed);
775 }
776
777 /*
778  * Register mxc i2c adapters
779  */
780 #ifdef CONFIG_SYS_I2C_MXC_I2C1
781 U_BOOT_I2C_ADAP_COMPLETE(mxc0, mxc_i2c_init, mxc_i2c_probe,
782                          mxc_i2c_read, mxc_i2c_write,
783                          mxc_i2c_set_bus_speed,
784                          CONFIG_SYS_MXC_I2C1_SPEED,
785                          CONFIG_SYS_MXC_I2C1_SLAVE, 0)
786 #endif
787
788 #ifdef CONFIG_SYS_I2C_MXC_I2C2
789 U_BOOT_I2C_ADAP_COMPLETE(mxc1, mxc_i2c_init, mxc_i2c_probe,
790                          mxc_i2c_read, mxc_i2c_write,
791                          mxc_i2c_set_bus_speed,
792                          CONFIG_SYS_MXC_I2C2_SPEED,
793                          CONFIG_SYS_MXC_I2C2_SLAVE, 1)
794 #endif
795
796 #ifdef CONFIG_SYS_I2C_MXC_I2C3
797 U_BOOT_I2C_ADAP_COMPLETE(mxc2, mxc_i2c_init, mxc_i2c_probe,
798                          mxc_i2c_read, mxc_i2c_write,
799                          mxc_i2c_set_bus_speed,
800                          CONFIG_SYS_MXC_I2C3_SPEED,
801                          CONFIG_SYS_MXC_I2C3_SLAVE, 2)
802 #endif
803
804 #ifdef CONFIG_SYS_I2C_MXC_I2C4
805 U_BOOT_I2C_ADAP_COMPLETE(mxc3, mxc_i2c_init, mxc_i2c_probe,
806                          mxc_i2c_read, mxc_i2c_write,
807                          mxc_i2c_set_bus_speed,
808                          CONFIG_SYS_MXC_I2C4_SPEED,
809                          CONFIG_SYS_MXC_I2C4_SLAVE, 3)
810 #endif
811
812 #ifdef CONFIG_SYS_I2C_MXC_I2C5
813 U_BOOT_I2C_ADAP_COMPLETE(mxc4, mxc_i2c_init, mxc_i2c_probe,
814                          mxc_i2c_read, mxc_i2c_write,
815                          mxc_i2c_set_bus_speed,
816                          CONFIG_SYS_MXC_I2C5_SPEED,
817                          CONFIG_SYS_MXC_I2C5_SLAVE, 4)
818 #endif
819
820 #ifdef CONFIG_SYS_I2C_MXC_I2C6
821 U_BOOT_I2C_ADAP_COMPLETE(mxc5, mxc_i2c_init, mxc_i2c_probe,
822                          mxc_i2c_read, mxc_i2c_write,
823                          mxc_i2c_set_bus_speed,
824                          CONFIG_SYS_MXC_I2C6_SPEED,
825                          CONFIG_SYS_MXC_I2C6_SLAVE, 5)
826 #endif
827
828 #ifdef CONFIG_SYS_I2C_MXC_I2C7
829 U_BOOT_I2C_ADAP_COMPLETE(mxc6, mxc_i2c_init, mxc_i2c_probe,
830                          mxc_i2c_read, mxc_i2c_write,
831                          mxc_i2c_set_bus_speed,
832                          CONFIG_SYS_MXC_I2C7_SPEED,
833                          CONFIG_SYS_MXC_I2C7_SLAVE, 6)
834 #endif
835
836 #ifdef CONFIG_SYS_I2C_MXC_I2C8
837 U_BOOT_I2C_ADAP_COMPLETE(mxc7, mxc_i2c_init, mxc_i2c_probe,
838                          mxc_i2c_read, mxc_i2c_write,
839                          mxc_i2c_set_bus_speed,
840                          CONFIG_SYS_MXC_I2C8_SPEED,
841                          CONFIG_SYS_MXC_I2C8_SLAVE, 7)
842 #endif
843
844 #else
845
846 static int mxc_i2c_set_bus_speed(struct udevice *bus, unsigned int speed)
847 {
848         struct mxc_i2c_bus *i2c_bus = dev_get_priv(bus);
849
850         return bus_i2c_set_bus_speed(i2c_bus, speed);
851 }
852
853 static int mxc_i2c_probe(struct udevice *bus)
854 {
855         struct mxc_i2c_bus *i2c_bus = dev_get_priv(bus);
856         const void *fdt = gd->fdt_blob;
857         int node = dev_of_offset(bus);
858         fdt_addr_t addr;
859         int ret, ret2;
860
861         i2c_bus->driver_data = dev_get_driver_data(bus);
862
863         addr = devfdt_get_addr(bus);
864         if (addr == FDT_ADDR_T_NONE)
865                 return -EINVAL;
866
867         i2c_bus->base = addr;
868         i2c_bus->index = bus->seq;
869         i2c_bus->bus = bus;
870
871         /* Enable clk */
872         ret = enable_i2c_clk(1, bus->seq);
873         if (ret < 0)
874                 return ret;
875
876         /*
877          * See Documentation/devicetree/bindings/i2c/i2c-imx.txt
878          * Use gpio to force bus idle when necessary.
879          */
880         ret = fdt_stringlist_search(fdt, node, "pinctrl-names", "gpio");
881         if (ret < 0) {
882                 debug("i2c bus %d at 0x%2lx, no gpio pinctrl state.\n", bus->seq, i2c_bus->base);
883         } else {
884                 ret = gpio_request_by_name_nodev(offset_to_ofnode(node),
885                                 "scl-gpios", 0, &i2c_bus->scl_gpio,
886                                 GPIOD_IS_OUT);
887                 ret2 = gpio_request_by_name_nodev(offset_to_ofnode(node),
888                                 "sda-gpios", 0, &i2c_bus->sda_gpio,
889                                 GPIOD_IS_OUT);
890                 if (!dm_gpio_is_valid(&i2c_bus->sda_gpio) ||
891                     !dm_gpio_is_valid(&i2c_bus->scl_gpio) ||
892                     ret || ret2) {
893                         dev_err(dev, "i2c bus %d at %lu, fail to request scl/sda gpio\n", bus->seq, i2c_bus->base);
894                         return -EINVAL;
895                 }
896         }
897
898         ret = i2c_idle_bus(i2c_bus);
899         if (ret < 0) {
900                 /* Disable clk */
901                 enable_i2c_clk(0, bus->seq);
902                 return ret;
903         }
904
905         /*
906          * Pinmux settings are in board file now, until pinmux is supported,
907          * we can set pinmux here in probe function.
908          */
909
910         debug("i2c : controller bus %d at %lu , speed %d: ",
911               bus->seq, i2c_bus->base,
912               i2c_bus->speed);
913
914         return 0;
915 }
916
917 /* Sends: S Addr Wr [A|NA] P */
918 static int mxc_i2c_probe_chip(struct udevice *bus, u32 chip_addr,
919                               u32 chip_flags)
920 {
921         int ret;
922         struct mxc_i2c_bus *i2c_bus = dev_get_priv(bus);
923
924         ret = i2c_init_transfer(i2c_bus, chip_addr, 0, 0);
925         if (ret < 0) {
926                 debug("%s failed, ret = %d\n", __func__, ret);
927                 return ret;
928         }
929
930         i2c_imx_stop(i2c_bus);
931
932         return 0;
933 }
934
935 static int mxc_i2c_xfer(struct udevice *bus, struct i2c_msg *msg, int nmsgs)
936 {
937         struct mxc_i2c_bus *i2c_bus = dev_get_priv(bus);
938         int ret = 0;
939         ulong base = i2c_bus->base;
940         int reg_shift = i2c_bus->driver_data & I2C_QUIRK_FLAG ?
941                 VF610_I2C_REGSHIFT : IMX_I2C_REGSHIFT;
942
943         /*
944          * Here the 3rd parameter addr and the 4th one alen are set to 0,
945          * because here we only want to send out chip address. The register
946          * address is wrapped in msg.
947          */
948         ret = i2c_init_transfer(i2c_bus, msg->addr, 0, 0);
949         if (ret < 0) {
950                 debug("i2c_init_transfer error: %d\n", ret);
951                 return ret;
952         }
953
954         for (; nmsgs > 0; nmsgs--, msg++) {
955                 bool next_is_read = nmsgs > 1 && (msg[1].flags & I2C_M_RD);
956                 debug("i2c_xfer: chip=0x%x, len=0x%x\n", msg->addr, msg->len);
957                 if (msg->flags & I2C_M_RD)
958                         ret = i2c_read_data(i2c_bus, msg->addr, msg->buf,
959                                             msg->len);
960                 else {
961                         ret = i2c_write_data(i2c_bus, msg->addr, msg->buf,
962                                              msg->len);
963                         if (ret)
964                                 break;
965                         if (next_is_read) {
966                                 /* Reuse ret */
967                                 ret = readb(base + (I2CR << reg_shift));
968                                 ret |= I2CR_RSTA;
969                                 writeb(ret, base + (I2CR << reg_shift));
970
971                                 ret = tx_byte(i2c_bus, (msg->addr << 1) | 1);
972                                 if (ret < 0) {
973                                         i2c_imx_stop(i2c_bus);
974                                         break;
975                                 }
976                         }
977                 }
978         }
979
980         if (ret)
981                 debug("i2c_write: error sending\n");
982
983         i2c_imx_stop(i2c_bus);
984
985         return ret;
986 }
987
988 static const struct dm_i2c_ops mxc_i2c_ops = {
989         .xfer           = mxc_i2c_xfer,
990         .probe_chip     = mxc_i2c_probe_chip,
991         .set_bus_speed  = mxc_i2c_set_bus_speed,
992 };
993
994 static const struct udevice_id mxc_i2c_ids[] = {
995         { .compatible = "fsl,imx21-i2c", },
996         { .compatible = "fsl,vf610-i2c", .data = I2C_QUIRK_FLAG, },
997         {}
998 };
999
1000 U_BOOT_DRIVER(i2c_mxc) = {
1001         .name = "i2c_mxc",
1002         .id = UCLASS_I2C,
1003         .of_match = mxc_i2c_ids,
1004         .probe = mxc_i2c_probe,
1005         .priv_auto_alloc_size = sizeof(struct mxc_i2c_bus),
1006         .ops = &mxc_i2c_ops,
1007 };
1008 #endif