ppc4xx: Consolidate pci_target_init() function
[platform/kernel/u-boot.git] / board / esd / du440 / du440.c
1 /*
2  * (C) Copyright 2008
3  * Matthias Fuchs, esd gmbh, matthias.fuchs@esd-electronics.com
4  *
5  * This program is free software; you can redistribute it and/or
6  * modify it under the terms of the GNU General Public License as
7  * published by the Free Software Foundation; either version 2 of
8  * the License, or (at your option) any later version.
9  *
10  * This program is distributed in the hope that it will be useful,
11  * but WITHOUT ANY WARRANTY; without even the implied warranty of
12  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13  * GNU General Public License for more details.
14  *
15  * You should have received a copy of the GNU General Public License
16  * along with this program; if not, write to the Free Software
17  * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
18  * MA 02111-1307 USA
19  */
20
21 #include <common.h>
22 #include <asm/processor.h>
23 #include <asm/io.h>
24 #include <asm/bitops.h>
25 #include <command.h>
26 #include <i2c.h>
27 #include <ppc440.h>
28 #include "du440.h"
29
30 DECLARE_GLOBAL_DATA_PTR;
31
32 extern flash_info_t flash_info[CONFIG_SYS_MAX_FLASH_BANKS];
33 extern ulong flash_get_size (ulong base, int banknum);
34
35 int usbhub_init(void);
36 int dvi_init(void);
37 int eeprom_write_enable (unsigned dev_addr, int state);
38 int board_revision(void);
39
40 static int du440_post_errors;
41
42 int board_early_init_f(void)
43 {
44         u32 sdr0_cust0;
45         u32 sdr0_pfc1, sdr0_pfc2;
46         u32 reg;
47
48         mtdcr(EBC0_CFGADDR, EBC0_CFG);
49         mtdcr(EBC0_CFGDATA, 0xb8400000);
50
51         /*
52          * Setup the GPIO pins
53          */
54         out_be32((void*)GPIO0_OR, 0x00000000 | CONFIG_SYS_GPIO0_EP_EEP);
55         out_be32((void*)GPIO0_TCR, 0x0000001f | CONFIG_SYS_GPIO0_EP_EEP);
56         out_be32((void*)GPIO0_OSRL, 0x50055400);
57         out_be32((void*)GPIO0_OSRH, 0x55005000);
58         out_be32((void*)GPIO0_TSRL, 0x50055400);
59         out_be32((void*)GPIO0_TSRH, 0x55005000);
60         out_be32((void*)GPIO0_ISR1L, 0x50000000);
61         out_be32((void*)GPIO0_ISR1H, 0x00000000);
62         out_be32((void*)GPIO0_ISR2L, 0x00000000);
63         out_be32((void*)GPIO0_ISR2H, 0x00000000);
64         out_be32((void*)GPIO0_ISR3L, 0x00000000);
65         out_be32((void*)GPIO0_ISR3H, 0x00000000);
66
67         out_be32((void*)GPIO1_OR, 0x00000000);
68         out_be32((void*)GPIO1_TCR, 0xc2000000 |
69                  CONFIG_SYS_GPIO1_IORSTN |
70                  CONFIG_SYS_GPIO1_IORST2N |
71                  CONFIG_SYS_GPIO1_LEDUSR1 |
72                  CONFIG_SYS_GPIO1_LEDUSR2 |
73                  CONFIG_SYS_GPIO1_LEDPOST |
74                  CONFIG_SYS_GPIO1_LEDDU);
75         out_be32((void*)GPIO1_ODR, CONFIG_SYS_GPIO1_LEDDU);
76         out_be32((void*)GPIO1_OSRL, 0x0c280000);
77         out_be32((void*)GPIO1_OSRH, 0x00000000);
78         out_be32((void*)GPIO1_TSRL, 0xcc000000);
79         out_be32((void*)GPIO1_TSRH, 0x00000000);
80         out_be32((void*)GPIO1_ISR1L, 0x00005550);
81         out_be32((void*)GPIO1_ISR1H, 0x00000000);
82         out_be32((void*)GPIO1_ISR2L, 0x00050000);
83         out_be32((void*)GPIO1_ISR2H, 0x00000000);
84         out_be32((void*)GPIO1_ISR3L, 0x01400000);
85         out_be32((void*)GPIO1_ISR3H, 0x00000000);
86
87         /*
88          * Setup the interrupt controller polarities, triggers, etc.
89          */
90         mtdcr(UIC0SR, 0xffffffff);      /* clear all */
91         mtdcr(UIC0ER, 0x00000000);      /* disable all */
92         mtdcr(UIC0CR, 0x00000005);      /* ATI & UIC1 crit are critical */
93         mtdcr(UIC0PR, 0xfffff7ff);      /* per ref-board manual */
94         mtdcr(UIC0TR, 0x00000000);      /* per ref-board manual */
95         mtdcr(UIC0VR, 0x00000000);      /* int31 highest, base=0x000 */
96         mtdcr(UIC0SR, 0xffffffff);      /* clear all */
97
98         /*
99          * UIC1:
100          *  bit30: ext. Irq 1: PLD : int 32+30
101          */
102         mtdcr(UIC1SR, 0xffffffff);      /* clear all */
103         mtdcr(UIC1ER, 0x00000000);      /* disable all */
104         mtdcr(UIC1CR, 0x00000000);      /* all non-critical */
105         mtdcr(UIC1PR, 0xfffffffd);
106         mtdcr(UIC1TR, 0x00000000);
107         mtdcr(UIC1VR, 0x00000000);      /* int31 highest, base=0x000 */
108         mtdcr(UIC1SR, 0xffffffff);      /* clear all */
109
110         /*
111          * UIC2
112          *  bit3: ext. Irq 2: DCF77 : int 64+3
113          */
114         mtdcr(UIC2SR, 0xffffffff);      /* clear all */
115         mtdcr(UIC2ER, 0x00000000);      /* disable all */
116         mtdcr(UIC2CR, 0x00000000);      /* all non-critical */
117         mtdcr(UIC2PR, 0xffffffff);      /* per ref-board manual */
118         mtdcr(UIC2TR, 0x00000000);      /* per ref-board manual */
119         mtdcr(UIC2VR, 0x00000000);      /* int31 highest, base=0x000 */
120         mtdcr(UIC2SR, 0xffffffff);      /* clear all */
121
122         /* select Ethernet pins */
123         mfsdr(SDR0_PFC1, sdr0_pfc1);
124         mfsdr(SDR0_PFC2, sdr0_pfc2);
125
126         /* setup EMAC bridge interface */
127         if (board_revision() == 0) {
128                 /* 1 x MII */
129                 sdr0_pfc1 = (sdr0_pfc1 & ~SDR0_PFC1_SELECT_MASK) |
130                         SDR0_PFC1_SELECT_CONFIG_1_2;
131                 sdr0_pfc2 = (sdr0_pfc2 & ~SDR0_PFC2_SELECT_MASK) |
132                         SDR0_PFC2_SELECT_CONFIG_1_2;
133         } else {
134                 /* 2 x SMII */
135                 sdr0_pfc1 = (sdr0_pfc1 & ~SDR0_PFC1_SELECT_MASK) |
136                         SDR0_PFC1_SELECT_CONFIG_6;
137                 sdr0_pfc2 = (sdr0_pfc2 & ~SDR0_PFC2_SELECT_MASK) |
138                         SDR0_PFC2_SELECT_CONFIG_6;
139         }
140
141         /* enable 2nd IIC */
142         sdr0_pfc1 = (sdr0_pfc1 & ~SDR0_PFC1_SIS_MASK) | SDR0_PFC1_SIS_IIC1_SEL;
143
144         mtsdr(SDR0_PFC2, sdr0_pfc2);
145         mtsdr(SDR0_PFC1, sdr0_pfc1);
146
147         /* PCI arbiter enabled */
148         mfsdr(SDR0_PCI0, reg);
149         mtsdr(SDR0_PCI0, 0x80000000 | reg);
150
151         /* setup NAND FLASH */
152         mfsdr(SDR0_CUST0, sdr0_cust0);
153         sdr0_cust0 = SDR0_CUST0_MUX_NDFC_SEL    |
154                 SDR0_CUST0_NDFC_ENABLE          |
155                 SDR0_CUST0_NDFC_BW_8_BIT        |
156                 SDR0_CUST0_NDFC_ARE_MASK        |
157                 (0x80000000 >> (28 + CONFIG_SYS_NAND0_CS)) |
158                 (0x80000000 >> (28 + CONFIG_SYS_NAND1_CS));
159         mtsdr(SDR0_CUST0, sdr0_cust0);
160
161         return 0;
162 }
163
164 int misc_init_r(void)
165 {
166         uint pbcr;
167         int size_val = 0;
168         u32 reg;
169         unsigned long usb2d0cr = 0;
170         unsigned long usb2phy0cr, usb2h0cr = 0;
171         unsigned long sdr0_pfc1;
172         unsigned long sdr0_srst0, sdr0_srst1;
173         int i, j;
174
175         /* adjust flash start and offset */
176         gd->bd->bi_flashstart = 0 - gd->bd->bi_flashsize;
177         gd->bd->bi_flashoffset = 0;
178
179         mtdcr(EBC0_CFGADDR, PB0CR);
180         pbcr = mfdcr(EBC0_CFGDATA);
181         size_val = ffs(gd->bd->bi_flashsize) - 21;
182         pbcr = (pbcr & 0x0001ffff) | gd->bd->bi_flashstart | (size_val << 17);
183         mtdcr(EBC0_CFGADDR, PB0CR);
184         mtdcr(EBC0_CFGDATA, pbcr);
185
186         /*
187          * Re-check to get correct base address
188          */
189         flash_get_size(gd->bd->bi_flashstart, 0);
190
191         /*
192          * USB suff...
193          */
194         /* SDR Setting */
195         mfsdr(SDR0_PFC1, sdr0_pfc1);
196         mfsdr(SDR0_USB0, usb2d0cr);
197         mfsdr(SDR0_USB2PHY0CR, usb2phy0cr);
198         mfsdr(SDR0_USB2H0CR, usb2h0cr);
199
200         usb2phy0cr = usb2phy0cr &~SDR0_USB2PHY0CR_XOCLK_MASK;
201         usb2phy0cr = usb2phy0cr | SDR0_USB2PHY0CR_XOCLK_EXTERNAL;
202         usb2phy0cr = usb2phy0cr &~SDR0_USB2PHY0CR_WDINT_MASK;
203         usb2phy0cr = usb2phy0cr | SDR0_USB2PHY0CR_WDINT_16BIT_30MHZ;
204         usb2phy0cr = usb2phy0cr &~SDR0_USB2PHY0CR_DVBUS_MASK;
205         usb2phy0cr = usb2phy0cr | SDR0_USB2PHY0CR_DVBUS_PURDIS;
206         usb2phy0cr = usb2phy0cr &~SDR0_USB2PHY0CR_DWNSTR_MASK;
207         usb2phy0cr = usb2phy0cr | SDR0_USB2PHY0CR_DWNSTR_HOST;
208         usb2phy0cr = usb2phy0cr &~SDR0_USB2PHY0CR_UTMICN_MASK;
209         usb2phy0cr = usb2phy0cr | SDR0_USB2PHY0CR_UTMICN_HOST;
210
211         /* An 8-bit/60MHz interface is the only possible alternative
212            when connecting the Device to the PHY */
213         usb2h0cr   = usb2h0cr &~SDR0_USB2H0CR_WDINT_MASK;
214         usb2h0cr   = usb2h0cr | SDR0_USB2H0CR_WDINT_16BIT_30MHZ;
215
216         /* To enable the USB 2.0 Device function through the UTMI interface */
217         usb2d0cr = usb2d0cr &~SDR0_USB2D0CR_USB2DEV_EBC_SEL_MASK;
218
219         sdr0_pfc1 = sdr0_pfc1 &~SDR0_PFC1_UES_MASK;
220         sdr0_pfc1 = sdr0_pfc1 | SDR0_PFC1_UES_EBCHR_SEL;
221
222         mtsdr(SDR0_PFC1, sdr0_pfc1);
223         mtsdr(SDR0_USB0, usb2d0cr);
224         mtsdr(SDR0_USB2PHY0CR, usb2phy0cr);
225         mtsdr(SDR0_USB2H0CR, usb2h0cr);
226
227         /*
228          * Take USB out of reset:
229          * -Initial status = all cores are in reset
230          * -deassert reset to OPB1, P4OPB0, OPB2, PLB42OPB1 OPB2PLB40 cores
231          * -wait 1 ms
232          * -deassert reset to PHY
233          * -wait 1 ms
234          * -deassert  reset to HOST
235          * -wait 4 ms
236          * -deassert all other resets
237          */
238         mfsdr(SDR0_SRST1, sdr0_srst1);
239         sdr0_srst1 &= ~(SDR0_SRST1_OPBA1 |              \
240                         SDR0_SRST1_P4OPB0 |             \
241                         SDR0_SRST1_OPBA2 |              \
242                         SDR0_SRST1_PLB42OPB1 |          \
243                         SDR0_SRST1_OPB2PLB40);
244         mtsdr(SDR0_SRST1, sdr0_srst1);
245         udelay(1000);
246
247         mfsdr(SDR0_SRST1, sdr0_srst1);
248         sdr0_srst1 &= ~SDR0_SRST1_USB20PHY;
249         mtsdr(SDR0_SRST1, sdr0_srst1);
250         udelay(1000);
251
252         mfsdr(SDR0_SRST0, sdr0_srst0);
253         sdr0_srst0 &= ~SDR0_SRST0_USB2H;
254         mtsdr(SDR0_SRST0, sdr0_srst0);
255         udelay(4000);
256
257         /* finally all the other resets */
258         mtsdr(SDR0_SRST1, 0x00000000);
259         mtsdr(SDR0_SRST0, 0x00000000);
260
261         printf("USB:   Host(int phy)\n");
262
263         /*
264          * Clear PLB4A0_ACR[WRP]
265          * This fix will make the MAL burst disabling patch for the Linux
266          * EMAC driver obsolete.
267          */
268         reg = mfdcr(PLB4_ACR) & ~PLB4_ACR_WRP;
269         mtdcr(PLB4_ACR, reg);
270
271         /*
272          * release IO-RST#
273          * We have to wait at least 560ms until we may call usbhub_init
274          */
275         out_be32((void*)GPIO1_OR, in_be32((void*)GPIO1_OR) |
276                  CONFIG_SYS_GPIO1_IORSTN | CONFIG_SYS_GPIO1_IORST2N);
277
278         /*
279          * flash USR1/2 LEDs (600ms)
280          * This results in the necessary delay from IORST# until
281          * calling usbhub_init will succeed
282          */
283         for (j = 0; j < 3; j++) {
284                 out_be32((void*)GPIO1_OR,
285                          (in_be32((void*)GPIO1_OR) & ~CONFIG_SYS_GPIO1_LEDUSR2) |
286                          CONFIG_SYS_GPIO1_LEDUSR1);
287
288                 for (i = 0; i < 100; i++)
289                         udelay(1000);
290
291                 out_be32((void*)GPIO1_OR,
292                          (in_be32((void*)GPIO1_OR) & ~CONFIG_SYS_GPIO1_LEDUSR1) |
293                          CONFIG_SYS_GPIO1_LEDUSR2);
294
295                 for (i = 0; i < 100; i++)
296                         udelay(1000);
297         }
298
299         out_be32((void*)GPIO1_OR, in_be32((void*)GPIO1_OR) &
300                  ~(CONFIG_SYS_GPIO1_LEDUSR1 | CONFIG_SYS_GPIO1_LEDUSR2));
301
302         if (usbhub_init())
303                 du440_post_errors++;
304
305         if (dvi_init())
306                 du440_post_errors++;
307
308         return 0;
309 }
310
311 int pld_revision(void)
312 {
313         out_8((void *)CONFIG_SYS_CPLD_BASE, 0x00);
314         return (int)(in_8((void *)CONFIG_SYS_CPLD_BASE) & CPLD_VERSION_MASK);
315 }
316
317 int board_revision(void)
318 {
319         int rpins = (int)((in_be32((void*)GPIO1_IR) & CONFIG_SYS_GPIO1_HWVER_MASK)
320                           >> CONFIG_SYS_GPIO1_HWVER_SHIFT);
321
322         return ((rpins & 1) << 3) | ((rpins & 2) << 1) |
323                 ((rpins & 4) >> 1) | ((rpins & 8) >> 3);
324 }
325
326 #if defined(CONFIG_SHOW_ACTIVITY)
327 void board_show_activity (ulong timestamp)
328 {
329         if ((timestamp % 100) == 0)
330                 out_be32((void*)GPIO1_OR,
331                          in_be32((void*)GPIO1_OR) ^ CONFIG_SYS_GPIO1_LEDUSR1);
332 }
333
334 void show_activity(int arg)
335 {
336 }
337 #endif /* CONFIG_SHOW_ACTIVITY */
338
339 int du440_phy_addr(int devnum)
340 {
341         if (board_revision() == 0)
342                 return devnum;
343
344         return devnum + 1;
345 }
346
347 int checkboard(void)
348 {
349         char serno[32];
350
351         puts("Board: DU440");
352
353         if (getenv_r("serial#", serno, sizeof(serno)) > 0) {
354                 puts(", serial# ");
355                 puts(serno);
356         }
357
358         printf(", HW-Rev. 1.%d, CPLD-Rev. 1.%d\n",
359                board_revision(), pld_revision());
360         return (0);
361 }
362
363 /*
364  * pci_pre_init
365  *
366  * This routine is called just prior to registering the hose and gives
367  * the board the opportunity to check things. Returning a value of zero
368  * indicates that things are bad & PCI initialization should be aborted.
369  *
370  * Different boards may wish to customize the pci controller structure
371  * (add regions, override default access routines, etc) or perform
372  * certain pre-initialization actions.
373  */
374 #if defined(CONFIG_PCI)
375 int pci_pre_init(struct pci_controller *hose)
376 {
377         unsigned long addr;
378
379         /*
380          * Set priority for all PLB3 devices to 0.
381          * Set PLB3 arbiter to fair mode.
382          */
383         mfsdr(SD0_AMP1, addr);
384         mtsdr(SD0_AMP1, (addr & 0x000000FF) | 0x0000FF00);
385         addr = mfdcr(PLB3_ACR);
386         mtdcr(PLB3_ACR, addr | 0x80000000);
387
388         /*
389          * Set priority for all PLB4 devices to 0.
390          */
391         mfsdr(SD0_AMP0, addr);
392         mtsdr(SD0_AMP0, (addr & 0x000000FF) | 0x0000FF00);
393         addr = mfdcr(PLB4_ACR) | 0xa0000000; /* Was 0x8---- */
394         mtdcr(PLB4_ACR, addr);
395
396         /*
397          * Set Nebula PLB4 arbiter to fair mode.
398          */
399         /* Segment0 */
400         addr = (mfdcr(PLB0_ACR) & ~PLB0_ACR_PPM_MASK) | PLB0_ACR_PPM_FAIR;
401         addr = (addr & ~PLB0_ACR_HBU_MASK) | PLB0_ACR_HBU_ENABLED;
402         addr = (addr & ~PLB0_ACR_RDP_MASK) | PLB0_ACR_RDP_4DEEP;
403         addr = (addr & ~PLB0_ACR_WRP_MASK) | PLB0_ACR_WRP_2DEEP;
404         mtdcr(PLB0_ACR, addr);
405
406         /* Segment1 */
407         addr = (mfdcr(PLB1_ACR) & ~PLB1_ACR_PPM_MASK) | PLB1_ACR_PPM_FAIR;
408         addr = (addr & ~PLB1_ACR_HBU_MASK) | PLB1_ACR_HBU_ENABLED;
409         addr = (addr & ~PLB1_ACR_RDP_MASK) | PLB1_ACR_RDP_4DEEP;
410         addr = (addr & ~PLB1_ACR_WRP_MASK) | PLB1_ACR_WRP_2DEEP;
411         mtdcr(PLB1_ACR, addr);
412
413         return 1;
414 }
415 #endif /* defined(CONFIG_PCI) */
416
417 #if defined(CONFIG_PCI) && defined(CONFIG_SYS_PCI_MASTER_INIT)
418 void pci_master_init(struct pci_controller *hose)
419 {
420         unsigned short temp_short;
421
422         /*
423          * Write the PowerPC440 EP PCI Configuration regs.
424          * Enable PowerPC440 EP to be a master on the PCI bus (PMM).
425          * Enable PowerPC440 EP to act as a PCI memory target (PTM).
426          */
427         pci_read_config_word(0, PCI_COMMAND, &temp_short);
428         pci_write_config_word(0, PCI_COMMAND,
429                               temp_short | PCI_COMMAND_MASTER |
430                               PCI_COMMAND_MEMORY);
431 }
432 #endif /* defined(CONFIG_PCI) && defined(CONFIG_SYS_PCI_MASTER_INIT) */
433
434 int last_stage_init(void)
435 {
436         int e, i;
437
438         /* everyting is ok: turn on POST-LED */
439         out_be32((void*)GPIO1_OR, in_be32((void*)GPIO1_OR) | CONFIG_SYS_GPIO1_LEDPOST);
440
441         /* slowly blink on errors and finally keep LED off */
442         for (e = 0; e < du440_post_errors; e++) {
443                 out_be32((void*)GPIO1_OR,
444                          in_be32((void*)GPIO1_OR) | CONFIG_SYS_GPIO1_LEDPOST);
445
446                 for (i = 0; i < 500; i++)
447                         udelay(1000);
448
449                 out_be32((void*)GPIO1_OR,
450                          in_be32((void*)GPIO1_OR) & ~CONFIG_SYS_GPIO1_LEDPOST);
451
452                 for (i = 0; i < 500; i++)
453                         udelay(1000);
454         }
455
456         return 0;
457 }
458
459 #if defined(CONFIG_I2C_MULTI_BUS)
460 /*
461  * read field strength from I2C ADC
462  */
463 int dcf77_status(void)
464 {
465         unsigned int oldbus;
466         uchar u[2];
467         int mv;
468
469         oldbus = I2C_GET_BUS();
470         I2C_SET_BUS(1);
471
472         if (i2c_read (IIC1_MCP3021_ADDR, 0, 0, u, 2)) {
473                 I2C_SET_BUS(oldbus);
474                 return -1;
475         }
476
477         mv = (int)(((u[0] << 8) | u[1]) >> 2) * 3300 / 1024;
478
479         I2C_SET_BUS(oldbus);
480         return mv;
481 }
482
483 int do_dcf77(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
484 {
485         int mv;
486         u32 pin, pinold;
487         unsigned long long t1, t2;
488         bd_t *bd = gd->bd;
489
490         printf("DCF77: ");
491         mv = dcf77_status();
492         if (mv > 0)
493                 printf("signal=%d mV\n", mv);
494         else
495                 printf("ERROR - no signal\n");
496
497         t1 = t2 = 0;
498         pinold = in_be32((void*)GPIO1_IR) & CONFIG_SYS_GPIO1_DCF77;
499         while (!ctrlc()) {
500                 pin = in_be32((void*)GPIO1_IR) & CONFIG_SYS_GPIO1_DCF77;
501                 if (pin && !pinold) { /* bit start */
502                         t1 = get_ticks();
503                         if (t2 && ((unsigned int)(t1 - t2) /
504                                    (bd->bi_procfreq / 1000) >= 1800))
505                                 printf("Start of minute\n");
506
507                         t2 = t1;
508                 }
509                 if (t1 && !pin && pinold) { /* bit end */
510                         printf("%5d\n", (unsigned int)(get_ticks() - t1) /
511                                (bd->bi_procfreq / 1000));
512                 }
513                 pinold = pin;
514         }
515
516         printf("Abort\n");
517         return 0;
518 }
519 U_BOOT_CMD(
520         dcf77, 1, 1, do_dcf77,
521         "Check DCF77 receiver",
522         ""
523 );
524
525 /*
526  * initialize USB hub via I2C1
527  */
528 int usbhub_init(void)
529 {
530         int reg;
531         int ret = 0;
532         unsigned int oldbus;
533         uchar u[] = {0x04, 0x24, 0x04, 0x07, 0x25, 0x00, 0x00, 0xd3,
534                      0x18, 0xe0, 0x00, 0x00, 0x01, 0x64, 0x01, 0x64,
535                      0x32};
536         uchar stcd;
537
538         printf("Hub:   ");
539
540         oldbus = I2C_GET_BUS();
541         I2C_SET_BUS(1);
542
543         for (reg = 0; reg < sizeof(u); reg++)
544                 if (i2c_write (IIC1_USB2507_ADDR, reg, 1, &u[reg], 1)) {
545                         ret = -1;
546                         break;
547                 }
548
549         if (ret == 0) {
550                 stcd = 0x03;
551                 if (i2c_write (IIC1_USB2507_ADDR, 0, 1, &stcd, 1))
552                         ret = -1;
553         }
554
555         if (ret == 0)
556                 printf("initialized\n");
557         else
558                 printf("failed - cannot initialize USB hub\n");
559
560         I2C_SET_BUS(oldbus);
561         return ret;
562 }
563
564 int do_hubinit(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
565 {
566         usbhub_init();
567         return 0;
568 }
569 U_BOOT_CMD(
570         hubinit, 1, 1, do_hubinit,
571         "Initialize USB hub",
572         ""
573 );
574 #endif /* CONFIG_I2C_MULTI_BUS */
575
576 #define CONFIG_SYS_BOOT_EEPROM_PAGE_WRITE_BITS 3
577 int boot_eeprom_write (unsigned dev_addr,
578                        unsigned offset,
579                        uchar *buffer,
580                        unsigned cnt)
581 {
582         unsigned end = offset + cnt;
583         unsigned blk_off;
584         int rcode = 0;
585
586 #if defined(CONFIG_SYS_EEPROM_WREN)
587         eeprom_write_enable(dev_addr, 1);
588 #endif
589         /*
590          * Write data until done or would cross a write page boundary.
591          * We must write the address again when changing pages
592          * because the address counter only increments within a page.
593          */
594
595         while (offset < end) {
596                 unsigned alen, len;
597                 unsigned maxlen;
598
599                 uchar addr[2];
600
601                 blk_off = offset & 0xFF;        /* block offset */
602
603                 addr[0] = offset >> 8;          /* block number */
604                 addr[1] = blk_off;              /* block offset */
605                 alen = 2;
606                 addr[0] |= dev_addr;            /* insert device address */
607
608                 len = end - offset;
609
610                 /*
611                  * For a FRAM device there is no limit on the number of the
612                  * bytes that can be ccessed with the single read or write
613                  * operation.
614                  */
615 #if defined(CONFIG_SYS_BOOT_EEPROM_PAGE_WRITE_BITS)
616
617 #define BOOT_EEPROM_PAGE_SIZE (1 << CONFIG_SYS_BOOT_EEPROM_PAGE_WRITE_BITS)
618 #define BOOT_EEPROM_PAGE_OFFSET(x) ((x) & (BOOT_EEPROM_PAGE_SIZE - 1))
619
620                 maxlen = BOOT_EEPROM_PAGE_SIZE -
621                         BOOT_EEPROM_PAGE_OFFSET(blk_off);
622 #else
623                 maxlen = 0x100 - blk_off;
624 #endif
625                 if (maxlen > I2C_RXTX_LEN)
626                         maxlen = I2C_RXTX_LEN;
627
628                 if (len > maxlen)
629                         len = maxlen;
630
631                 if (i2c_write (addr[0], offset, alen - 1, buffer, len) != 0)
632                         rcode = 1;
633
634                 buffer += len;
635                 offset += len;
636
637 #if defined(CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS)
638                 udelay(CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS * 1000);
639 #endif
640         }
641 #if defined(CONFIG_SYS_EEPROM_WREN)
642         eeprom_write_enable(dev_addr, 0);
643 #endif
644         return rcode;
645 }
646
647 int do_setup_boot_eeprom(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
648 {
649         ulong sdsdp[4];
650
651         if (argc > 1) {
652                 if (!strcmp(argv[1], "533")) {
653                         printf("Bootstrapping for 533MHz\n");
654                         sdsdp[0] = 0x87788252;
655                         /* PLB-PCI-divider = 3 : sync PCI clock=44MHz */
656                         sdsdp[1] = 0x095fa030;
657                         sdsdp[2] = 0x40082350;
658                         sdsdp[3] = 0x0d050000;
659                 } else if (!strcmp(argv[1], "533-66")) {
660                         printf("Bootstrapping for 533MHz (66MHz PCI)\n");
661                         sdsdp[0] = 0x87788252;
662                         /* PLB-PCI-divider = 2 : sync PCI clock=66MHz */
663                         sdsdp[1] = 0x0957a030;
664                         sdsdp[2] = 0x40082350;
665                         sdsdp[3] = 0x0d050000;
666                 } else if (!strcmp(argv[1], "667")) {
667                         printf("Bootstrapping for 667MHz\n");
668                         sdsdp[0] = 0x8778a256;
669                         /* PLB-PCI-divider = 4 : sync PCI clock=33MHz */
670                         sdsdp[1] = 0x0947a030;
671                         /* PLB-PCI-divider = 3 : sync PCI clock=44MHz
672                          * -> not working when overclocking 533MHz chips
673                          * -> untested on 667MHz chips */
674                         /* sdsdp[1]=0x095fa030; */
675                         sdsdp[2] = 0x40082350;
676                         sdsdp[3] = 0x0d050000;
677                 } else if (!strcmp(argv[1], "667-166")) {
678                         printf("Bootstrapping for 667-166MHz\n");
679                         sdsdp[0] = 0x8778a252;
680                         sdsdp[1] = 0x09d7a030;
681                         sdsdp[2] = 0x40082350;
682                         sdsdp[3] = 0x0d050000;
683                 }
684         } else {
685                 printf("Bootstrapping for 533MHz (default)\n");
686                 sdsdp[0] = 0x87788252;
687                 /* PLB-PCI-divider = 3 : sync PCI clock=44MHz */
688                 sdsdp[1] = 0x095fa030;
689                 sdsdp[2] = 0x40082350;
690                 sdsdp[3] = 0x0d050000;
691         }
692
693         printf("Writing boot EEPROM ...\n");
694         if (boot_eeprom_write(CONFIG_SYS_I2C_BOOT_EEPROM_ADDR,
695                               0, (uchar*)sdsdp, 16) != 0)
696                 printf("boot_eeprom_write failed\n");
697         else
698                 printf("done (dump via 'i2c md 52 0.1 10')\n");
699
700         return 0;
701 }
702 U_BOOT_CMD(
703         sbe, 2, 0, do_setup_boot_eeprom,
704         "setup boot eeprom",
705         ""
706 );
707
708 #if defined(CONFIG_SYS_EEPROM_WREN)
709 /*
710  * Input: <dev_addr>  I2C address of EEPROM device to enable.
711  *         <state>     -1: deliver current state
712  *                      0: disable write
713  *                      1: enable write
714  * Returns:            -1: wrong device address
715  *                      0: dis-/en- able done
716  *                    0/1: current state if <state> was -1.
717  */
718 int eeprom_write_enable (unsigned dev_addr, int state)
719 {
720         if ((CONFIG_SYS_I2C_EEPROM_ADDR != dev_addr) &&
721             (CONFIG_SYS_I2C_BOOT_EEPROM_ADDR != dev_addr))
722                 return -1;
723         else {
724                 switch (state) {
725                 case 1:
726                         /* Enable write access, clear bit GPIO_SINT2. */
727                         out_be32((void*)GPIO0_OR,
728                                  in_be32((void*)GPIO0_OR) & ~CONFIG_SYS_GPIO0_EP_EEP);
729                         state = 0;
730                         break;
731                 case 0:
732                         /* Disable write access, set bit GPIO_SINT2. */
733                         out_be32((void*)GPIO0_OR,
734                                  in_be32((void*)GPIO0_OR) | CONFIG_SYS_GPIO0_EP_EEP);
735                         state = 0;
736                         break;
737                 default:
738                         /* Read current status back. */
739                         state = (0 == (in_be32((void*)GPIO0_OR) &
740                                        CONFIG_SYS_GPIO0_EP_EEP));
741                         break;
742                 }
743         }
744         return state;
745 }
746
747 int do_eep_wren (cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
748 {
749         int query = argc == 1;
750         int state = 0;
751
752         if (query) {
753                 /* Query write access state. */
754                 state = eeprom_write_enable(CONFIG_SYS_I2C_EEPROM_ADDR, -1);
755                 if (state < 0)
756                         puts ("Query of write access state failed.\n");
757                 else {
758                         printf ("Write access for device 0x%0x is %sabled.\n",
759                                 CONFIG_SYS_I2C_EEPROM_ADDR, state ? "en" : "dis");
760                         state = 0;
761                 }
762         } else {
763                 if ('0' == argv[1][0]) {
764                         /* Disable write access. */
765                         state = eeprom_write_enable(CONFIG_SYS_I2C_EEPROM_ADDR, 0);
766                 } else {
767                         /* Enable write access. */
768                         state = eeprom_write_enable(CONFIG_SYS_I2C_EEPROM_ADDR, 1);
769                 }
770                 if (state < 0)
771                         puts ("Setup of write access state failed.\n");
772         }
773
774         return state;
775 }
776
777 U_BOOT_CMD(eepwren, 2, 0, do_eep_wren,
778         "Enable / disable / query EEPROM write access",
779         ""
780 );
781 #endif /* #if defined(CONFIG_SYS_EEPROM_WREN) */
782
783 static int got_pldirq;
784
785 static int pld_interrupt(u32 arg)
786 {
787         int rc = -1; /* not for us */
788         u8 status = in_8((void *)CONFIG_SYS_CPLD_BASE);
789
790         /* check for PLD interrupt */
791         if (status & PWR_INT_FLAG) {
792                 /* reset this int */
793                 out_8((void *)CONFIG_SYS_CPLD_BASE, 0);
794                 rc = 0;
795                 got_pldirq = 1; /* trigger backend */
796         }
797
798         return rc;
799 }
800
801 int do_waitpwrirq(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
802 {
803         got_pldirq = 0;
804
805         /* clear any pending interrupt */
806         out_8((void *)CONFIG_SYS_CPLD_BASE, 0);
807
808         irq_install_handler(CPLD_IRQ,
809                             (interrupt_handler_t *)pld_interrupt, 0);
810
811         printf("Waiting ...\n");
812         while(!got_pldirq) {
813                 /* Abort if ctrl-c was pressed */
814                 if (ctrlc()) {
815                         puts("\nAbort\n");
816                         break;
817                 }
818         }
819         if (got_pldirq) {
820                 printf("Got interrupt!\n");
821                 printf("Power %sready!\n",
822                        in_8((void *)CONFIG_SYS_CPLD_BASE) &
823                        PWR_RDY ? "":"NOT ");
824         }
825
826         irq_free_handler(CPLD_IRQ);
827         return 0;
828 }
829 U_BOOT_CMD(
830         wpi,    1,      1,      do_waitpwrirq,
831         "Wait for power change interrupt",
832         ""
833 );
834
835 /*
836  * initialize DVI panellink transmitter
837  */
838 int dvi_init(void)
839 {
840         int i;
841         int ret = 0;
842         unsigned int oldbus;
843         uchar u[] = {0x08, 0x34,
844                      0x09, 0x20,
845                      0x0a, 0x90,
846                      0x0c, 0x89,
847                      0x08, 0x35};
848
849         printf("DVI:   ");
850
851         oldbus = I2C_GET_BUS();
852         I2C_SET_BUS(0);
853
854         for (i = 0; i < sizeof(u); i += 2)
855                 if (i2c_write (0x38, u[i], 1, &u[i + 1], 1)) {
856                         ret = -1;
857                         break;
858                 }
859
860         if (ret == 0)
861                 printf("initialized\n");
862         else
863                 printf("failed - cannot initialize DVI transmitter\n");
864
865         I2C_SET_BUS(oldbus);
866         return ret;
867 }
868
869 int do_dviinit(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
870 {
871         dvi_init();
872         return 0;
873 }
874 U_BOOT_CMD(
875         dviinit, 1, 1, do_dviinit,
876         "Initialize DVI Panellink transmitter",
877         ""
878 );
879
880 /*
881  * TODO: 'time' command might be useful for others as well.
882  *       Move to 'common' directory.
883  */
884 int do_time(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
885 {
886         unsigned long long start, end;
887         char c, cmd[CONFIG_SYS_CBSIZE];
888         char *p, *d = cmd;
889         int ret, i;
890         ulong us;
891
892         for (i = 1; i < argc; i++) {
893                 p = argv[i];
894
895                 if (i > 1)
896                         *d++ = ' ';
897
898                 while ((c = *p++) != '\0') {
899                         *d++ = c;
900                 }
901         }
902         *d = '\0';
903
904         start = get_ticks();
905         ret = run_command (cmd, 0);
906         end = get_ticks();
907
908         printf("ticks=%ld\n", (ulong)(end - start));
909         us = (ulong)((1000L * (end - start)) / (get_tbclk() / 1000));
910         printf("usec=%ld\n", us);
911
912         return ret;
913 }
914 U_BOOT_CMD(
915         time,   CONFIG_SYS_MAXARGS,     1,      do_time,
916         "run command and output execution time",
917         ""
918 );
919
920 extern void video_hw_rectfill (
921         unsigned int bpp,               /* bytes per pixel */
922         unsigned int dst_x,             /* dest pos x */
923         unsigned int dst_y,             /* dest pos y */
924         unsigned int dim_x,             /* frame width */
925         unsigned int dim_y,             /* frame height */
926         unsigned int color              /* fill color */
927         );
928
929 /*
930  * graphics demo
931  * draw rectangles using pseudorandom number generator
932  * (see http://www.embedded.com/columns/technicalinsights/20900500)
933  */
934 unsigned int rprime = 9972;
935 static unsigned int r;
936 static unsigned int Y;
937
938 unsigned int prng(unsigned int max)
939 {
940         if (r == 0 || r == 1 || r == -1)
941                 r = rprime; /* keep from getting stuck */
942
943         r = (9973 * ~r) + ((Y) % 701); /* the actual algorithm */
944         Y = (r >> 16) % max; /* choose upper bits and reduce */
945         return Y;
946 }
947
948 int do_gfxdemo(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
949 {
950         unsigned int color;
951         unsigned int x, y, dx, dy;
952
953         while (!ctrlc()) {
954                 x = prng(1280 - 1);
955                 y = prng(1024 - 1);
956                 dx = prng(1280- x - 1);
957                 dy = prng(1024 - y - 1);
958                 color = prng(0x10000);
959                 video_hw_rectfill(2, x, y, dx, dy, color);
960         }
961
962         return 0;
963 }
964 U_BOOT_CMD(
965         gfxdemo,        CONFIG_SYS_MAXARGS,     1,      do_gfxdemo,
966         "demo",
967         ""
968 );